diff --git a/assets/project/attosoc.yaml b/assets/project/attosoc.yaml index b93ad9c1..f36dec53 100644 --- a/assets/project/attosoc.yaml +++ b/assets/project/attosoc.yaml @@ -27,3 +27,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/axi-lite-reg.yaml b/assets/project/axi-lite-reg.yaml index 08131abc..c4846c13 100644 --- a/assets/project/axi-lite-reg.yaml +++ b/assets/project/axi-lite-reg.yaml @@ -16,3 +16,4 @@ skip_toolchains: - vpr-fasm2bels - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/baselitex-nexus.yaml b/assets/project/baselitex-nexus.yaml index 1a3fc5c3..308d6e53 100644 --- a/assets/project/baselitex-nexus.yaml +++ b/assets/project/baselitex-nexus.yaml @@ -24,3 +24,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/baselitex-nexys-video.yaml b/assets/project/baselitex-nexys-video.yaml index 855ba072..41fefde9 100644 --- a/assets/project/baselitex-nexys-video.yaml +++ b/assets/project/baselitex-nexys-video.yaml @@ -31,3 +31,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/baselitex.yaml b/assets/project/baselitex.yaml index 3bdf8a1d..775636c5 100644 --- a/assets/project/baselitex.yaml +++ b/assets/project/baselitex.yaml @@ -29,3 +29,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/blinky.yaml b/assets/project/blinky.yaml index a9963874..e1eb3e53 100644 --- a/assets/project/blinky.yaml +++ b/assets/project/blinky.yaml @@ -21,3 +21,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/bram-n1.yaml b/assets/project/bram-n1.yaml index 6d867bd6..f170d3d1 100644 --- a/assets/project/bram-n1.yaml +++ b/assets/project/bram-n1.yaml @@ -23,3 +23,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/bram-n2.yaml b/assets/project/bram-n2.yaml index 6b5373bd..e7969e0c 100644 --- a/assets/project/bram-n2.yaml +++ b/assets/project/bram-n2.yaml @@ -23,3 +23,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/bram-n3.yaml b/assets/project/bram-n3.yaml index 38380be1..af89c0a2 100644 --- a/assets/project/bram-n3.yaml +++ b/assets/project/bram-n3.yaml @@ -23,3 +23,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/daisho-usb3.yaml b/assets/project/daisho-usb3.yaml index 33cd7d92..73c4c697 100644 --- a/assets/project/daisho-usb3.yaml +++ b/assets/project/daisho-usb3.yaml @@ -33,3 +33,4 @@ skip_toolchains: - nextpnr-fpga-interchange - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/dram-test-64x1d.yaml b/assets/project/dram-test-64x1d.yaml index 2e6022de..434cd348 100644 --- a/assets/project/dram-test-64x1d.yaml +++ b/assets/project/dram-test-64x1d.yaml @@ -26,3 +26,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/gnl-2-4-3-1.3-gnl-2000-03-3-80-80.yaml b/assets/project/gnl-2-4-3-1.3-gnl-2000-03-3-80-80.yaml index 1c42a8b0..cc42bbaa 100644 --- a/assets/project/gnl-2-4-3-1.3-gnl-2000-03-3-80-80.yaml +++ b/assets/project/gnl-2-4-3-1.3-gnl-2000-03-3-80-80.yaml @@ -1,5 +1,6 @@ srcs: - src/gnl-2-4-3-1.3-gnl-2000-03-3-80-80/gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist + - src/gnl-2-4-3-1.3-gnl-2000-03-3-80-80/gnl_2_4_3_1.3_gnl_2000_03_3_80_80.json top: top name: gnl-2-4-3-1.3-gnl-2000-03-3-80-80 clocks: diff --git a/assets/project/gnl-2-4-5-1.3-gnl-2500-03-5-80-80.yaml b/assets/project/gnl-2-4-5-1.3-gnl-2500-03-5-80-80.yaml index 9f321efb..b8224399 100644 --- a/assets/project/gnl-2-4-5-1.3-gnl-2500-03-5-80-80.yaml +++ b/assets/project/gnl-2-4-5-1.3-gnl-2500-03-5-80-80.yaml @@ -1,5 +1,6 @@ srcs: - src/gnl-2-4-5-1.3-gnl-2500-03-5-80-80/gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist + - src/gnl-2-4-5-1.3-gnl-2500-03-5-80-80/gnl_2_4_5_1.3_gnl_2500_03_5_80_80.json top: top name: gnl-2-4-5-1.3-gnl-2500-03-5-80-80 clocks: diff --git a/assets/project/gnl-2-4-5-2.0-gnl-2000-08-5-80-80.yaml b/assets/project/gnl-2-4-5-2.0-gnl-2000-08-5-80-80.yaml index fc155881..572e3966 100644 --- a/assets/project/gnl-2-4-5-2.0-gnl-2000-08-5-80-80.yaml +++ b/assets/project/gnl-2-4-5-2.0-gnl-2000-08-5-80-80.yaml @@ -1,5 +1,6 @@ srcs: - src/gnl-2-4-5-2.0-gnl-2000-08-5-80-80/gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist + - src/gnl-2-4-5-2.0-gnl-2000-08-5-80-80/gnl_2_4_5_2.0_gnl_2000_08_5_80_80.json top: top name: gnl-2-4-5-2.0-gnl-2000-08-5-80-80 clocks: diff --git a/assets/project/hamsternz-displayport.yaml b/assets/project/hamsternz-displayport.yaml index a05b16ab..270c48a2 100644 --- a/assets/project/hamsternz-displayport.yaml +++ b/assets/project/hamsternz-displayport.yaml @@ -34,3 +34,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/hamsternz-hdmi.yaml b/assets/project/hamsternz-hdmi.yaml index ce9c7043..423cbfff 100644 --- a/assets/project/hamsternz-hdmi.yaml +++ b/assets/project/hamsternz-hdmi.yaml @@ -33,3 +33,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/hps-accel-gen1-nexus.yaml b/assets/project/hps-accel-gen1-nexus.yaml index b1937430..eb2a2570 100644 --- a/assets/project/hps-accel-gen1-nexus.yaml +++ b/assets/project/hps-accel-gen1-nexus.yaml @@ -17,3 +17,4 @@ vendors: - lifcl-17 required_toolchains: - nextpnr-nexus + - nextpnr-xilinx-already-synth diff --git a/assets/project/hps-accel-gen2-nexus.yaml b/assets/project/hps-accel-gen2-nexus.yaml index fa4d99f2..d54f6fc1 100644 --- a/assets/project/hps-accel-gen2-nexus.yaml +++ b/assets/project/hps-accel-gen2-nexus.yaml @@ -20,3 +20,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/ibex.yaml b/assets/project/ibex.yaml index 1ca5e654..ec2e07af 100644 --- a/assets/project/ibex.yaml +++ b/assets/project/ibex.yaml @@ -46,3 +46,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/iir.yaml b/assets/project/iir.yaml index 502faee7..0ee17378 100644 --- a/assets/project/iir.yaml +++ b/assets/project/iir.yaml @@ -9,3 +9,5 @@ vendors: - chandalar - quickfeather required_toolchains: [] +skip_toolchains: + - nextpnr-xilinx-already-synth diff --git a/assets/project/jpeg-qnr.yaml b/assets/project/jpeg-qnr.yaml index 622eaeeb..8c45de99 100644 --- a/assets/project/jpeg-qnr.yaml +++ b/assets/project/jpeg-qnr.yaml @@ -13,3 +13,4 @@ vendors: - quickfeather required_toolchains: - quicklogic + - nextpnr-xilinx-already-synth diff --git a/assets/project/murax.yaml b/assets/project/murax.yaml index 684cdb3e..1a208f48 100644 --- a/assets/project/murax.yaml +++ b/assets/project/murax.yaml @@ -27,3 +27,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/oneblink-nosynth.yaml b/assets/project/oneblink-nosynth.yaml index 6ded9fc9..a037eabc 100644 --- a/assets/project/oneblink-nosynth.yaml +++ b/assets/project/oneblink-nosynth.yaml @@ -1,5 +1,6 @@ srcs: - src/oneblink-nosynth/oneblink.netlist + - src/oneblink-nosynth/oneblink.json top: top name: oneblink-nosynth clocks: @@ -9,7 +10,6 @@ vendors: - arty-a35t - arty-a100t - nexys-video - - xczu7ev required_toolchains: - nextpnr-fpga-interchange-already-synth skip_toolchains: diff --git a/assets/project/oneblink.yaml b/assets/project/oneblink.yaml index 1c0f6db9..fd1b45e4 100644 --- a/assets/project/oneblink.yaml +++ b/assets/project/oneblink.yaml @@ -33,3 +33,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/picorv32.yaml b/assets/project/picorv32.yaml index 578f579e..775f24d6 100644 --- a/assets/project/picorv32.yaml +++ b/assets/project/picorv32.yaml @@ -22,3 +22,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/picosoc-simpleuart.yaml b/assets/project/picosoc-simpleuart.yaml index bfefb51e..3448a705 100644 --- a/assets/project/picosoc-simpleuart.yaml +++ b/assets/project/picosoc-simpleuart.yaml @@ -30,3 +30,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/picosoc-spimemio.yaml b/assets/project/picosoc-spimemio.yaml index b10e164d..34adbbb3 100644 --- a/assets/project/picosoc-spimemio.yaml +++ b/assets/project/picosoc-spimemio.yaml @@ -25,3 +25,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/picosoc.yaml b/assets/project/picosoc.yaml index 9e6e9d9a..d1d0cf0b 100644 --- a/assets/project/picosoc.yaml +++ b/assets/project/picosoc.yaml @@ -27,3 +27,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/rsdecoder.yaml b/assets/project/rsdecoder.yaml index eaab8b1e..fe5008c1 100644 --- a/assets/project/rsdecoder.yaml +++ b/assets/project/rsdecoder.yaml @@ -10,3 +10,4 @@ vendors: - quickfeather required_toolchains: - quicklogic + - nextpnr-xilinx-already-synth diff --git a/assets/project/up5k-nes.yaml b/assets/project/up5k-nes.yaml index b4278145..1700f27e 100644 --- a/assets/project/up5k-nes.yaml +++ b/assets/project/up5k-nes.yaml @@ -29,3 +29,5 @@ vendors: # TODO: yosys seems to infer more RAM blocks than the available ones #required_toolchains: # - nextpnr-ice40 +skip_toolchains: + - nextpnr-xilinx-already-synth diff --git a/assets/project/vexriscv-smp.yaml b/assets/project/vexriscv-smp.yaml index f7c33c28..4b6a71f1 100644 --- a/assets/project/vexriscv-smp.yaml +++ b/assets/project/vexriscv-smp.yaml @@ -20,3 +20,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/vexriscv.yaml b/assets/project/vexriscv.yaml index 39067c4a..7a5f1ca6 100644 --- a/assets/project/vexriscv.yaml +++ b/assets/project/vexriscv.yaml @@ -24,3 +24,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/project/zynq-ps7-counter.yaml b/assets/project/zynq-ps7-counter.yaml index 4c97953b..3bff5465 100644 --- a/assets/project/zynq-ps7-counter.yaml +++ b/assets/project/zynq-ps7-counter.yaml @@ -18,3 +18,4 @@ required_toolchains: skip_toolchains: - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth + - nextpnr-xilinx-already-synth diff --git a/assets/vendors.yaml b/assets/vendors.yaml index 35eb0cf0..10babd53 100644 --- a/assets/vendors.yaml +++ b/assets/vendors.yaml @@ -13,6 +13,7 @@ xilinx: - vpr - vpr-fasm2bels - nextpnr-xilinx + - nextpnr-xilinx-already-synth - nextpnr-fpga-interchange - nextpnr-fpga-interchange-already-synth - nextpnr-fpga-interchange-experimental-already-synth diff --git a/fpgaperf.py b/fpgaperf.py index 3e77cc2e..a05a1a44 100755 --- a/fpgaperf.py +++ b/fpgaperf.py @@ -20,6 +20,7 @@ import glob import logging from pathlib import Path +import traceback import os import re import signal @@ -29,7 +30,7 @@ from toolchains.icestorm import NextpnrIcestorm from toolchains.nextpnr import ( - NextpnrOxide, NextpnrXilinx, NextpnrFPGAInterchange, + NextpnrOxide, NextpnrXilinx, NextpnrXilinxNoSynth, NextpnrFPGAInterchange, NextPnrInterchangeNoSynth, NextPnrInterchangeExperimentalNoSynth ) from toolchains.vivado import Vivado, VivadoYosys, VivadoYosysUhdm @@ -61,6 +62,8 @@ NextpnrXilinx, 'nextpnr-xilinx-fasm2bels': NextpnrXilinxFasm2Bels, + 'nextpnr-xilinx-already-synth': + NextpnrXilinxNoSynth, 'nextpnr-fpga-interchange': NextpnrFPGAInterchange, 'nextpnr-fpga-interchange-already-synth': @@ -263,10 +266,14 @@ def run( tch.run() signal.alarm(0) except Exception as e: + stacktrace = traceback.format_exc() + stacktrace = stacktrace.split("\n") err = str(e) if not verbose and len(err) > 1000: err = f"[...]\n{err[-1000:]}" - logger.debug(f"ERROR: {err}") + for line in stacktrace: + logger.error(f"ERROR: {line}") + logger.error(f"ERROR: {err}") err = err.split("\n") else: logger.debug("Printing Stats") diff --git a/src/gnl-2-4-3-1.3-gnl-2000-03-3-80-80/gnl_2_4_3_1.3_gnl_2000_03_3_80_80.json b/src/gnl-2-4-3-1.3-gnl-2000-03-3-80-80/gnl_2_4_3_1.3_gnl_2000_03_3_80_80.json new file mode 100644 index 00000000..24167f6a --- /dev/null +++ b/src/gnl-2-4-3-1.3-gnl-2000-03-3-80-80/gnl_2_4_3_1.3_gnl_2000_03_3_80_80.json @@ -0,0 +1,126232 @@ +{ + "creator": "Yosys 0.20+45 (git sha1 029c2785e, clang 11.1.0-++20211011094159+1fdec59bffc1-1~exp1~20211011214614.8 -fPIC -Os)", + "modules": { + "\\$__ABC9_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000001010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.1-303.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + }, + "I6": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.39-290.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.43-290.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.47-290.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.51-290.53" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.55-290.57" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.59-290.61" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.63-290.65" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.30-290.31" + } + } + } + }, + "\\$__ABC9_LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000010100", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.1-322.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + }, + "I6": { + "direction": "input", + "bits": [ 9 ] + }, + "I7": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.39-308.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.43-308.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.47-308.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.51-308.53" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.55-308.57" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.59-308.61" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.63-308.65" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.67-308.69" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.30-308.31" + } + } + } + }, + "AND2B1L": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:995.1-1003.10" + }, + "parameter_default_values": { + "IS_SRI_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "SRI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:997.9-997.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:996.10-996.11" + } + }, + "SRI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_SRI_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:999.9-999.12" + } + } + } + }, + "BUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:103.1-112.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:106.11-106.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:105.12-105.13" + } + } + } + }, + "BUFGCTRL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:114.1-148.10" + }, + "parameter_default_values": { + "INIT_OUT": "0", + "IS_CE0_INVERTED": "0", + "IS_CE1_INVERTED": "0", + "IS_IGNORE0_INVERTED": "0", + "IS_IGNORE1_INVERTED": "0", + "IS_S0_INVERTED": "0", + "IS_S1_INVERTED": "0", + "PRESELECT_I0": "FALSE", + "PRESELECT_I1": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + }, + "S1": { + "direction": "input", + "bits": [ 6 ] + }, + "CE0": { + "direction": "input", + "bits": [ 7 ] + }, + "CE1": { + "direction": "input", + "bits": [ 8 ] + }, + "IGNORE0": { + "direction": "input", + "bits": [ 9 ] + }, + "IGNORE1": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "CE0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_CE0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:123.11-123.14" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "invertible_pin": "IS_CE1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:125.11-125.14" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:117.11-117.13" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:117.21-117.23" + } + }, + "IGNORE0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_IGNORE0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:127.11-127.18" + } + }, + "IGNORE1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_IGNORE1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:129.11-129.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:116.12-116.13" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_S0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:119.11-119.13" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_S1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:121.11-121.13" + } + } + } + }, + "BUFHCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:150.1-163.10" + }, + "parameter_default_values": { + "CE_TYPE": "SYNC", + "INIT_OUT": "0", + "IS_CE_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:155.11-155.13" + } + }, + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:153.11-153.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:152.12-152.13" + } + } + } + }, + "CARRY4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:367.1-436.10" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "O": { + "direction": "output", + "bits": [ 6, 7, 8, 9 ] + }, + "CI": { + "direction": "input", + "bits": [ 10 ] + }, + "CYINIT": { + "direction": "input", + "bits": [ 11 ] + }, + "DI": { + "direction": "input", + "bits": [ 12, 13, 14, 15 ] + }, + "S": { + "direction": "input", + "bits": [ 16, 17, 18, 19 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:372.16-372.18" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:369.16-369.18" + } + }, + "CYINIT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:373.16-373.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:374.16-374.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:370.16-370.17" + } + }, + "S": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:374.20-374.21" + } + } + } + }, + "CARRY8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:438.1-456.10" + }, + "parameter_default_values": { + "CARRY_TYPE": "SINGLE_CY8" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "O": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "CI": { + "direction": "input", + "bits": [ 18 ] + }, + "CI_TOP": { + "direction": "input", + "bits": [ 19 ] + }, + "DI": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ] + }, + "S": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:441.16-441.18" + } + }, + "CI_TOP": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:442.16-442.22" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:439.16-439.18" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:443.16-443.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:440.16-440.17" + } + }, + "S": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:443.20-443.21" + } + } + } + }, + "CFGLUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2431.1-2454.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "CDO": { + "direction": "output", + "bits": [ 2 ] + }, + "O5": { + "direction": "output", + "bits": [ 3 ] + }, + "O6": { + "direction": "output", + "bits": [ 4 ] + }, + "I4": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I2": { + "direction": "input", + "bits": [ 7 ] + }, + "I1": { + "direction": "input", + "bits": [ 8 ] + }, + "I0": { + "direction": "input", + "bits": [ 9 ] + }, + "CDI": { + "direction": "input", + "bits": [ 10 ] + }, + "CE": { + "direction": "input", + "bits": [ 11 ] + }, + "CLK": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CDI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2440.9-2440.12" + } + }, + "CDO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2432.10-2432.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2441.9-2441.11" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2444.9-2444.12" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2439.9-2439.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2438.9-2438.11" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2437.9-2437.11" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2436.9-2436.11" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2435.9-2435.11" + } + }, + "O5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2433.10-2433.12" + } + }, + "O6": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2434.10-2434.12" + } + } + } + }, + "DSP48": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2961.1-3189.10" + }, + "parameter_default_values": { + "AREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "LEGACY_MODE": "MULT18X18S", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "SUBTRACTREG": "00000000000000000000000000000001" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "BCIN": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159 ] + }, + "SUBTRACT": { + "direction": "input", + "bits": [ 160 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 161, 162 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276 ] + }, + "CLK": { + "direction": "input", + "bits": [ 277 ] + }, + "CEA": { + "direction": "input", + "bits": [ 278 ] + }, + "CEB": { + "direction": "input", + "bits": [ 279 ] + }, + "CEC": { + "direction": "input", + "bits": [ 280 ] + }, + "CEM": { + "direction": "input", + "bits": [ 281 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 282 ] + }, + "CECINSUB": { + "direction": "input", + "bits": [ 283 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 284 ] + }, + "CEP": { + "direction": "input", + "bits": [ 285 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 286 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 287 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 288 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 289 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 290 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 291 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 292 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2962.25-2962.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2963.25-2963.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2965.25-2965.29" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2972.26-2972.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2964.25-2964.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2967.11-2967.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 161, 162 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2970.17-2970.27" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2976.11-2976.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2977.11-2977.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2978.11-2978.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2980.11-2980.20" + } + }, + "CECINSUB": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2981.11-2981.19" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2982.11-2982.17" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2979.11-2979.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2983.11-2983.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2975.11-2975.14" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2968.17-2968.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2971.26-2971.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2966.25-2966.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2973.26-2973.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2984.11-2984.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2985.11-2985.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2986.11-2986.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2988.11-2988.21" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2989.11-2989.18" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2987.11-2987.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2990.11-2990.15" + } + }, + "SUBTRACT": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2969.11-2969.19" + } + } + } + }, + "DSP48A": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2565.1-2661.10" + }, + "parameter_default_values": { + "A0REG": "00000000000000000000000000000000", + "A1REG": "00000000000000000000000000000001", + "B0REG": "00000000000000000000000000000000", + "B1REG": "00000000000000000000000000000001", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSEL": "CARRYIN", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "RSTTYPE": "SYNC" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "D": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 275 ] + }, + "CLK": { + "direction": "input", + "bits": [ 276 ] + }, + "CEA": { + "direction": "input", + "bits": [ 277 ] + }, + "CEB": { + "direction": "input", + "bits": [ 278 ] + }, + "CEC": { + "direction": "input", + "bits": [ 279 ] + }, + "CED": { + "direction": "input", + "bits": [ 280 ] + }, + "CEM": { + "direction": "input", + "bits": [ 281 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 282 ] + }, + "CEOPMODE": { + "direction": "input", + "bits": [ 283 ] + }, + "CEP": { + "direction": "input", + "bits": [ 284 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 285 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 286 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 287 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 288 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 289 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 290 ] + }, + "RSTOPMODE": { + "direction": "input", + "bits": [ 291 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 292 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2566.25-2566.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2567.25-2567.26" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2574.26-2574.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2568.25-2568.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2571.11-2571.18" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2576.12-2576.20" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2579.11-2579.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2580.11-2580.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2581.11-2581.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2584.11-2584.20" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2582.11-2582.14" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2583.11-2583.14" + } + }, + "CEOPMODE": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2585.11-2585.19" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2586.11-2586.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2578.11-2578.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2569.25-2569.26" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2572.17-2572.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2573.26-2573.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2570.25-2570.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2575.26-2575.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2587.11-2587.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2588.11-2588.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2589.11-2589.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2592.11-2592.21" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2590.11-2590.15" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2591.11-2591.15" + } + }, + "RSTOPMODE": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2593.11-2593.20" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2594.11-2594.15" + } + } + } + }, + "DSP48A1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2665.1-2959.10" + }, + "parameter_default_values": { + "A0REG": "00000000000000000000000000000000", + "A1REG": "00000000000000000000000000000001", + "B0REG": "00000000000000000000000000000000", + "B1REG": "00000000000000000000000000000001", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSEL": "OPMODE5", + "CARRYOUTREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "RSTTYPE": "SYNC" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "D": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "M": { + "direction": "output", + "signed": 1, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 311 ] + }, + "CARRYOUTF": { + "direction": "output", + "bits": [ 312 ] + }, + "CLK": { + "direction": "input", + "bits": [ 313 ] + }, + "CEA": { + "direction": "input", + "bits": [ 314 ] + }, + "CEB": { + "direction": "input", + "bits": [ 315 ] + }, + "CEC": { + "direction": "input", + "bits": [ 316 ] + }, + "CED": { + "direction": "input", + "bits": [ 317 ] + }, + "CEM": { + "direction": "input", + "bits": [ 318 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 319 ] + }, + "CEOPMODE": { + "direction": "input", + "bits": [ 320 ] + }, + "CEP": { + "direction": "input", + "bits": [ 321 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 322 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 323 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 324 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 325 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 326 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 327 ] + }, + "RSTOPMODE": { + "direction": "input", + "bits": [ 328 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 329 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2666.25-2666.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2667.25-2667.26" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2675.26-2675.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2668.25-2668.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2671.11-2671.18" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2677.12-2677.20" + } + }, + "CARRYOUTF": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2678.12-2678.21" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2681.11-2681.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2682.11-2682.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2683.11-2683.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2686.11-2686.20" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2684.11-2684.14" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2685.11-2685.14" + } + }, + "CEOPMODE": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2687.11-2687.19" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2688.11-2688.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2680.11-2680.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2669.25-2669.26" + } + }, + "M": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2673.26-2673.27" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2672.17-2672.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2674.26-2674.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2670.25-2670.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2676.26-2676.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2689.11-2689.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2690.11-2690.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2691.11-2691.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2694.11-2694.21" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2692.11-2692.15" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2693.11-2693.15" + } + }, + "RSTOPMODE": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2695.11-2695.20" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2696.11-2696.15" + } + } + } + }, + "DSP48E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "0", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3203.1-3946.10" + }, + "parameter_default_values": { + "ACASCREG": "00000000000000000000000000000001", + "ADREG": "00000000000000000000000000000001", + "ALUMODEREG": "00000000000000000000000000000001", + "AREG": "00000000000000000000000000000001", + "AUTORESET_PATDET": "NO_RESET", + "A_INPUT": "DIRECT", + "BCASCREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "INMODEREG": "00000000000000000000000000000001", + "IS_ALUMODE_INVERTED": "0000", + "IS_CARRYIN_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_INMODE_INVERTED": "00000", + "IS_OPMODE_INVERTED": "0000000", + "MASK": "001111111111111111111111111111111111111111111111", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PATTERN": "000000000000000000000000000000000000000000000000", + "PREG": "00000000000000000000000000000001", + "SEL_MASK": "MASK", + "SEL_PATTERN": "PATTERN", + "USE_DPORT": "FALSE", + "USE_MULT": "MULTIPLY", + "USE_PATTERN_DETECT": "NO_PATDET", + "USE_SIMD": "ONE48" + }, + "ports": { + "ACOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "BCOUT": { + "direction": "output", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "CARRYCASCOUT": { + "direction": "output", + "bits": [ 50 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 51, 52, 53, 54 ] + }, + "MULTSIGNOUT": { + "direction": "output", + "bits": [ 55 ] + }, + "OVERFLOW": { + "direction": "output", + "bits": [ 56 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "PATTERNBDETECT": { + "direction": "output", + "bits": [ 105 ] + }, + "PATTERNDETECT": { + "direction": "output", + "bits": [ 106 ] + }, + "PCOUT": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + }, + "UNDERFLOW": { + "direction": "output", + "bits": [ 155 ] + }, + "A": { + "direction": "input", + "signed": 1, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ] + }, + "ACIN": { + "direction": "input", + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ] + }, + "ALUMODE": { + "direction": "input", + "bits": [ 216, 217, 218, 219 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "BCIN": { + "direction": "input", + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ] + }, + "C": { + "direction": "input", + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "CARRYCASCIN": { + "direction": "input", + "bits": [ 304 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 305 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 306, 307, 308 ] + }, + "CEA1": { + "direction": "input", + "bits": [ 309 ] + }, + "CEA2": { + "direction": "input", + "bits": [ 310 ] + }, + "CEAD": { + "direction": "input", + "bits": [ 311 ] + }, + "CEALUMODE": { + "direction": "input", + "bits": [ 312 ] + }, + "CEB1": { + "direction": "input", + "bits": [ 313 ] + }, + "CEB2": { + "direction": "input", + "bits": [ 314 ] + }, + "CEC": { + "direction": "input", + "bits": [ 315 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 316 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 317 ] + }, + "CED": { + "direction": "input", + "bits": [ 318 ] + }, + "CEINMODE": { + "direction": "input", + "bits": [ 319 ] + }, + "CEM": { + "direction": "input", + "bits": [ 320 ] + }, + "CEP": { + "direction": "input", + "bits": [ 321 ] + }, + "CLK": { + "direction": "input", + "bits": [ 322 ] + }, + "D": { + "direction": "input", + "bits": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ] + }, + "INMODE": { + "direction": "input", + "bits": [ 348, 349, 350, 351, 352 ] + }, + "MULTSIGNIN": { + "direction": "input", + "bits": [ 353 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 354, 355, 356, 357, 358, 359, 360 ] + }, + "PCIN": { + "direction": "input", + "bits": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 409 ] + }, + "RSTALLCARRYIN": { + "direction": "input", + "bits": [ 410 ] + }, + "RSTALUMODE": { + "direction": "input", + "bits": [ 411 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 412 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 413 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 414 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 415 ] + }, + "RSTINMODE": { + "direction": "input", + "bits": [ 416 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 417 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 418 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3215.25-3215.26" + } + }, + "ACIN": { + "hide_name": 0, + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3216.18-3216.22" + } + }, + "ACOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3204.19-3204.24" + } + }, + "ALUMODE": { + "hide_name": 0, + "bits": [ 216, 217, 218, 219 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3217.17-3217.24" + } + }, + "B": { + "hide_name": 0, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3218.25-3218.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3219.18-3219.22" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3205.19-3205.24" + } + }, + "C": { + "hide_name": 0, + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3220.18-3220.19" + } + }, + "CARRYCASCIN": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3221.11-3221.22" + } + }, + "CARRYCASCOUT": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3206.16-3206.28" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3222.11-3222.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 306, 307, 308 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3223.17-3223.27" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3207.22-3207.30" + } + }, + "CEA1": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3224.11-3224.15" + } + }, + "CEA2": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3225.11-3225.15" + } + }, + "CEAD": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3226.11-3226.15" + } + }, + "CEALUMODE": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3227.11-3227.20" + } + }, + "CEB1": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3228.11-3228.15" + } + }, + "CEB2": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3229.11-3229.15" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3230.11-3230.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3231.11-3231.20" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3232.11-3232.17" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3233.11-3233.14" + } + }, + "CEINMODE": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3234.11-3234.19" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3235.11-3235.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3236.11-3236.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3237.29-3237.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3238.18-3238.19" + } + }, + "INMODE": { + "hide_name": 0, + "bits": [ 348, 349, 350, 351, 352 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3239.17-3239.23" + } + }, + "MULTSIGNIN": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3240.11-3240.21" + } + }, + "MULTSIGNOUT": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3208.16-3208.27" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 354, 355, 356, 357, 358, 359, 360 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3241.17-3241.23" + } + }, + "OVERFLOW": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3209.12-3209.20" + } + }, + "P": { + "hide_name": 0, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3210.30-3210.31" + } + }, + "PATTERNBDETECT": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3211.16-3211.30" + } + }, + "PATTERNDETECT": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3212.16-3212.29" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3242.18-3242.22" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3213.19-3213.24" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3243.11-3243.15" + } + }, + "RSTALLCARRYIN": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3244.11-3244.24" + } + }, + "RSTALUMODE": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3245.11-3245.21" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3246.11-3246.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3247.11-3247.15" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3248.11-3248.18" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3249.11-3249.15" + } + }, + "RSTINMODE": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3250.11-3250.20" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3251.11-3251.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3252.11-3252.15" + } + }, + "UNDERFLOW": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3214.12-3214.21" + } + } + } + }, + "FDCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:672.1-718.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:676.9-676.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:677.9-677.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:679.9-679.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:681.9-681.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:673.14-673.15" + } + } + } + }, + "FDCE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:721.1-749.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:724.9-724.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:725.9-725.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:726.9-726.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:727.9-727.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:722.14-722.15" + } + } + } + }, + "FDCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:830.1-873.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:834.9-834.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:835.9-835.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:837.9-837.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:838.9-838.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:840.9-840.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:831.15-831.16" + } + } + } + }, + "FDCPE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:875.1-918.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:879.9-879.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:880.9-880.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:882.9-882.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:883.9-883.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:885.9-885.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:876.15-876.16" + } + } + } + }, + "FDPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:752.1-797.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:756.9-756.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:757.9-757.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:759.9-759.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:761.9-761.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:753.14-753.15" + } + } + } + }, + "FDPE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:800.1-828.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:803.9-803.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:804.9-804.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:805.9-805.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:806.9-806.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:801.14-801.15" + } + } + } + }, + "FDRE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:471.1-509.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:475.9-475.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:476.9-476.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:478.9-478.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:472.14-472.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:480.9-480.10" + } + } + } + }, + "FDRE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:512.1-533.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:515.9-515.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:516.9-516.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:517.9-517.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:513.14-513.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:518.9-518.10" + } + } + } + }, + "FDRSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:601.1-634.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CE_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + }, + "S": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:605.9-605.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:607.9-607.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:609.9-609.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:602.14-602.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:611.9-611.10" + } + }, + "S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:613.9-613.10" + } + } + } + }, + "FDRSE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:636.1-669.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CE_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + }, + "S": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:640.9-640.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:642.9-642.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:644.9-644.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:637.14-637.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:646.9-646.10" + } + }, + "S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:648.9-648.10" + } + } + } + }, + "FDSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:536.1-574.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "S": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:540.9-540.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:541.9-541.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:543.9-543.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:537.14-537.15" + } + }, + "S": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:545.9-545.10" + } + } + } + }, + "FDSE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:577.1-599.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "S": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:580.9-580.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:581.9-581.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:582.9-582.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:578.14-578.15" + } + }, + "S": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:583.9-583.10" + } + } + } + }, + "GND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:28.1-30.10" + }, + "ports": { + "G": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "G": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:28.19-28.20" + } + } + } + }, + "IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:32.1-42.10" + }, + "parameter_default_values": { + "IBUF_LOW_PWR": "00000000000000000000000000000000", + "IOSTANDARD": "default" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:35.11-35.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:33.12-33.13" + } + } + } + }, + "IBUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:44.1-53.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "IBUF_DELAY_VALUE": "0 ", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:47.11-47.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:45.12-45.13" + } + } + } + }, + "INV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:173.1-182.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:176.11-176.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_inv": "I", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:175.12-175.13" + } + } + } + }, + "IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:68.1-85.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "IO": { + "direction": "inout", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "T": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:72.11-72.12" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:70.11-70.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:71.12-71.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:73.11-73.12" + } + } + } + }, + "LDCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:920.1-940.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_G_INVERTED": "0", + "MSGON": "TRUE", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLR": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "G": { + "direction": "input", + "bits": [ 5 ] + }, + "GE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CLR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:923.9-923.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:924.9-924.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:926.9-926.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:927.9-927.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:921.14-921.15" + } + } + } + }, + "LDCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:964.1-993.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_CLR_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_GE_INVERTED": "0", + "IS_G_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLR": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "G": { + "direction": "input", + "bits": [ 5 ] + }, + "GE": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CLR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:967.9-967.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:969.9-969.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:971.9-971.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_GE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:973.9-973.11" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:975.9-975.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:965.14-965.15" + } + } + } + }, + "LDPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:942.1-962.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_G_INVERTED": "0", + "IS_PRE_INVERTED": "0", + "MSGON": "TRUE", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "G": { + "direction": "input", + "bits": [ 4 ] + }, + "GE": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:944.9-944.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:946.9-946.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:947.9-947.11" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:949.9-949.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:943.14-943.15" + } + } + } + }, + "LUT1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.1-191.10" + }, + "parameter_default_values": { + "INIT": "00" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.29-185.31" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.20-185.21" + } + } + } + }, + "LUT2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.1-202.10" + }, + "parameter_default_values": { + "INIT": "0000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.29-194.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.33-194.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.20-194.21" + } + } + } + }, + "LUT3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.1-215.10" + }, + "parameter_default_values": { + "INIT": "00000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.29-205.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.33-205.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.37-205.39" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.20-205.21" + } + } + } + }, + "LUT4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.1-230.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.29-218.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.33-218.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.37-218.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.41-218.43" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.20-218.21" + } + } + } + }, + "LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.1-247.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.29-233.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.33-233.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.37-233.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.41-233.43" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.45-233.47" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.20-233.21" + } + } + } + }, + "LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000101", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.1-269.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.29-253.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.33-253.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.37-253.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.41-253.43" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.45-253.47" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.49-253.51" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.20-253.21" + } + } + } + }, + "LUT6_2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.1-285.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O6": { + "direction": "output", + "bits": [ 2 ] + }, + "O5": { + "direction": "output", + "bits": [ 3 ] + }, + "I0": { + "direction": "input", + "bits": [ 4 ] + }, + "I1": { + "direction": "input", + "bits": [ 5 ] + }, + "I2": { + "direction": "input", + "bits": [ 6 ] + }, + "I3": { + "direction": "input", + "bits": [ 7 ] + }, + "I4": { + "direction": "input", + "bits": [ 8 ] + }, + "I5": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.43-271.45" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.47-271.49" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.51-271.53" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.55-271.57" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.59-271.61" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.63-271.65" + } + }, + "O5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.33-271.35" + } + }, + "O6": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.22-271.24" + } + } + } + }, + "MULT18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2462.1-2470.10" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2463.25-2463.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2464.25-2464.26" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2465.26-2465.27" + } + } + } + }, + "MULT18X18S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2474.1-2490.10" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "C": { + "direction": "input", + "bits": [ 74 ] + }, + "CE": { + "direction": "input", + "bits": [ 75 ] + }, + "R": { + "direction": "input", + "bits": [ 76 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2475.25-2475.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2476.25-2476.26" + } + }, + "C": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2479.11-2479.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2480.11-2480.13" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2477.30-2477.31" + } + }, + "R": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2481.11-2481.12" + } + } + } + }, + "MULT18X18SIO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2494.1-2561.10" + }, + "parameter_default_values": { + "AREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "PREG": "00000000000000000000000000000001" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "CLK": { + "direction": "input", + "bits": [ 74 ] + }, + "CEA": { + "direction": "input", + "bits": [ 75 ] + }, + "CEB": { + "direction": "input", + "bits": [ 76 ] + }, + "CEP": { + "direction": "input", + "bits": [ 77 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 78 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 79 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 80 ] + }, + "BCIN": { + "direction": "input", + "signed": 1, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2495.25-2495.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2496.25-2496.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2506.25-2506.29" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2507.26-2507.31" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2500.11-2500.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2501.11-2501.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2502.11-2502.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2499.11-2499.14" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2497.26-2497.27" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2503.11-2503.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2504.11-2504.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2505.11-2505.15" + } + } + } + }, + "MULT_AND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.1-464.10" + }, + "ports": { + "LO": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.35-462.37" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.39-462.41" + } + }, + "LO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.25-462.27" + } + } + } + }, + "MUXCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.1-326.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "DI": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.30-324.32" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.34-324.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.21-324.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.38-324.39" + } + } + } + }, + "MUXF5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.1-330.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.30-328.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.34-328.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.21-328.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.38-328.39" + } + } + } + }, + "MUXF6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.1-334.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.30-332.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.34-332.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.21-332.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.38-332.39" + } + } + } + }, + "MUXF7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.1-345.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.30-337.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.34-337.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.21-337.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.38-337.39" + } + } + } + }, + "MUXF8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.1-356.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.30-348.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.34-348.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.21-348.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.38-348.39" + } + } + } + }, + "MUXF9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.1-360.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.30-358.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.34-358.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.21-358.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.38-358.39" + } + } + } + }, + "OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:55.1-66.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IOSTANDARD": "default", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:58.11-58.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:57.12-57.13" + } + } + } + }, + "OBUFT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:87.1-101.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "DRIVE": "00000000000000000000000000001100", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "T": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:90.11-90.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:89.12-89.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:91.11-91.12" + } + } + } + }, + "OR2L": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1005.1-1013.10" + }, + "parameter_default_values": { + "IS_SRI_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "SRI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1007.9-1007.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1006.10-1006.11" + } + }, + "SRI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_SRI_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1009.9-1009.12" + } + } + } + }, + "ORCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.1-460.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.30-458.32" + } + }, + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.34-458.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.21-458.22" + } + } + } + }, + "RAM128X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1695.1-1754.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13 ] + }, + "DPRA": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1702.16-1702.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1697.16-1697.17" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1696.16-1696.19" + } + }, + "DPRA": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1703.16-1703.20" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1696.21-1696.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1700.16-1700.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1701.16-1701.18" + } + } + } + }, + "RAM128X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1127.1-1143.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.9-1129.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.13-1129.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.17-1129.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.21-1129.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.25-1129.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.29-1129.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.33-1129.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1130.9-1130.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1128.10-1128.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1133.9-1133.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1134.9-1134.11" + } + } + } + }, + "RAM128X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1145.1-1161.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.9-1147.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.13-1147.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.17-1147.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.21-1147.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.25-1147.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.29-1147.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.33-1147.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1148.9-1148.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1146.10-1146.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1151.9-1151.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1152.9-1152.11" + } + } + } + }, + "RAM16X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1440.1-1459.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.10-1447.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.14-1447.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.18-1447.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.22-1447.24" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1442.10-1442.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1441.10-1441.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.10-1448.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.17-1448.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.24-1448.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.31-1448.36" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1441.15-1441.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1445.10-1445.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1446.10-1446.12" + } + } + } + }, + "RAM16X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1461.1-1480.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.10-1468.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.14-1468.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.18-1468.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.22-1468.24" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1463.10-1463.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1462.10-1462.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.10-1469.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.17-1469.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.24-1469.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.31-1469.36" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1462.15-1462.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1466.10-1466.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1467.10-1467.12" + } + } + } + }, + "RAM16X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1019.1-1035.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "WE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.9-1021.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.13-1021.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.17-1021.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.21-1021.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1022.9-1022.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1020.10-1020.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1025.9-1025.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1026.9-1026.11" + } + } + } + }, + "RAM16X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1037.1-1053.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "WE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.9-1039.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.13-1039.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.17-1039.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.21-1039.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1040.9-1040.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1038.10-1038.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1043.9-1043.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1044.9-1044.11" + } + } + } + }, + "RAM16X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1199.1-1222.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "D0": { + "direction": "input", + "bits": [ 8 ] + }, + "D1": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.9-1201.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.13-1201.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.17-1201.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.21-1201.23" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1202.9-1202.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1202.13-1202.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1200.10-1200.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1200.14-1200.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1205.9-1205.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1206.9-1206.11" + } + } + } + }, + "RAM16X4S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1274.1-1305.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "INIT_02": "0000000000000000", + "INIT_03": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "O2": { + "direction": "output", + "bits": [ 4 ] + }, + "O3": { + "direction": "output", + "bits": [ 5 ] + }, + "A0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "A2": { + "direction": "input", + "bits": [ 8 ] + }, + "A3": { + "direction": "input", + "bits": [ 9 ] + }, + "D0": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "D2": { + "direction": "input", + "bits": [ 12 ] + }, + "D3": { + "direction": "input", + "bits": [ 13 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 14 ] + }, + "WE": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.9-1276.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.13-1276.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.17-1276.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.21-1276.23" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.9-1277.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.13-1277.15" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.17-1277.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.21-1277.23" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.10-1275.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.14-1275.16" + } + }, + "O2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.18-1275.20" + } + }, + "O3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.22-1275.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1280.9-1280.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1281.9-1281.11" + } + } + } + }, + "RAM16X8S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1340.1-1387.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "INIT_02": "0000000000000000", + "INIT_03": "0000000000000000", + "INIT_04": "0000000000000000", + "INIT_05": "0000000000000000", + "INIT_06": "0000000000000000", + "INIT_07": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A0": { + "direction": "input", + "bits": [ 10 ] + }, + "A1": { + "direction": "input", + "bits": [ 11 ] + }, + "A2": { + "direction": "input", + "bits": [ 12 ] + }, + "A3": { + "direction": "input", + "bits": [ 13 ] + }, + "D": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 22 ] + }, + "WE": { + "direction": "input", + "bits": [ 23 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.9-1342.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.13-1342.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.17-1342.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.21-1342.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1343.15-1343.16" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1341.16-1341.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1346.9-1346.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1347.9-1347.11" + } + } + } + }, + "RAM256X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1756.1-1772.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DPRA": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1763.16-1763.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1758.16-1758.17" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1757.10-1757.13" + } + }, + "DPRA": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1763.19-1763.23" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1757.15-1757.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1761.16-1761.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1762.16-1762.18" + } + } + } + }, + "RAM256X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1163.1-1178.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "D": { + "direction": "input", + "bits": [ 11 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WE": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1165.15-1165.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1166.9-1166.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1164.10-1164.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1169.9-1169.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1170.9-1170.11" + } + } + } + }, + "RAM32M": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1777.1-1879.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DOB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "DOC": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "DOD": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30, 31 ] + }, + "DIB": { + "direction": "input", + "bits": [ 32, 33 ] + }, + "DIC": { + "direction": "input", + "bits": [ 34, 35 ] + }, + "DID": { + "direction": "input", + "bits": [ 36, 37 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 38 ] + }, + "WE": { + "direction": "input", + "bits": [ 39 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.16-1782.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.23-1782.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.30-1782.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1783.16-1783.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30, 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1784.16-1784.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 32, 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1785.16-1785.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1786.16-1786.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1787.16-1787.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1778.16-1778.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1779.16-1779.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1780.16-1780.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1781.16-1781.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1790.16-1790.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1791.16-1791.18" + } + } + } + }, + "RAM32M16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1881.1-1948.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DOB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "DOC": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "DOD": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "DOE": { + "direction": "output", + "bits": [ 10, 11 ] + }, + "DOF": { + "direction": "output", + "bits": [ 12, 13 ] + }, + "DOG": { + "direction": "output", + "bits": [ 14, 15 ] + }, + "DOH": { + "direction": "output", + "bits": [ 16, 17 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 33, 34, 35, 36, 37 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 48, 49, 50, 51, 52 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59 ] + }, + "DIB": { + "direction": "input", + "bits": [ 60, 61 ] + }, + "DIC": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DID": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "DIE": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIF": { + "direction": "input", + "bits": [ 68, 69 ] + }, + "DIG": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "DIH": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "WE": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1890.15-1890.20" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1891.15-1891.20" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1892.15-1892.20" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1893.15-1893.20" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1894.15-1894.20" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1895.15-1895.20" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1896.15-1896.20" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1897.15-1897.20" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1898.15-1898.18" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 60, 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1899.15-1899.18" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1900.15-1900.18" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1901.15-1901.18" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1902.15-1902.18" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1903.15-1903.18" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1904.15-1904.18" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1905.15-1905.18" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1882.16-1882.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1883.16-1883.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1884.16-1884.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1885.16-1885.19" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1886.16-1886.19" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 12, 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1887.16-1887.19" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1888.16-1888.19" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1889.16-1889.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1908.9-1908.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1909.9-1909.11" + } + } + } + }, + "RAM32X16DR8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2106.1-2152.10" + }, + "parameter_default_values": { + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "DOE": { + "direction": "output", + "bits": [ 6 ] + }, + "DOF": { + "direction": "output", + "bits": [ 7 ] + }, + "DOG": { + "direction": "output", + "bits": [ 8 ] + }, + "DOH": { + "direction": "output", + "bits": [ 9, 10 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 17, 18, 19, 20, 21, 22 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 41, 42, 43, 44, 45, 46 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 47, 48, 49, 50, 51, 52 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59 ] + }, + "DIB": { + "direction": "input", + "bits": [ 60, 61 ] + }, + "DIC": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DID": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "DIE": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIF": { + "direction": "input", + "bits": [ 68, 69 ] + }, + "DIG": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "DIH": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "WE": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.16-2115.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.23-2115.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.30-2115.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.37-2115.42" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.44-2115.49" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.51-2115.56" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.58-2115.63" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2116.16-2116.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2117.16-2117.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 60, 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2118.16-2118.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2119.16-2119.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2120.16-2120.19" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2121.16-2121.19" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2122.16-2122.19" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2123.16-2123.19" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2124.16-2124.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2107.16-2107.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2108.16-2108.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2109.16-2109.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2110.16-2110.19" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2111.16-2111.19" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2112.16-2112.19" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2113.16-2113.19" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 9, 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2114.16-2114.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2127.16-2127.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2128.16-2128.18" + } + } + } + }, + "RAM32X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1483.1-1535.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.10-1490.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.14-1490.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.18-1490.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.22-1490.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.26-1490.28" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1485.10-1485.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1484.10-1484.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.10-1491.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.17-1491.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.24-1491.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.31-1491.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.38-1491.43" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1484.15-1484.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1488.10-1488.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1489.10-1489.12" + } + } + } + }, + "RAM32X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1538.1-1585.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1545.10-1545.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1546.10-1546.12" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1547.10-1547.12" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1548.10-1548.12" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1549.10-1549.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1540.10-1540.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1539.10-1539.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.10-1550.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.17-1550.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.24-1550.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.31-1550.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.38-1550.43" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1539.15-1539.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1543.10-1543.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1544.10-1544.12" + } + } + } + }, + "RAM32X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1055.1-1071.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "WE": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.9-1057.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.13-1057.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.17-1057.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.21-1057.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.25-1057.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1058.9-1058.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1056.10-1056.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1061.9-1061.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1062.9-1062.11" + } + } + } + }, + "RAM32X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1073.1-1089.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "WE": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.9-1075.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.13-1075.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.17-1075.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.21-1075.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.25-1075.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1076.9-1076.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1074.10-1074.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1079.9-1079.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1080.9-1080.11" + } + } + } + }, + "RAM32X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1224.1-1247.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "A4": { + "direction": "input", + "bits": [ 8 ] + }, + "D0": { + "direction": "input", + "bits": [ 9 ] + }, + "D1": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.9-1226.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.13-1226.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.17-1226.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.21-1226.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.25-1226.27" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1227.9-1227.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1227.13-1227.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1225.10-1225.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1225.14-1225.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1230.9-1230.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1231.9-1231.11" + } + } + } + }, + "RAM32X4S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1307.1-1338.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "INIT_02": "00000000000000000000000000000000", + "INIT_03": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "O2": { + "direction": "output", + "bits": [ 4 ] + }, + "O3": { + "direction": "output", + "bits": [ 5 ] + }, + "A0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "A2": { + "direction": "input", + "bits": [ 8 ] + }, + "A3": { + "direction": "input", + "bits": [ 9 ] + }, + "A4": { + "direction": "input", + "bits": [ 10 ] + }, + "D0": { + "direction": "input", + "bits": [ 11 ] + }, + "D1": { + "direction": "input", + "bits": [ 12 ] + }, + "D2": { + "direction": "input", + "bits": [ 13 ] + }, + "D3": { + "direction": "input", + "bits": [ 14 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 15 ] + }, + "WE": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.9-1309.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.13-1309.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.17-1309.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.21-1309.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.25-1309.27" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.9-1310.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.13-1310.15" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.17-1310.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.21-1310.23" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.10-1308.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.14-1308.16" + } + }, + "O2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.18-1308.20" + } + }, + "O3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.22-1308.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1313.9-1313.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1314.9-1314.11" + } + } + } + }, + "RAM32X8S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1389.1-1436.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "INIT_02": "00000000000000000000000000000000", + "INIT_03": "00000000000000000000000000000000", + "INIT_04": "00000000000000000000000000000000", + "INIT_05": "00000000000000000000000000000000", + "INIT_06": "00000000000000000000000000000000", + "INIT_07": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A0": { + "direction": "input", + "bits": [ 10 ] + }, + "A1": { + "direction": "input", + "bits": [ 11 ] + }, + "A2": { + "direction": "input", + "bits": [ 12 ] + }, + "A3": { + "direction": "input", + "bits": [ 13 ] + }, + "A4": { + "direction": "input", + "bits": [ 14 ] + }, + "D": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 23 ] + }, + "WE": { + "direction": "input", + "bits": [ 24 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.9-1391.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.13-1391.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.17-1391.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.21-1391.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.25-1391.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1392.15-1392.16" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1390.16-1390.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1395.9-1395.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1396.9-1396.11" + } + } + } + }, + "RAM512X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1180.1-1195.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ] + }, + "D": { + "direction": "input", + "bits": [ 12 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 13 ] + }, + "WE": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1182.15-1182.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1183.9-1183.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1181.10-1181.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1186.9-1186.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1187.9-1187.11" + } + } + } + }, + "RAM64M": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1951.1-2035.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22, 23 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30 ] + }, + "DIB": { + "direction": "input", + "bits": [ 31 ] + }, + "DIC": { + "direction": "input", + "bits": [ 32 ] + }, + "DID": { + "direction": "input", + "bits": [ 33 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 34 ] + }, + "WE": { + "direction": "input", + "bits": [ 35 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.16-1956.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.23-1956.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.30-1956.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1957.16-1957.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1958.16-1958.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1959.16-1959.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1960.16-1960.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1961.16-1961.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1952.16-1952.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1953.16-1953.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1954.16-1954.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1955.16-1955.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1964.16-1964.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1965.16-1965.18" + } + } + } + }, + "RAM64M8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2037.1-2104.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "DOE": { + "direction": "output", + "bits": [ 6 ] + }, + "DOF": { + "direction": "output", + "bits": [ 7 ] + }, + "DOG": { + "direction": "output", + "bits": [ 8 ] + }, + "DOH": { + "direction": "output", + "bits": [ 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 16, 17, 18, 19, 20, 21 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58 ] + }, + "DIB": { + "direction": "input", + "bits": [ 59 ] + }, + "DIC": { + "direction": "input", + "bits": [ 60 ] + }, + "DID": { + "direction": "input", + "bits": [ 61 ] + }, + "DIE": { + "direction": "input", + "bits": [ 62 ] + }, + "DIF": { + "direction": "input", + "bits": [ 63 ] + }, + "DIG": { + "direction": "input", + "bits": [ 64 ] + }, + "DIH": { + "direction": "input", + "bits": [ 65 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 66 ] + }, + "WE": { + "direction": "input", + "bits": [ 67 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2046.15-2046.20" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2047.15-2047.20" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2048.15-2048.20" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2049.15-2049.20" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2050.15-2050.20" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2051.15-2051.20" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2052.15-2052.20" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2053.15-2053.20" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2054.9-2054.12" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2055.9-2055.12" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2056.9-2056.12" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2057.9-2057.12" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2058.9-2058.12" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2059.9-2059.12" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2060.9-2060.12" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2061.9-2061.12" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2038.10-2038.13" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2039.10-2039.13" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2040.10-2040.13" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2041.10-2041.13" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2042.10-2042.13" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2043.10-2043.13" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2044.10-2044.13" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2045.10-2045.13" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2064.9-2064.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2065.9-2065.11" + } + } + } + }, + "RAM64X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1588.1-1644.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "A5": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 17 ] + }, + "DPRA5": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.10-1595.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.14-1595.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.18-1595.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.22-1595.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.26-1595.28" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.30-1595.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1590.10-1590.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1589.10-1589.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.10-1596.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.17-1596.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.24-1596.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.31-1596.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.38-1596.43" + } + }, + "DPRA5": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.45-1596.50" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1589.15-1589.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1593.10-1593.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1594.10-1594.12" + } + } + } + }, + "RAM64X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1646.1-1692.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "A5": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 17 ] + }, + "DPRA5": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.10-1653.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.14-1653.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.18-1653.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.22-1653.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.26-1653.28" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.30-1653.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1648.10-1648.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1647.10-1647.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.10-1654.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.17-1654.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.24-1654.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.31-1654.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.38-1654.43" + } + }, + "DPRA5": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.45-1654.50" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1647.15-1647.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1651.10-1651.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1652.10-1652.12" + } + } + } + }, + "RAM64X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1091.1-1107.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.9-1093.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.13-1093.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.17-1093.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.21-1093.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.25-1093.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.29-1093.31" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1094.9-1094.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1092.10-1092.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1097.9-1097.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1098.9-1098.11" + } + } + } + }, + "RAM64X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1109.1-1125.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.9-1111.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.13-1111.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.17-1111.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.21-1111.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.25-1111.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.29-1111.31" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1112.9-1112.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1110.10-1110.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1115.9-1115.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1116.9-1116.11" + } + } + } + }, + "RAM64X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1249.1-1272.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "A4": { + "direction": "input", + "bits": [ 8 ] + }, + "A5": { + "direction": "input", + "bits": [ 9 ] + }, + "D0": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WE": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.9-1251.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.13-1251.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.17-1251.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.21-1251.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.25-1251.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.29-1251.31" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1252.9-1252.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1252.13-1252.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1250.10-1250.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1250.14-1250.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1255.9-1255.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1256.9-1256.11" + } + } + } + }, + "RAM64X8SW": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2154.1-2203.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15 ] + }, + "D": { + "direction": "input", + "bits": [ 16 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 17 ] + }, + "WE": { + "direction": "input", + "bits": [ 18 ] + }, + "WSEL": { + "direction": "input", + "bits": [ 19, 20, 21 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2156.15-2156.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2157.9-2157.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2155.16-2155.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2160.9-2160.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2161.9-2161.11" + } + }, + "WSEL": { + "hide_name": 0, + "bits": [ 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2162.15-2162.19" + } + } + } + }, + "RAMB18E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3952.1-4128.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RAM_MODE": "TDP", + "RDADDR_COLLISION_HWCONFIG": "DELAYED_WRITE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "VIRTEX6", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CLKARDCLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 4 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 5 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 6 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 7 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 8 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 9 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 10 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 11 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 74, 75 ] + }, + "WEA": { + "direction": "input", + "bits": [ 76, 77 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 78, 79, 80, 81 ] + }, + "DOADO": { + "direction": "output", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 114, 115 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 116, 117 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3973.18-3973.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3974.18-3974.29" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3955.11-3955.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3958.11-3958.20" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3975.18-3975.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3976.18-3976.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3977.17-3977.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 74, 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3978.17-3978.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3981.19-3981.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3982.19-3982.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 114, 115 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3983.18-3983.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 116, 117 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3984.18-3984.25" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3960.11-3960.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3962.11-3962.18" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3963.11-3963.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3964.11-3964.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3966.11-3966.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3968.11-3968.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3970.11-3970.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3972.11-3972.18" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 76, 77 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3979.17-3979.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3980.17-3980.22" + } + } + } + }, + "RAMB36E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4130.1-4392.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "EN_ECC_READ": "FALSE", + "EN_ECC_WRITE": "FALSE", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_40": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_41": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_42": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_43": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_44": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_45": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_46": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_47": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_48": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_49": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_50": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_51": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_52": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_53": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_54": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_55": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_56": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_57": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_58": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_59": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_60": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_61": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_62": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_63": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_64": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_65": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_66": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_67": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_68": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_69": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_70": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_71": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_72": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_73": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_74": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_75": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_76": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_77": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_78": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_79": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RAM_EXTENSION_A": "NONE", + "RAM_EXTENSION_B": "NONE", + "RAM_MODE": "TDP", + "RDADDR_COLLISION_HWCONFIG": "DELAYED_WRITE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "VIRTEX6", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CASCADEOUTA": { + "direction": "output", + "bits": [ 2 ] + }, + "CASCADEOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOADO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 68, 69, 70, 71 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 72, 73, 74, 75 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "RDADDRECC": { + "direction": "output", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 93 ] + }, + "DBITERR": { + "direction": "output", + "bits": [ 94 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 95 ] + }, + "CLKARDCLK": { + "direction": "input", + "bits": [ 96 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 97 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 98 ] + }, + "CASCADEINA": { + "direction": "input", + "bits": [ 99 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 100 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 101 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 102 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 103 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 104 ] + }, + "CASCADEINB": { + "direction": "input", + "bits": [ 105 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 106 ] + }, + "INJECTDBITERR": { + "direction": "input", + "bits": [ 107 ] + }, + "INJECTSBITERR": { + "direction": "input", + "bits": [ 108 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 205, 206, 207, 208 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 209, 210, 211, 212 ] + }, + "WEA": { + "direction": "input", + "bits": [ 213, 214, 215, 216 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4165.18-4165.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4166.18-4166.29" + } + }, + "CASCADEINA": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4150.11-4150.21" + } + }, + "CASCADEINB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4161.11-4161.21" + } + }, + "CASCADEOUTA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4131.12-4131.23" + } + }, + "CASCADEOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4132.12-4132.23" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4145.11-4145.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4156.11-4156.20" + } + }, + "DBITERR": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4140.12-4140.19" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4167.18-4167.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4168.18-4168.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 205, 206, 207, 208 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4169.17-4169.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 209, 210, 211, 212 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4170.17-4170.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4133.19-4133.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4134.19-4134.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4135.18-4135.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4136.18-4136.25" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4137.18-4137.27" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4142.11-4142.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4153.11-4153.18" + } + }, + "INJECTDBITERR": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4163.11-4163.24" + } + }, + "INJECTSBITERR": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4164.11-4164.24" + } + }, + "RDADDRECC": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4138.18-4138.27" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4151.11-4151.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4162.11-4162.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4147.11-4147.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4158.11-4158.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4149.11-4149.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4160.11-4160.18" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4139.12-4139.19" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 213, 214, 215, 216 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4171.17-4171.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4172.17-4172.22" + } + } + } + }, + "ROM128X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2231.1-2237.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.9-2233.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.13-2233.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.17-2233.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.21-2233.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.25-2233.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.29-2233.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.33-2233.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2232.10-2232.11" + } + } + } + }, + "ROM16X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2207.1-2213.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.9-2209.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.13-2209.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.17-2209.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.21-2209.23" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2208.10-2208.11" + } + } + } + }, + "ROM256X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2239.1-2245.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "A7": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.9-2241.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.13-2241.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.17-2241.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.21-2241.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.25-2241.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.29-2241.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.33-2241.35" + } + }, + "A7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.37-2241.39" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2240.10-2240.11" + } + } + } + }, + "ROM32X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2215.1-2221.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.9-2217.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.13-2217.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.17-2217.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.21-2217.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.25-2217.27" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2216.10-2216.11" + } + } + } + }, + "ROM64X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2223.1-2229.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.9-2225.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.13-2225.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.17-2225.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.21-2225.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.25-2225.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.29-2225.31" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2224.10-2224.11" + } + } + } + }, + "SRL16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2250.1-2273.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "CLK": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.9-2252.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.13-2252.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.17-2252.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.21-2252.23" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2254.9-2254.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2255.9-2255.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2251.10-2251.11" + } + } + } + }, + "SRL16E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2276.1-2311.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "CE": { + "direction": "input", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.9-2278.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.13-2278.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.17-2278.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.21-2278.23" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.25-2278.27" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2281.9-2281.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2282.9-2282.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2277.10-2277.11" + } + } + } + }, + "SRLC16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2314.1-2341.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q15": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.9-2317.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.13-2317.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.17-2317.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.21-2317.23" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2319.9-2319.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2320.9-2320.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2315.10-2315.11" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2316.10-2316.13" + } + } + } + }, + "SRLC16E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2344.1-2384.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q15": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "CE": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.9-2347.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.13-2347.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.17-2347.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.21-2347.23" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.25-2347.27" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2350.9-2350.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2351.9-2351.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2345.10-2345.11" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2346.10-2346.13" + } + } + } + }, + "SRLC32E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2387.1-2429.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q31": { + "direction": "output", + "bits": [ 3 ] + }, + "A": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8 ] + }, + "CE": { + "direction": "input", + "bits": [ 9 ] + }, + "CLK": { + "direction": "input", + "bits": [ 10 ] + }, + "D": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2390.15-2390.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2391.9-2391.11" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2394.9-2394.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2395.9-2395.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2388.10-2388.11" + } + }, + "Q31": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2389.10-2389.13" + } + } + } + }, + "VCC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:24.1-26.10" + }, + "ports": { + "P": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "P": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:24.19-24.20" + } + } + } + }, + "XORCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.1-364.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "LI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.30-362.32" + } + }, + "LI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.34-362.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.21-362.22" + } + } + } + }, + "gnl_2000_03_3_80_80": { + "attributes": { + "top": "00000000000000000000000000000001", + "STRUCTURAL_NETLIST": "yes", + "ECO_CHECKSUM": "8cda5dd2", + "cells_not_processed": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:91.1-35004.10" + }, + "ports": { + "ip": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ] + }, + "op": { + "direction": "output", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "clk": { + "direction": "input", + "bits": [ 161 ] + } + }, + "cells": { + "FD_a": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3154.5-3159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 164 ], + "Q": [ 165 ], + "R": [ 166 ] + } + }, + "FD_aa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3164.5-3169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 167 ], + "Q": [ 168 ], + "R": [ 166 ] + } + }, + "FD_ab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3174.5-3179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 169 ], + "Q": [ 170 ], + "R": [ 166 ] + } + }, + "FD_ac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3184.5-3189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 171 ], + "Q": [ 172 ], + "R": [ 166 ] + } + }, + "FD_ad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3194.5-3199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 173 ], + "Q": [ 174 ], + "R": [ 166 ] + } + }, + "FD_ae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3204.5-3209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 175 ], + "Q": [ 176 ], + "R": [ 166 ] + } + }, + "FD_af": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3214.5-3219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 177 ], + "Q": [ 178 ], + "R": [ 166 ] + } + }, + "FD_ag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3224.5-3229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 179 ], + "Q": [ 180 ], + "R": [ 166 ] + } + }, + "FD_ah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3234.5-3239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 181 ], + "Q": [ 182 ], + "R": [ 166 ] + } + }, + "FD_ai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3244.5-3249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 183 ], + "Q": [ 184 ], + "R": [ 166 ] + } + }, + "FD_aj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3254.5-3259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 185 ], + "Q": [ 186 ], + "R": [ 166 ] + } + }, + "FD_ak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3264.5-3269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 187 ], + "Q": [ 188 ], + "R": [ 166 ] + } + }, + "FD_al": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3274.5-3279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 189 ], + "Q": [ 190 ], + "R": [ 166 ] + } + }, + "FD_am": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3284.5-3289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 191 ], + "Q": [ 192 ], + "R": [ 166 ] + } + }, + "FD_an": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3294.5-3299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 193 ], + "Q": [ 194 ], + "R": [ 166 ] + } + }, + "FD_ao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3304.5-3309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 195 ], + "Q": [ 196 ], + "R": [ 166 ] + } + }, + "FD_ap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3314.5-3319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 197 ], + "Q": [ 198 ], + "R": [ 166 ] + } + }, + "FD_b": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3324.5-3329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 199 ], + "Q": [ 200 ], + "R": [ 166 ] + } + }, + "FD_ba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3334.5-3339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 201 ], + "Q": [ 202 ], + "R": [ 166 ] + } + }, + "FD_bb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3344.5-3349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 203 ], + "Q": [ 204 ], + "R": [ 166 ] + } + }, + "FD_bc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3354.5-3359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 205 ], + "Q": [ 206 ], + "R": [ 166 ] + } + }, + "FD_bd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3364.5-3369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 207 ], + "Q": [ 208 ], + "R": [ 166 ] + } + }, + "FD_be": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3374.5-3379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 209 ], + "Q": [ 210 ], + "R": [ 166 ] + } + }, + "FD_bf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3384.5-3389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 211 ], + "Q": [ 212 ], + "R": [ 166 ] + } + }, + "FD_bg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3394.5-3399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 213 ], + "Q": [ 214 ], + "R": [ 166 ] + } + }, + "FD_bh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3404.5-3409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 215 ], + "Q": [ 216 ], + "R": [ 166 ] + } + }, + "FD_bi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3414.5-3419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 217 ], + "Q": [ 218 ], + "R": [ 166 ] + } + }, + "FD_bj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3424.5-3429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 219 ], + "Q": [ 220 ], + "R": [ 166 ] + } + }, + "FD_bk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3434.5-3439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 221 ], + "Q": [ 222 ], + "R": [ 166 ] + } + }, + "FD_bl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3444.5-3449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 223 ], + "Q": [ 224 ], + "R": [ 166 ] + } + }, + "FD_bm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3454.5-3459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 225 ], + "Q": [ 226 ], + "R": [ 166 ] + } + }, + "FD_bn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3464.5-3469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 227 ], + "Q": [ 228 ], + "R": [ 166 ] + } + }, + "FD_bo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3474.5-3479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 229 ], + "Q": [ 230 ], + "R": [ 166 ] + } + }, + "FD_bp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3484.5-3489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 231 ], + "Q": [ 232 ], + "R": [ 166 ] + } + }, + "FD_c": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3494.5-3499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 233 ], + "Q": [ 234 ], + "R": [ 166 ] + } + }, + "FD_ca": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3504.5-3509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 235 ], + "Q": [ 236 ], + "R": [ 166 ] + } + }, + "FD_cb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3514.5-3519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 237 ], + "Q": [ 238 ], + "R": [ 166 ] + } + }, + "FD_cc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3524.5-3529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 239 ], + "Q": [ 240 ], + "R": [ 166 ] + } + }, + "FD_cd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3534.5-3539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 241 ], + "Q": [ 242 ], + "R": [ 166 ] + } + }, + "FD_ce": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3544.5-3549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 243 ], + "Q": [ 244 ], + "R": [ 166 ] + } + }, + "FD_cf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3554.5-3559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 245 ], + "Q": [ 246 ], + "R": [ 166 ] + } + }, + "FD_cg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3564.5-3569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 247 ], + "Q": [ 248 ], + "R": [ 166 ] + } + }, + "FD_ch": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3574.5-3579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 249 ], + "Q": [ 250 ], + "R": [ 166 ] + } + }, + "FD_ci": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3584.5-3589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 251 ], + "Q": [ 252 ], + "R": [ 166 ] + } + }, + "FD_cj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3594.5-3599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 253 ], + "Q": [ 254 ], + "R": [ 166 ] + } + }, + "FD_ck": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3604.5-3609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 255 ], + "Q": [ 256 ], + "R": [ 166 ] + } + }, + "FD_cl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3614.5-3619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 257 ], + "Q": [ 258 ], + "R": [ 166 ] + } + }, + "FD_cm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3624.5-3629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 259 ], + "Q": [ 260 ], + "R": [ 166 ] + } + }, + "FD_cn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3634.5-3639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 261 ], + "Q": [ 262 ], + "R": [ 166 ] + } + }, + "FD_co": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3644.5-3649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 263 ], + "Q": [ 264 ], + "R": [ 166 ] + } + }, + "FD_cp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3654.5-3659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 265 ], + "Q": [ 266 ], + "R": [ 166 ] + } + }, + "FD_d": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3664.5-3669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 267 ], + "Q": [ 268 ], + "R": [ 166 ] + } + }, + "FD_da": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3674.5-3679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 269 ], + "Q": [ 270 ], + "R": [ 166 ] + } + }, + "FD_db": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3684.5-3689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 271 ], + "Q": [ 272 ], + "R": [ 166 ] + } + }, + "FD_dc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3694.5-3699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 273 ], + "Q": [ 274 ], + "R": [ 166 ] + } + }, + "FD_dd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3704.5-3709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 275 ], + "Q": [ 276 ], + "R": [ 166 ] + } + }, + "FD_de": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3714.5-3719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 277 ], + "Q": [ 278 ], + "R": [ 166 ] + } + }, + "FD_df": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3724.5-3729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 279 ], + "Q": [ 280 ], + "R": [ 166 ] + } + }, + "FD_dg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3734.5-3739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 281 ], + "Q": [ 282 ], + "R": [ 166 ] + } + }, + "FD_dh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3744.5-3749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 283 ], + "Q": [ 284 ], + "R": [ 166 ] + } + }, + "FD_di": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3754.5-3759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 285 ], + "Q": [ 286 ], + "R": [ 166 ] + } + }, + "FD_dj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3764.5-3769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 287 ], + "Q": [ 288 ], + "R": [ 166 ] + } + }, + "FD_dk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3774.5-3779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 289 ], + "Q": [ 290 ], + "R": [ 166 ] + } + }, + "FD_dl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3784.5-3789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 291 ], + "Q": [ 292 ], + "R": [ 166 ] + } + }, + "FD_dm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3794.5-3799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 293 ], + "Q": [ 294 ], + "R": [ 166 ] + } + }, + "FD_dn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3804.5-3809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 295 ], + "Q": [ 296 ], + "R": [ 166 ] + } + }, + "FD_do": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3814.5-3819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 297 ], + "Q": [ 298 ], + "R": [ 166 ] + } + }, + "FD_dp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3824.5-3829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 299 ], + "Q": [ 300 ], + "R": [ 166 ] + } + }, + "FD_e": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3834.5-3839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 301 ], + "Q": [ 302 ], + "R": [ 166 ] + } + }, + "FD_ea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3844.5-3849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 303 ], + "Q": [ 304 ], + "R": [ 166 ] + } + }, + "FD_eb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3854.5-3859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 305 ], + "Q": [ 306 ], + "R": [ 166 ] + } + }, + "FD_ec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3864.5-3869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 307 ], + "Q": [ 308 ], + "R": [ 166 ] + } + }, + "FD_ed": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3874.5-3879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 309 ], + "Q": [ 310 ], + "R": [ 166 ] + } + }, + "FD_ee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3884.5-3889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 311 ], + "Q": [ 312 ], + "R": [ 166 ] + } + }, + "FD_ef": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3894.5-3899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 313 ], + "Q": [ 314 ], + "R": [ 166 ] + } + }, + "FD_eg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3904.5-3909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 315 ], + "Q": [ 316 ], + "R": [ 166 ] + } + }, + "FD_eh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3914.5-3919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 317 ], + "Q": [ 318 ], + "R": [ 166 ] + } + }, + "FD_ei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3924.5-3929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 319 ], + "Q": [ 320 ], + "R": [ 166 ] + } + }, + "FD_ej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3934.5-3939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 321 ], + "Q": [ 322 ], + "R": [ 166 ] + } + }, + "FD_ek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3944.5-3949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 323 ], + "Q": [ 324 ], + "R": [ 166 ] + } + }, + "FD_el": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3954.5-3959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 325 ], + "Q": [ 326 ], + "R": [ 166 ] + } + }, + "FD_em": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3964.5-3969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 327 ], + "Q": [ 328 ], + "R": [ 166 ] + } + }, + "FD_en": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3974.5-3979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 329 ], + "Q": [ 330 ], + "R": [ 166 ] + } + }, + "FD_eo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3984.5-3989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 331 ], + "Q": [ 332 ], + "R": [ 166 ] + } + }, + "FD_ep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3994.5-3999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 333 ], + "Q": [ 334 ], + "R": [ 166 ] + } + }, + "FD_f": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4004.5-4009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 335 ], + "Q": [ 336 ], + "R": [ 166 ] + } + }, + "FD_fa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4014.5-4019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 337 ], + "Q": [ 338 ], + "R": [ 166 ] + } + }, + "FD_fb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4024.5-4029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 339 ], + "Q": [ 340 ], + "R": [ 166 ] + } + }, + "FD_fc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4034.5-4039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 341 ], + "Q": [ 342 ], + "R": [ 166 ] + } + }, + "FD_fd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4044.5-4049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 343 ], + "Q": [ 344 ], + "R": [ 166 ] + } + }, + "FD_fe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4054.5-4059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 345 ], + "Q": [ 346 ], + "R": [ 166 ] + } + }, + "FD_ff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4064.5-4069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 347 ], + "Q": [ 348 ], + "R": [ 166 ] + } + }, + "FD_fg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4074.5-4079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 349 ], + "Q": [ 350 ], + "R": [ 166 ] + } + }, + "FD_fh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4084.5-4089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 351 ], + "Q": [ 352 ], + "R": [ 166 ] + } + }, + "FD_fi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4094.5-4099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 353 ], + "Q": [ 354 ], + "R": [ 166 ] + } + }, + "FD_fj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4104.5-4109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 355 ], + "Q": [ 356 ], + "R": [ 166 ] + } + }, + "FD_fk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4114.5-4119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 357 ], + "Q": [ 358 ], + "R": [ 166 ] + } + }, + "FD_fl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4124.5-4129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 359 ], + "Q": [ 360 ], + "R": [ 166 ] + } + }, + "FD_fm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4134.5-4139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 361 ], + "Q": [ 362 ], + "R": [ 166 ] + } + }, + "FD_fn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4144.5-4149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 363 ], + "Q": [ 364 ], + "R": [ 166 ] + } + }, + "FD_fo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4154.5-4159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 365 ], + "Q": [ 366 ], + "R": [ 166 ] + } + }, + "FD_fp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4164.5-4169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 367 ], + "Q": [ 368 ], + "R": [ 166 ] + } + }, + "FD_g": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4174.5-4179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 369 ], + "Q": [ 370 ], + "R": [ 166 ] + } + }, + "FD_h": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4184.5-4189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 371 ], + "Q": [ 372 ], + "R": [ 166 ] + } + }, + "FD_ha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4194.5-4199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 373 ], + "Q": [ 374 ], + "R": [ 166 ] + } + }, + "FD_haa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4204.5-4209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 375 ], + "Q": [ 376 ], + "R": [ 166 ] + } + }, + "FD_hab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4214.5-4219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 377 ], + "Q": [ 378 ], + "R": [ 166 ] + } + }, + "FD_hac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4224.5-4229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 379 ], + "Q": [ 380 ], + "R": [ 166 ] + } + }, + "FD_had": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4234.5-4239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 381 ], + "Q": [ 382 ], + "R": [ 166 ] + } + }, + "FD_hae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4244.5-4249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 383 ], + "Q": [ 384 ], + "R": [ 166 ] + } + }, + "FD_haf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4254.5-4259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 385 ], + "Q": [ 386 ], + "R": [ 166 ] + } + }, + "FD_hag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4264.5-4269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 387 ], + "Q": [ 388 ], + "R": [ 166 ] + } + }, + "FD_hah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4274.5-4279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 389 ], + "Q": [ 390 ], + "R": [ 166 ] + } + }, + "FD_hai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4284.5-4289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 391 ], + "Q": [ 392 ], + "R": [ 166 ] + } + }, + "FD_haj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4294.5-4299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 393 ], + "Q": [ 394 ], + "R": [ 166 ] + } + }, + "FD_hak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4304.5-4309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 395 ], + "Q": [ 396 ], + "R": [ 166 ] + } + }, + "FD_hal": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4314.5-4319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 397 ], + "Q": [ 398 ], + "R": [ 166 ] + } + }, + "FD_ham": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4324.5-4329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 399 ], + "Q": [ 400 ], + "R": [ 166 ] + } + }, + "FD_han": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4334.5-4339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 401 ], + "Q": [ 402 ], + "R": [ 166 ] + } + }, + "FD_hao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4344.5-4349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 403 ], + "Q": [ 404 ], + "R": [ 166 ] + } + }, + "FD_hap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4354.5-4359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 405 ], + "Q": [ 406 ], + "R": [ 166 ] + } + }, + "FD_hb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4364.5-4369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 407 ], + "Q": [ 408 ], + "R": [ 166 ] + } + }, + "FD_hba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4374.5-4379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 409 ], + "Q": [ 410 ], + "R": [ 166 ] + } + }, + "FD_hbb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4384.5-4389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 411 ], + "Q": [ 412 ], + "R": [ 166 ] + } + }, + "FD_hbc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4394.5-4399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 413 ], + "Q": [ 414 ], + "R": [ 166 ] + } + }, + "FD_hbd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4404.5-4409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 415 ], + "Q": [ 416 ], + "R": [ 166 ] + } + }, + "FD_hbe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4414.5-4419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 417 ], + "Q": [ 418 ], + "R": [ 166 ] + } + }, + "FD_hbf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4424.5-4429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 419 ], + "Q": [ 420 ], + "R": [ 166 ] + } + }, + "FD_hbg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4434.5-4439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 421 ], + "Q": [ 422 ], + "R": [ 166 ] + } + }, + "FD_hbh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4444.5-4449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 423 ], + "Q": [ 424 ], + "R": [ 166 ] + } + }, + "FD_hbi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4454.5-4459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 425 ], + "Q": [ 426 ], + "R": [ 166 ] + } + }, + "FD_hbj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4464.5-4469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 427 ], + "Q": [ 428 ], + "R": [ 166 ] + } + }, + "FD_hbk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4474.5-4479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 429 ], + "Q": [ 430 ], + "R": [ 166 ] + } + }, + "FD_hbl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4484.5-4489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 431 ], + "Q": [ 432 ], + "R": [ 166 ] + } + }, + "FD_hbm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4494.5-4499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 433 ], + "Q": [ 434 ], + "R": [ 166 ] + } + }, + "FD_hbn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4504.5-4509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 435 ], + "Q": [ 436 ], + "R": [ 166 ] + } + }, + "FD_hbo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4514.5-4519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 437 ], + "Q": [ 438 ], + "R": [ 166 ] + } + }, + "FD_hbp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4524.5-4529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 439 ], + "Q": [ 440 ], + "R": [ 166 ] + } + }, + "FD_hc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4534.5-4539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 441 ], + "Q": [ 442 ], + "R": [ 166 ] + } + }, + "FD_hca": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4544.5-4549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 443 ], + "Q": [ 444 ], + "R": [ 166 ] + } + }, + "FD_hcb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4554.5-4559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 445 ], + "Q": [ 446 ], + "R": [ 166 ] + } + }, + "FD_hcc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4564.5-4569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 447 ], + "Q": [ 448 ], + "R": [ 166 ] + } + }, + "FD_hcd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4574.5-4579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 449 ], + "Q": [ 450 ], + "R": [ 166 ] + } + }, + "FD_hce": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4584.5-4589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 451 ], + "Q": [ 452 ], + "R": [ 166 ] + } + }, + "FD_hcf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4594.5-4599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 453 ], + "Q": [ 454 ], + "R": [ 166 ] + } + }, + "FD_hcg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4604.5-4609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 455 ], + "Q": [ 456 ], + "R": [ 166 ] + } + }, + "FD_hch": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4614.5-4619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 457 ], + "Q": [ 458 ], + "R": [ 166 ] + } + }, + "FD_hci": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4624.5-4629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 459 ], + "Q": [ 460 ], + "R": [ 166 ] + } + }, + "FD_hcj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4634.5-4639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 461 ], + "Q": [ 462 ], + "R": [ 166 ] + } + }, + "FD_hck": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4644.5-4649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 463 ], + "Q": [ 464 ], + "R": [ 166 ] + } + }, + "FD_hcl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4654.5-4659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 465 ], + "Q": [ 466 ], + "R": [ 166 ] + } + }, + "FD_hcm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4664.5-4669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 467 ], + "Q": [ 468 ], + "R": [ 166 ] + } + }, + "FD_hcn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4674.5-4679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 469 ], + "Q": [ 470 ], + "R": [ 166 ] + } + }, + "FD_hco": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4684.5-4689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 471 ], + "Q": [ 472 ], + "R": [ 166 ] + } + }, + "FD_hcp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4694.5-4699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 473 ], + "Q": [ 474 ], + "R": [ 166 ] + } + }, + "FD_hd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4704.5-4709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 475 ], + "Q": [ 476 ], + "R": [ 166 ] + } + }, + "FD_hda": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4714.5-4719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 477 ], + "Q": [ 478 ], + "R": [ 166 ] + } + }, + "FD_hdb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4724.5-4729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 479 ], + "Q": [ 480 ], + "R": [ 166 ] + } + }, + "FD_hdc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4734.5-4739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 481 ], + "Q": [ 482 ], + "R": [ 166 ] + } + }, + "FD_hdd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4744.5-4749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 483 ], + "Q": [ 484 ], + "R": [ 166 ] + } + }, + "FD_hde": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4754.5-4759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 485 ], + "Q": [ 486 ], + "R": [ 166 ] + } + }, + "FD_hdf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4764.5-4769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 487 ], + "Q": [ 488 ], + "R": [ 166 ] + } + }, + "FD_hdg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4774.5-4779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 489 ], + "Q": [ 490 ], + "R": [ 166 ] + } + }, + "FD_hdh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4784.5-4789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 491 ], + "Q": [ 492 ], + "R": [ 166 ] + } + }, + "FD_hdi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4794.5-4799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 493 ], + "Q": [ 494 ], + "R": [ 166 ] + } + }, + "FD_hdj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4804.5-4809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 495 ], + "Q": [ 496 ], + "R": [ 166 ] + } + }, + "FD_hdk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4814.5-4819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 497 ], + "Q": [ 498 ], + "R": [ 166 ] + } + }, + "FD_hdl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4824.5-4829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 499 ], + "Q": [ 500 ], + "R": [ 166 ] + } + }, + "FD_hdm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4834.5-4839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 501 ], + "Q": [ 502 ], + "R": [ 166 ] + } + }, + "FD_hdn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4844.5-4849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 503 ], + "Q": [ 504 ], + "R": [ 166 ] + } + }, + "FD_hdo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4854.5-4859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 505 ], + "Q": [ 506 ], + "R": [ 166 ] + } + }, + "FD_hdp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4864.5-4869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 507 ], + "Q": [ 508 ], + "R": [ 166 ] + } + }, + "FD_he": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4874.5-4879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 509 ], + "Q": [ 510 ], + "R": [ 166 ] + } + }, + "FD_hea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4884.5-4889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 511 ], + "Q": [ 512 ], + "R": [ 166 ] + } + }, + "FD_heb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4894.5-4899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 513 ], + "Q": [ 514 ], + "R": [ 166 ] + } + }, + "FD_hec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4904.5-4909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 515 ], + "Q": [ 516 ], + "R": [ 166 ] + } + }, + "FD_hed": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4914.5-4919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 517 ], + "Q": [ 518 ], + "R": [ 166 ] + } + }, + "FD_hee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4924.5-4929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 519 ], + "Q": [ 520 ], + "R": [ 166 ] + } + }, + "FD_hef": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4934.5-4939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 521 ], + "Q": [ 522 ], + "R": [ 166 ] + } + }, + "FD_heg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4944.5-4949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 523 ], + "Q": [ 524 ], + "R": [ 166 ] + } + }, + "FD_heh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4954.5-4959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 525 ], + "Q": [ 526 ], + "R": [ 166 ] + } + }, + "FD_hei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4964.5-4969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 527 ], + "Q": [ 528 ], + "R": [ 166 ] + } + }, + "FD_hej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4974.5-4979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 529 ], + "Q": [ 530 ], + "R": [ 166 ] + } + }, + "FD_hek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4984.5-4989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 531 ], + "Q": [ 532 ], + "R": [ 166 ] + } + }, + "FD_hel": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:4994.5-4999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 533 ], + "Q": [ 534 ], + "R": [ 166 ] + } + }, + "FD_hem": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5004.5-5009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 535 ], + "Q": [ 536 ], + "R": [ 166 ] + } + }, + "FD_hen": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5014.5-5019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 537 ], + "Q": [ 538 ], + "R": [ 166 ] + } + }, + "FD_heo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5024.5-5029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 539 ], + "Q": [ 540 ], + "R": [ 166 ] + } + }, + "FD_hep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5034.5-5039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 541 ], + "Q": [ 542 ], + "R": [ 166 ] + } + }, + "FD_hf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5044.5-5049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 543 ], + "Q": [ 544 ], + "R": [ 166 ] + } + }, + "FD_hfa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5054.5-5059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 545 ], + "Q": [ 546 ], + "R": [ 166 ] + } + }, + "FD_hfb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5064.5-5069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 547 ], + "Q": [ 548 ], + "R": [ 166 ] + } + }, + "FD_hfc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5074.5-5079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 549 ], + "Q": [ 550 ], + "R": [ 166 ] + } + }, + "FD_hfd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5084.5-5089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 551 ], + "Q": [ 552 ], + "R": [ 166 ] + } + }, + "FD_hfe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5094.5-5099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 553 ], + "Q": [ 554 ], + "R": [ 166 ] + } + }, + "FD_hff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5104.5-5109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 555 ], + "Q": [ 556 ], + "R": [ 166 ] + } + }, + "FD_hfg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5114.5-5119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 557 ], + "Q": [ 558 ], + "R": [ 166 ] + } + }, + "FD_hfh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5124.5-5129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 559 ], + "Q": [ 560 ], + "R": [ 166 ] + } + }, + "FD_hfi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5134.5-5139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 561 ], + "Q": [ 562 ], + "R": [ 166 ] + } + }, + "FD_hfj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5144.5-5149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 563 ], + "Q": [ 564 ], + "R": [ 166 ] + } + }, + "FD_hfk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5154.5-5159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 565 ], + "Q": [ 566 ], + "R": [ 166 ] + } + }, + "FD_hfl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5164.5-5169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 567 ], + "Q": [ 568 ], + "R": [ 166 ] + } + }, + "FD_hfm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5174.5-5179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 569 ], + "Q": [ 570 ], + "R": [ 166 ] + } + }, + "FD_hfn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5184.5-5189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 571 ], + "Q": [ 572 ], + "R": [ 166 ] + } + }, + "FD_hfo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5194.5-5199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 573 ], + "Q": [ 574 ], + "R": [ 166 ] + } + }, + "FD_hfp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5204.5-5209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 575 ], + "Q": [ 576 ], + "R": [ 166 ] + } + }, + "FD_hg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5214.5-5219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 577 ], + "Q": [ 578 ], + "R": [ 166 ] + } + }, + "FD_hga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5224.5-5229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 579 ], + "Q": [ 580 ], + "R": [ 166 ] + } + }, + "FD_hgb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5234.5-5239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 581 ], + "Q": [ 582 ], + "R": [ 166 ] + } + }, + "FD_hgc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5244.5-5249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 583 ], + "Q": [ 584 ], + "R": [ 166 ] + } + }, + "FD_hgd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5254.5-5259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 585 ], + "Q": [ 586 ], + "R": [ 166 ] + } + }, + "FD_hge": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5264.5-5269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 587 ], + "Q": [ 588 ], + "R": [ 166 ] + } + }, + "FD_hgf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5274.5-5279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 589 ], + "Q": [ 590 ], + "R": [ 166 ] + } + }, + "FD_hgg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5284.5-5289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 591 ], + "Q": [ 592 ], + "R": [ 166 ] + } + }, + "FD_hgh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5294.5-5299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 593 ], + "Q": [ 594 ], + "R": [ 166 ] + } + }, + "FD_hgi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5304.5-5309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 595 ], + "Q": [ 596 ], + "R": [ 166 ] + } + }, + "FD_hgj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5314.5-5319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 597 ], + "Q": [ 598 ], + "R": [ 166 ] + } + }, + "FD_hgk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5324.5-5329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 599 ], + "Q": [ 600 ], + "R": [ 166 ] + } + }, + "FD_hgl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5334.5-5339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 601 ], + "Q": [ 602 ], + "R": [ 166 ] + } + }, + "FD_hgm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5344.5-5349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 603 ], + "Q": [ 604 ], + "R": [ 166 ] + } + }, + "FD_hgn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5354.5-5359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 605 ], + "Q": [ 606 ], + "R": [ 166 ] + } + }, + "FD_hgo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5364.5-5369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 607 ], + "Q": [ 608 ], + "R": [ 166 ] + } + }, + "FD_hgp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5374.5-5379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 609 ], + "Q": [ 610 ], + "R": [ 166 ] + } + }, + "FD_hh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5384.5-5389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 611 ], + "Q": [ 612 ], + "R": [ 166 ] + } + }, + "FD_hha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5394.5-5399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 613 ], + "Q": [ 614 ], + "R": [ 166 ] + } + }, + "FD_hhb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5404.5-5409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 615 ], + "Q": [ 616 ], + "R": [ 166 ] + } + }, + "FD_hhc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5414.5-5419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 617 ], + "Q": [ 618 ], + "R": [ 166 ] + } + }, + "FD_hhd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5424.5-5429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 619 ], + "Q": [ 620 ], + "R": [ 166 ] + } + }, + "FD_hhe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5434.5-5439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 621 ], + "Q": [ 622 ], + "R": [ 166 ] + } + }, + "FD_hhf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5444.5-5449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 623 ], + "Q": [ 624 ], + "R": [ 166 ] + } + }, + "FD_hhg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5454.5-5459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 625 ], + "Q": [ 626 ], + "R": [ 166 ] + } + }, + "FD_hhh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5464.5-5469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 627 ], + "Q": [ 628 ], + "R": [ 166 ] + } + }, + "FD_hhi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5474.5-5479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 629 ], + "Q": [ 630 ], + "R": [ 166 ] + } + }, + "FD_hhj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5484.5-5489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 631 ], + "Q": [ 632 ], + "R": [ 166 ] + } + }, + "FD_hhk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5494.5-5499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 633 ], + "Q": [ 634 ], + "R": [ 166 ] + } + }, + "FD_hhl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5504.5-5509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 635 ], + "Q": [ 636 ], + "R": [ 166 ] + } + }, + "FD_hhm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5514.5-5519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 637 ], + "Q": [ 638 ], + "R": [ 166 ] + } + }, + "FD_hhn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5524.5-5529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 639 ], + "Q": [ 640 ], + "R": [ 166 ] + } + }, + "FD_hho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5534.5-5539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 641 ], + "Q": [ 642 ], + "R": [ 166 ] + } + }, + "FD_hhp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5544.5-5549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 643 ], + "Q": [ 644 ], + "R": [ 166 ] + } + }, + "FD_hi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5554.5-5559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 645 ], + "Q": [ 646 ], + "R": [ 166 ] + } + }, + "FD_hia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5564.5-5569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 647 ], + "Q": [ 648 ], + "R": [ 166 ] + } + }, + "FD_hib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5574.5-5579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 649 ], + "Q": [ 650 ], + "R": [ 166 ] + } + }, + "FD_hic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5584.5-5589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 651 ], + "Q": [ 652 ], + "R": [ 166 ] + } + }, + "FD_hid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5594.5-5599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 653 ], + "Q": [ 654 ], + "R": [ 166 ] + } + }, + "FD_hie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5604.5-5609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 655 ], + "Q": [ 656 ], + "R": [ 166 ] + } + }, + "FD_hif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5614.5-5619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 657 ], + "Q": [ 658 ], + "R": [ 166 ] + } + }, + "FD_hig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5624.5-5629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 659 ], + "Q": [ 660 ], + "R": [ 166 ] + } + }, + "FD_hih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5634.5-5639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 661 ], + "Q": [ 662 ], + "R": [ 166 ] + } + }, + "FD_hii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5644.5-5649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 663 ], + "Q": [ 664 ], + "R": [ 166 ] + } + }, + "FD_hij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5654.5-5659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 665 ], + "Q": [ 666 ], + "R": [ 166 ] + } + }, + "FD_hik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5664.5-5669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 667 ], + "Q": [ 668 ], + "R": [ 166 ] + } + }, + "FD_hil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5674.5-5679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 669 ], + "Q": [ 670 ], + "R": [ 166 ] + } + }, + "FD_him": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5684.5-5689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 671 ], + "Q": [ 672 ], + "R": [ 166 ] + } + }, + "FD_hin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5694.5-5699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 673 ], + "Q": [ 674 ], + "R": [ 166 ] + } + }, + "FD_hio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5704.5-5709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 675 ], + "Q": [ 676 ], + "R": [ 166 ] + } + }, + "FD_hip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5714.5-5719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 677 ], + "Q": [ 678 ], + "R": [ 166 ] + } + }, + "FD_hj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5724.5-5729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 679 ], + "Q": [ 680 ], + "R": [ 166 ] + } + }, + "FD_hja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5734.5-5739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 681 ], + "Q": [ 682 ], + "R": [ 166 ] + } + }, + "FD_hjb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5744.5-5749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 683 ], + "Q": [ 684 ], + "R": [ 166 ] + } + }, + "FD_hjc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5754.5-5759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 685 ], + "Q": [ 686 ], + "R": [ 166 ] + } + }, + "FD_hjd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5764.5-5769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 687 ], + "Q": [ 688 ], + "R": [ 166 ] + } + }, + "FD_hje": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5774.5-5779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 689 ], + "Q": [ 690 ], + "R": [ 166 ] + } + }, + "FD_hjf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5784.5-5789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 691 ], + "Q": [ 692 ], + "R": [ 166 ] + } + }, + "FD_hjg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5794.5-5799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 693 ], + "Q": [ 694 ], + "R": [ 166 ] + } + }, + "FD_hjh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5804.5-5809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 695 ], + "Q": [ 696 ], + "R": [ 166 ] + } + }, + "FD_hji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5814.5-5819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 697 ], + "Q": [ 698 ], + "R": [ 166 ] + } + }, + "FD_hjj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5824.5-5829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 699 ], + "Q": [ 700 ], + "R": [ 166 ] + } + }, + "FD_hjk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5834.5-5839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 701 ], + "Q": [ 702 ], + "R": [ 166 ] + } + }, + "FD_hjl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5844.5-5849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 703 ], + "Q": [ 704 ], + "R": [ 166 ] + } + }, + "FD_hjm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5854.5-5859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 705 ], + "Q": [ 706 ], + "R": [ 166 ] + } + }, + "FD_hjn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5864.5-5869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 707 ], + "Q": [ 708 ], + "R": [ 166 ] + } + }, + "FD_hjo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5874.5-5879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 709 ], + "Q": [ 710 ], + "R": [ 166 ] + } + }, + "FD_hjp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5884.5-5889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 711 ], + "Q": [ 712 ], + "R": [ 166 ] + } + }, + "FD_hk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5894.5-5899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 713 ], + "Q": [ 714 ], + "R": [ 166 ] + } + }, + "FD_hka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5904.5-5909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 715 ], + "Q": [ 716 ], + "R": [ 166 ] + } + }, + "FD_hkb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5914.5-5919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 717 ], + "Q": [ 718 ], + "R": [ 166 ] + } + }, + "FD_hkc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5924.5-5929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 719 ], + "Q": [ 720 ], + "R": [ 166 ] + } + }, + "FD_hkd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5934.5-5939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 721 ], + "Q": [ 722 ], + "R": [ 166 ] + } + }, + "FD_hke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5944.5-5949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 723 ], + "Q": [ 724 ], + "R": [ 166 ] + } + }, + "FD_hkf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5954.5-5959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 725 ], + "Q": [ 726 ], + "R": [ 166 ] + } + }, + "FD_hkg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5964.5-5969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 727 ], + "Q": [ 728 ], + "R": [ 166 ] + } + }, + "FD_hkh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5974.5-5979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 729 ], + "Q": [ 730 ], + "R": [ 166 ] + } + }, + "FD_hki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5984.5-5989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 731 ], + "Q": [ 732 ], + "R": [ 166 ] + } + }, + "FD_hkj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:5994.5-5999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 733 ], + "Q": [ 734 ], + "R": [ 166 ] + } + }, + "FD_hkk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6004.5-6009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 735 ], + "Q": [ 736 ], + "R": [ 166 ] + } + }, + "FD_hkl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6014.5-6019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 737 ], + "Q": [ 738 ], + "R": [ 166 ] + } + }, + "FD_hkm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6024.5-6029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 739 ], + "Q": [ 740 ], + "R": [ 166 ] + } + }, + "FD_hkn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6034.5-6039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 741 ], + "Q": [ 742 ], + "R": [ 166 ] + } + }, + "FD_hko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6044.5-6049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 743 ], + "Q": [ 744 ], + "R": [ 166 ] + } + }, + "FD_hkp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6054.5-6059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 745 ], + "Q": [ 746 ], + "R": [ 166 ] + } + }, + "FD_hl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6064.5-6069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 747 ], + "Q": [ 748 ], + "R": [ 166 ] + } + }, + "FD_hla": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6074.5-6079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 749 ], + "Q": [ 750 ], + "R": [ 166 ] + } + }, + "FD_hlb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6084.5-6089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 751 ], + "Q": [ 752 ], + "R": [ 166 ] + } + }, + "FD_hlc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6094.5-6099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 753 ], + "Q": [ 754 ], + "R": [ 166 ] + } + }, + "FD_hld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6104.5-6109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 755 ], + "Q": [ 756 ], + "R": [ 166 ] + } + }, + "FD_hle": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6114.5-6119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 757 ], + "Q": [ 758 ], + "R": [ 166 ] + } + }, + "FD_hlf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6124.5-6129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 759 ], + "Q": [ 760 ], + "R": [ 166 ] + } + }, + "FD_hlg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6134.5-6139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 761 ], + "Q": [ 762 ], + "R": [ 166 ] + } + }, + "FD_hlh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6144.5-6149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 763 ], + "Q": [ 764 ], + "R": [ 166 ] + } + }, + "FD_hli": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6154.5-6159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 765 ], + "Q": [ 766 ], + "R": [ 166 ] + } + }, + "FD_hlj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6164.5-6169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 767 ], + "Q": [ 768 ], + "R": [ 166 ] + } + }, + "FD_hlk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6174.5-6179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 769 ], + "Q": [ 770 ], + "R": [ 166 ] + } + }, + "FD_hll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6184.5-6189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 771 ], + "Q": [ 772 ], + "R": [ 166 ] + } + }, + "FD_hlm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6194.5-6199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 773 ], + "Q": [ 774 ], + "R": [ 166 ] + } + }, + "FD_hln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6204.5-6209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 775 ], + "Q": [ 776 ], + "R": [ 166 ] + } + }, + "FD_hlo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6214.5-6219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 777 ], + "Q": [ 778 ], + "R": [ 166 ] + } + }, + "FD_hlp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6224.5-6229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 779 ], + "Q": [ 780 ], + "R": [ 166 ] + } + }, + "FD_hm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6234.5-6239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 781 ], + "Q": [ 782 ], + "R": [ 166 ] + } + }, + "FD_hma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6244.5-6249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 783 ], + "Q": [ 784 ], + "R": [ 166 ] + } + }, + "FD_hmb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6254.5-6259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 785 ], + "Q": [ 786 ], + "R": [ 166 ] + } + }, + "FD_hmc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6264.5-6269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 787 ], + "Q": [ 788 ], + "R": [ 166 ] + } + }, + "FD_hmd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6274.5-6279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 789 ], + "Q": [ 790 ], + "R": [ 166 ] + } + }, + "FD_hme": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6284.5-6289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 791 ], + "Q": [ 792 ], + "R": [ 166 ] + } + }, + "FD_hmf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6294.5-6299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 793 ], + "Q": [ 794 ], + "R": [ 166 ] + } + }, + "FD_hmg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6304.5-6309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 795 ], + "Q": [ 796 ], + "R": [ 166 ] + } + }, + "FD_hmh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6314.5-6319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 797 ], + "Q": [ 798 ], + "R": [ 166 ] + } + }, + "FD_hmi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6324.5-6329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 799 ], + "Q": [ 800 ], + "R": [ 166 ] + } + }, + "FD_hmj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6334.5-6339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 801 ], + "Q": [ 802 ], + "R": [ 166 ] + } + }, + "FD_hmk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6344.5-6349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 803 ], + "Q": [ 804 ], + "R": [ 166 ] + } + }, + "FD_hml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6354.5-6359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 805 ], + "Q": [ 806 ], + "R": [ 166 ] + } + }, + "FD_hmm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6364.5-6369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 807 ], + "Q": [ 808 ], + "R": [ 166 ] + } + }, + "FD_hmn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6374.5-6379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 809 ], + "Q": [ 810 ], + "R": [ 166 ] + } + }, + "FD_hmo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6384.5-6389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 811 ], + "Q": [ 812 ], + "R": [ 166 ] + } + }, + "FD_hmp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6394.5-6399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 813 ], + "Q": [ 814 ], + "R": [ 166 ] + } + }, + "FD_hn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6404.5-6409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 815 ], + "Q": [ 816 ], + "R": [ 166 ] + } + }, + "FD_hna": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6414.5-6419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 817 ], + "Q": [ 818 ], + "R": [ 166 ] + } + }, + "FD_hnb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6424.5-6429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 819 ], + "Q": [ 820 ], + "R": [ 166 ] + } + }, + "FD_hnc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6434.5-6439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 821 ], + "Q": [ 822 ], + "R": [ 166 ] + } + }, + "FD_hnd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6444.5-6449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 823 ], + "Q": [ 824 ], + "R": [ 166 ] + } + }, + "FD_hne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6454.5-6459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 825 ], + "Q": [ 826 ], + "R": [ 166 ] + } + }, + "FD_hnf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6464.5-6469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 827 ], + "Q": [ 828 ], + "R": [ 166 ] + } + }, + "FD_hng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6474.5-6479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 829 ], + "Q": [ 830 ], + "R": [ 166 ] + } + }, + "FD_hnh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6484.5-6489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 831 ], + "Q": [ 832 ], + "R": [ 166 ] + } + }, + "FD_hni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6494.5-6499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 833 ], + "Q": [ 834 ], + "R": [ 166 ] + } + }, + "FD_hnj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6504.5-6509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 835 ], + "Q": [ 836 ], + "R": [ 166 ] + } + }, + "FD_hnk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6514.5-6519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 837 ], + "Q": [ 838 ], + "R": [ 166 ] + } + }, + "FD_hnl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6524.5-6529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 839 ], + "Q": [ 840 ], + "R": [ 166 ] + } + }, + "FD_hnm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6534.5-6539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 841 ], + "Q": [ 842 ], + "R": [ 166 ] + } + }, + "FD_hnn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6544.5-6549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 843 ], + "Q": [ 844 ], + "R": [ 166 ] + } + }, + "FD_hno": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6554.5-6559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 845 ], + "Q": [ 846 ], + "R": [ 166 ] + } + }, + "FD_hnp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6564.5-6569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 847 ], + "Q": [ 848 ], + "R": [ 166 ] + } + }, + "FD_ho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6574.5-6579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 849 ], + "Q": [ 850 ], + "R": [ 166 ] + } + }, + "FD_hoa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6584.5-6589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 851 ], + "Q": [ 852 ], + "R": [ 166 ] + } + }, + "FD_hob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6594.5-6599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 853 ], + "Q": [ 854 ], + "R": [ 166 ] + } + }, + "FD_hoc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6604.5-6609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 855 ], + "Q": [ 856 ], + "R": [ 166 ] + } + }, + "FD_hod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6614.5-6619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 857 ], + "Q": [ 858 ], + "R": [ 166 ] + } + }, + "FD_hoe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6624.5-6629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 859 ], + "Q": [ 860 ], + "R": [ 166 ] + } + }, + "FD_hof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6634.5-6639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 861 ], + "Q": [ 862 ], + "R": [ 166 ] + } + }, + "FD_hog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6644.5-6649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 863 ], + "Q": [ 864 ], + "R": [ 166 ] + } + }, + "FD_hoh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6654.5-6659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 865 ], + "Q": [ 866 ], + "R": [ 166 ] + } + }, + "FD_hoi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6664.5-6669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 867 ], + "Q": [ 868 ], + "R": [ 166 ] + } + }, + "FD_hoj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6674.5-6679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 869 ], + "Q": [ 870 ], + "R": [ 166 ] + } + }, + "FD_hok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6684.5-6689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 871 ], + "Q": [ 872 ], + "R": [ 166 ] + } + }, + "FD_hol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6694.5-6699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 873 ], + "Q": [ 874 ], + "R": [ 166 ] + } + }, + "FD_hom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6704.5-6709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 875 ], + "Q": [ 876 ], + "R": [ 166 ] + } + }, + "FD_hon": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6714.5-6719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 877 ], + "Q": [ 878 ], + "R": [ 166 ] + } + }, + "FD_hoo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6724.5-6729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 879 ], + "Q": [ 880 ], + "R": [ 166 ] + } + }, + "FD_hop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6734.5-6739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 881 ], + "Q": [ 882 ], + "R": [ 166 ] + } + }, + "FD_hp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6744.5-6749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 883 ], + "Q": [ 884 ], + "R": [ 166 ] + } + }, + "FD_hpa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6754.5-6759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 885 ], + "Q": [ 886 ], + "R": [ 166 ] + } + }, + "FD_hpb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6764.5-6769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 887 ], + "Q": [ 888 ], + "R": [ 166 ] + } + }, + "FD_hpc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6774.5-6779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 889 ], + "Q": [ 890 ], + "R": [ 166 ] + } + }, + "FD_hpd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6784.5-6789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 891 ], + "Q": [ 892 ], + "R": [ 166 ] + } + }, + "FD_hpe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6794.5-6799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 893 ], + "Q": [ 894 ], + "R": [ 166 ] + } + }, + "FD_hpf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6804.5-6809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 895 ], + "Q": [ 896 ], + "R": [ 166 ] + } + }, + "FD_hpg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6814.5-6819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 897 ], + "Q": [ 898 ], + "R": [ 166 ] + } + }, + "FD_hph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6824.5-6829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 899 ], + "Q": [ 900 ], + "R": [ 166 ] + } + }, + "FD_hpi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6834.5-6839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 901 ], + "Q": [ 902 ], + "R": [ 166 ] + } + }, + "FD_hpj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6844.5-6849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 903 ], + "Q": [ 904 ], + "R": [ 166 ] + } + }, + "FD_hpk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6854.5-6859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 905 ], + "Q": [ 906 ], + "R": [ 166 ] + } + }, + "FD_hpl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6864.5-6869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 907 ], + "Q": [ 908 ], + "R": [ 166 ] + } + }, + "FD_hpm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6874.5-6879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 909 ], + "Q": [ 910 ], + "R": [ 166 ] + } + }, + "FD_hpn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6884.5-6889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 911 ], + "Q": [ 912 ], + "R": [ 166 ] + } + }, + "FD_hpo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6894.5-6899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 913 ], + "Q": [ 914 ], + "R": [ 166 ] + } + }, + "FD_hpp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6904.5-6909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 915 ], + "Q": [ 916 ], + "R": [ 166 ] + } + }, + "FD_i": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6914.5-6919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 917 ], + "Q": [ 918 ], + "R": [ 166 ] + } + }, + "FD_ia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6924.5-6929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 919 ], + "Q": [ 920 ], + "R": [ 166 ] + } + }, + "FD_iaa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6934.5-6939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 921 ], + "Q": [ 922 ], + "R": [ 166 ] + } + }, + "FD_iab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6944.5-6949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 923 ], + "Q": [ 924 ], + "R": [ 166 ] + } + }, + "FD_iac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6954.5-6959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 925 ], + "Q": [ 926 ], + "R": [ 166 ] + } + }, + "FD_iad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6964.5-6969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 927 ], + "Q": [ 928 ], + "R": [ 166 ] + } + }, + "FD_iae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6974.5-6979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 929 ], + "Q": [ 930 ], + "R": [ 166 ] + } + }, + "FD_iaf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6984.5-6989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 931 ], + "Q": [ 932 ], + "R": [ 166 ] + } + }, + "FD_iag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:6994.5-6999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 933 ], + "Q": [ 934 ], + "R": [ 166 ] + } + }, + "FD_iah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7004.5-7009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 935 ], + "Q": [ 936 ], + "R": [ 166 ] + } + }, + "FD_iai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7014.5-7019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 937 ], + "Q": [ 938 ], + "R": [ 166 ] + } + }, + "FD_iaj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7024.5-7029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 939 ], + "Q": [ 940 ], + "R": [ 166 ] + } + }, + "FD_iak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7034.5-7039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 941 ], + "Q": [ 942 ], + "R": [ 166 ] + } + }, + "FD_ial": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7044.5-7049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 943 ], + "Q": [ 944 ], + "R": [ 166 ] + } + }, + "FD_iam": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7054.5-7059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 945 ], + "Q": [ 946 ], + "R": [ 166 ] + } + }, + "FD_ian": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7064.5-7069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 947 ], + "Q": [ 948 ], + "R": [ 166 ] + } + }, + "FD_iao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7074.5-7079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 949 ], + "Q": [ 950 ], + "R": [ 166 ] + } + }, + "FD_iap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7084.5-7089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 951 ], + "Q": [ 952 ], + "R": [ 166 ] + } + }, + "FD_ib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7094.5-7099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 953 ], + "Q": [ 954 ], + "R": [ 166 ] + } + }, + "FD_iba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7104.5-7109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 955 ], + "Q": [ 956 ], + "R": [ 166 ] + } + }, + "FD_ibb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7114.5-7119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 957 ], + "Q": [ 958 ], + "R": [ 166 ] + } + }, + "FD_ibc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7124.5-7129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 959 ], + "Q": [ 960 ], + "R": [ 166 ] + } + }, + "FD_ibd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7134.5-7139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 961 ], + "Q": [ 962 ], + "R": [ 166 ] + } + }, + "FD_ibe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7144.5-7149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 963 ], + "Q": [ 964 ], + "R": [ 166 ] + } + }, + "FD_ibf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7154.5-7159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 965 ], + "Q": [ 966 ], + "R": [ 166 ] + } + }, + "FD_ibg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7164.5-7169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 967 ], + "Q": [ 968 ], + "R": [ 166 ] + } + }, + "FD_ibh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7174.5-7179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 969 ], + "Q": [ 970 ], + "R": [ 166 ] + } + }, + "FD_ibi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7184.5-7189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 971 ], + "Q": [ 972 ], + "R": [ 166 ] + } + }, + "FD_ibj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7194.5-7199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 973 ], + "Q": [ 974 ], + "R": [ 166 ] + } + }, + "FD_ibk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7204.5-7209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 975 ], + "Q": [ 976 ], + "R": [ 166 ] + } + }, + "FD_ibl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7214.5-7219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 977 ], + "Q": [ 978 ], + "R": [ 166 ] + } + }, + "FD_ibm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7224.5-7229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 979 ], + "Q": [ 980 ], + "R": [ 166 ] + } + }, + "FD_ibn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7234.5-7239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 981 ], + "Q": [ 982 ], + "R": [ 166 ] + } + }, + "FD_ibo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7244.5-7249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 983 ], + "Q": [ 984 ], + "R": [ 166 ] + } + }, + "FD_ibp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7254.5-7259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 985 ], + "Q": [ 986 ], + "R": [ 166 ] + } + }, + "FD_ic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7264.5-7269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 987 ], + "Q": [ 988 ], + "R": [ 166 ] + } + }, + "FD_ica": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7274.5-7279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 989 ], + "Q": [ 990 ], + "R": [ 166 ] + } + }, + "FD_icb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7284.5-7289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 991 ], + "Q": [ 992 ], + "R": [ 166 ] + } + }, + "FD_icc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7294.5-7299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 993 ], + "Q": [ 994 ], + "R": [ 166 ] + } + }, + "FD_icd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7304.5-7309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 995 ], + "Q": [ 996 ], + "R": [ 166 ] + } + }, + "FD_ice": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7314.5-7319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 997 ], + "Q": [ 998 ], + "R": [ 166 ] + } + }, + "FD_icf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7324.5-7329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 999 ], + "Q": [ 1000 ], + "R": [ 166 ] + } + }, + "FD_icg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7334.5-7339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1001 ], + "Q": [ 1002 ], + "R": [ 166 ] + } + }, + "FD_ich": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7344.5-7349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1003 ], + "Q": [ 1004 ], + "R": [ 166 ] + } + }, + "FD_ici": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7354.5-7359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1005 ], + "Q": [ 1006 ], + "R": [ 166 ] + } + }, + "FD_icj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7364.5-7369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1007 ], + "Q": [ 1008 ], + "R": [ 166 ] + } + }, + "FD_ick": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7374.5-7379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1009 ], + "Q": [ 1010 ], + "R": [ 166 ] + } + }, + "FD_icl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7384.5-7389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1011 ], + "Q": [ 1012 ], + "R": [ 166 ] + } + }, + "FD_icm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7394.5-7399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1013 ], + "Q": [ 1014 ], + "R": [ 166 ] + } + }, + "FD_icn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7404.5-7409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1015 ], + "Q": [ 1016 ], + "R": [ 166 ] + } + }, + "FD_ico": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7414.5-7419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1017 ], + "Q": [ 1018 ], + "R": [ 166 ] + } + }, + "FD_icp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7424.5-7429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1019 ], + "Q": [ 1020 ], + "R": [ 166 ] + } + }, + "FD_id": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7434.5-7439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1021 ], + "Q": [ 1022 ], + "R": [ 166 ] + } + }, + "FD_ida": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7444.5-7449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1023 ], + "Q": [ 1024 ], + "R": [ 166 ] + } + }, + "FD_idb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7454.5-7459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1025 ], + "Q": [ 1026 ], + "R": [ 166 ] + } + }, + "FD_idc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7464.5-7469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1027 ], + "Q": [ 1028 ], + "R": [ 166 ] + } + }, + "FD_idd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7474.5-7479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1029 ], + "Q": [ 1030 ], + "R": [ 166 ] + } + }, + "FD_ide": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7484.5-7489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1031 ], + "Q": [ 1032 ], + "R": [ 166 ] + } + }, + "FD_idf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7494.5-7499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1033 ], + "Q": [ 1034 ], + "R": [ 166 ] + } + }, + "FD_idg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7504.5-7509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1035 ], + "Q": [ 1036 ], + "R": [ 166 ] + } + }, + "FD_idh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7514.5-7519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1037 ], + "Q": [ 1038 ], + "R": [ 166 ] + } + }, + "FD_idi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7524.5-7529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1039 ], + "Q": [ 1040 ], + "R": [ 166 ] + } + }, + "FD_idj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7534.5-7539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1041 ], + "Q": [ 1042 ], + "R": [ 166 ] + } + }, + "FD_idk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7544.5-7549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1043 ], + "Q": [ 1044 ], + "R": [ 166 ] + } + }, + "FD_idl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7554.5-7559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1045 ], + "Q": [ 1046 ], + "R": [ 166 ] + } + }, + "FD_idm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7564.5-7569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1047 ], + "Q": [ 1048 ], + "R": [ 166 ] + } + }, + "FD_idn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7574.5-7579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1049 ], + "Q": [ 1050 ], + "R": [ 166 ] + } + }, + "FD_ido": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7584.5-7589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1051 ], + "Q": [ 1052 ], + "R": [ 166 ] + } + }, + "FD_idp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7594.5-7599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1053 ], + "Q": [ 1054 ], + "R": [ 166 ] + } + }, + "FD_ie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7604.5-7609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1055 ], + "Q": [ 1056 ], + "R": [ 166 ] + } + }, + "FD_iea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7614.5-7619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1057 ], + "Q": [ 1058 ], + "R": [ 166 ] + } + }, + "FD_ieb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7624.5-7629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1059 ], + "Q": [ 1060 ], + "R": [ 166 ] + } + }, + "FD_iec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7634.5-7639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1061 ], + "Q": [ 1062 ], + "R": [ 166 ] + } + }, + "FD_ied": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7644.5-7649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1063 ], + "Q": [ 1064 ], + "R": [ 166 ] + } + }, + "FD_iee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7654.5-7659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1065 ], + "Q": [ 1066 ], + "R": [ 166 ] + } + }, + "FD_ief": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7664.5-7669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1067 ], + "Q": [ 1068 ], + "R": [ 166 ] + } + }, + "FD_ieg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7674.5-7679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1069 ], + "Q": [ 1070 ], + "R": [ 166 ] + } + }, + "FD_ieh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7684.5-7689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1071 ], + "Q": [ 1072 ], + "R": [ 166 ] + } + }, + "FD_iei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7694.5-7699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1073 ], + "Q": [ 1074 ], + "R": [ 166 ] + } + }, + "FD_iej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7704.5-7709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1075 ], + "Q": [ 1076 ], + "R": [ 166 ] + } + }, + "FD_iek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7714.5-7719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1077 ], + "Q": [ 1078 ], + "R": [ 166 ] + } + }, + "FD_iel": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7724.5-7729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1079 ], + "Q": [ 1080 ], + "R": [ 166 ] + } + }, + "FD_iem": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7734.5-7739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1081 ], + "Q": [ 1082 ], + "R": [ 166 ] + } + }, + "FD_ien": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7744.5-7749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1083 ], + "Q": [ 1084 ], + "R": [ 166 ] + } + }, + "FD_ieo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7754.5-7759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1085 ], + "Q": [ 1086 ], + "R": [ 166 ] + } + }, + "FD_iep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7764.5-7769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1087 ], + "Q": [ 1088 ], + "R": [ 166 ] + } + }, + "FD_if": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7774.5-7779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1089 ], + "Q": [ 1090 ], + "R": [ 166 ] + } + }, + "FD_ifa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7784.5-7789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1091 ], + "Q": [ 1092 ], + "R": [ 166 ] + } + }, + "FD_ifb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7794.5-7799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1093 ], + "Q": [ 1094 ], + "R": [ 166 ] + } + }, + "FD_ifc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7804.5-7809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1095 ], + "Q": [ 1096 ], + "R": [ 166 ] + } + }, + "FD_ifd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7814.5-7819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1097 ], + "Q": [ 1098 ], + "R": [ 166 ] + } + }, + "FD_ife": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7824.5-7829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1099 ], + "Q": [ 1100 ], + "R": [ 166 ] + } + }, + "FD_iff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7834.5-7839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1101 ], + "Q": [ 1102 ], + "R": [ 166 ] + } + }, + "FD_ifg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7844.5-7849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1103 ], + "Q": [ 1104 ], + "R": [ 166 ] + } + }, + "FD_ifh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7854.5-7859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1105 ], + "Q": [ 1106 ], + "R": [ 166 ] + } + }, + "FD_ifi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7864.5-7869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1107 ], + "Q": [ 1108 ], + "R": [ 166 ] + } + }, + "FD_ifj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7874.5-7879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1109 ], + "Q": [ 1110 ], + "R": [ 166 ] + } + }, + "FD_ifk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7884.5-7889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1111 ], + "Q": [ 1112 ], + "R": [ 166 ] + } + }, + "FD_ifl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7894.5-7899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1113 ], + "Q": [ 1114 ], + "R": [ 166 ] + } + }, + "FD_ifm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7904.5-7909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1115 ], + "Q": [ 1116 ], + "R": [ 166 ] + } + }, + "FD_ifn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7914.5-7919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1117 ], + "Q": [ 1118 ], + "R": [ 166 ] + } + }, + "FD_ifo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7924.5-7929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1119 ], + "Q": [ 1120 ], + "R": [ 166 ] + } + }, + "FD_ifp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7934.5-7939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1121 ], + "Q": [ 1122 ], + "R": [ 166 ] + } + }, + "FD_ig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7944.5-7949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1123 ], + "Q": [ 1124 ], + "R": [ 166 ] + } + }, + "FD_iga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7954.5-7959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1125 ], + "Q": [ 1126 ], + "R": [ 166 ] + } + }, + "FD_igb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7964.5-7969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1127 ], + "Q": [ 1128 ], + "R": [ 166 ] + } + }, + "FD_igc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7974.5-7979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1129 ], + "Q": [ 1130 ], + "R": [ 166 ] + } + }, + "FD_igd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7984.5-7989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1131 ], + "Q": [ 1132 ], + "R": [ 166 ] + } + }, + "FD_ige": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:7994.5-7999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1133 ], + "Q": [ 1134 ], + "R": [ 166 ] + } + }, + "FD_igf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8004.5-8009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1135 ], + "Q": [ 1136 ], + "R": [ 166 ] + } + }, + "FD_igg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8014.5-8019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1137 ], + "Q": [ 1138 ], + "R": [ 166 ] + } + }, + "FD_igh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8024.5-8029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1139 ], + "Q": [ 1140 ], + "R": [ 166 ] + } + }, + "FD_igi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8034.5-8039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1141 ], + "Q": [ 1142 ], + "R": [ 166 ] + } + }, + "FD_igj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8044.5-8049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1143 ], + "Q": [ 1144 ], + "R": [ 166 ] + } + }, + "FD_igk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8054.5-8059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1145 ], + "Q": [ 1146 ], + "R": [ 166 ] + } + }, + "FD_igl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8064.5-8069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1147 ], + "Q": [ 1148 ], + "R": [ 166 ] + } + }, + "FD_igm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8074.5-8079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1149 ], + "Q": [ 1150 ], + "R": [ 166 ] + } + }, + "FD_ign": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8084.5-8089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1151 ], + "Q": [ 1152 ], + "R": [ 166 ] + } + }, + "FD_igo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8094.5-8099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1153 ], + "Q": [ 1154 ], + "R": [ 166 ] + } + }, + "FD_igp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8104.5-8109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1155 ], + "Q": [ 1156 ], + "R": [ 166 ] + } + }, + "FD_ih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8114.5-8119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1157 ], + "Q": [ 1158 ], + "R": [ 166 ] + } + }, + "FD_iha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8124.5-8129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1159 ], + "Q": [ 1160 ], + "R": [ 166 ] + } + }, + "FD_ihb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8134.5-8139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1161 ], + "Q": [ 1162 ], + "R": [ 166 ] + } + }, + "FD_ihc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8144.5-8149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1163 ], + "Q": [ 1164 ], + "R": [ 166 ] + } + }, + "FD_ihd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8154.5-8159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1165 ], + "Q": [ 1166 ], + "R": [ 166 ] + } + }, + "FD_ihe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8164.5-8169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1167 ], + "Q": [ 1168 ], + "R": [ 166 ] + } + }, + "FD_ihf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8174.5-8179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1169 ], + "Q": [ 1170 ], + "R": [ 166 ] + } + }, + "FD_ihg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8184.5-8189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1171 ], + "Q": [ 1172 ], + "R": [ 166 ] + } + }, + "FD_ihh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8194.5-8199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1173 ], + "Q": [ 1174 ], + "R": [ 166 ] + } + }, + "FD_ihi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8204.5-8209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1175 ], + "Q": [ 1176 ], + "R": [ 166 ] + } + }, + "FD_ihj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8214.5-8219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1177 ], + "Q": [ 1178 ], + "R": [ 166 ] + } + }, + "FD_ihk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8224.5-8229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1179 ], + "Q": [ 1180 ], + "R": [ 166 ] + } + }, + "FD_ihl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8234.5-8239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1181 ], + "Q": [ 1182 ], + "R": [ 166 ] + } + }, + "FD_ihm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8244.5-8249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1183 ], + "Q": [ 1184 ], + "R": [ 166 ] + } + }, + "FD_ihn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8254.5-8259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1185 ], + "Q": [ 1186 ], + "R": [ 166 ] + } + }, + "FD_iho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8264.5-8269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1187 ], + "Q": [ 1188 ], + "R": [ 166 ] + } + }, + "FD_ihp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8274.5-8279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1189 ], + "Q": [ 1190 ], + "R": [ 166 ] + } + }, + "FD_ii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8284.5-8289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1191 ], + "Q": [ 1192 ], + "R": [ 166 ] + } + }, + "FD_iia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8294.5-8299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1193 ], + "Q": [ 1194 ], + "R": [ 166 ] + } + }, + "FD_iib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8304.5-8309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1195 ], + "Q": [ 1196 ], + "R": [ 166 ] + } + }, + "FD_iic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8314.5-8319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1197 ], + "Q": [ 1198 ], + "R": [ 166 ] + } + }, + "FD_iid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8324.5-8329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1199 ], + "Q": [ 1200 ], + "R": [ 166 ] + } + }, + "FD_iie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8334.5-8339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1201 ], + "Q": [ 1202 ], + "R": [ 166 ] + } + }, + "FD_iif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8344.5-8349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1203 ], + "Q": [ 1204 ], + "R": [ 166 ] + } + }, + "FD_iig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8354.5-8359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1205 ], + "Q": [ 1206 ], + "R": [ 166 ] + } + }, + "FD_iih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8364.5-8369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1207 ], + "Q": [ 1208 ], + "R": [ 166 ] + } + }, + "FD_iii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8374.5-8379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1209 ], + "Q": [ 1210 ], + "R": [ 166 ] + } + }, + "FD_iij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8384.5-8389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1211 ], + "Q": [ 1212 ], + "R": [ 166 ] + } + }, + "FD_iik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8394.5-8399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1213 ], + "Q": [ 1214 ], + "R": [ 166 ] + } + }, + "FD_iil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8404.5-8409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1215 ], + "Q": [ 1216 ], + "R": [ 166 ] + } + }, + "FD_iim": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8414.5-8419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1217 ], + "Q": [ 1218 ], + "R": [ 166 ] + } + }, + "FD_iin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8424.5-8429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1219 ], + "Q": [ 1220 ], + "R": [ 166 ] + } + }, + "FD_iio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8434.5-8439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1221 ], + "Q": [ 1222 ], + "R": [ 166 ] + } + }, + "FD_iip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8444.5-8449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1223 ], + "Q": [ 1224 ], + "R": [ 166 ] + } + }, + "FD_ij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8454.5-8459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1225 ], + "Q": [ 1226 ], + "R": [ 166 ] + } + }, + "FD_ija": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8464.5-8469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1227 ], + "Q": [ 1228 ], + "R": [ 166 ] + } + }, + "FD_ijb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8474.5-8479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1229 ], + "Q": [ 1230 ], + "R": [ 166 ] + } + }, + "FD_ijc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8484.5-8489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1231 ], + "Q": [ 1232 ], + "R": [ 166 ] + } + }, + "FD_ijd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8494.5-8499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1233 ], + "Q": [ 1234 ], + "R": [ 166 ] + } + }, + "FD_ije": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8504.5-8509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1235 ], + "Q": [ 1236 ], + "R": [ 166 ] + } + }, + "FD_ijf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8514.5-8519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1237 ], + "Q": [ 1238 ], + "R": [ 166 ] + } + }, + "FD_ijg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8524.5-8529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1239 ], + "Q": [ 1240 ], + "R": [ 166 ] + } + }, + "FD_ijh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8534.5-8539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1241 ], + "Q": [ 1242 ], + "R": [ 166 ] + } + }, + "FD_iji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8544.5-8549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1243 ], + "Q": [ 1244 ], + "R": [ 166 ] + } + }, + "FD_ijj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8554.5-8559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1245 ], + "Q": [ 1246 ], + "R": [ 166 ] + } + }, + "FD_ijk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8564.5-8569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1247 ], + "Q": [ 1248 ], + "R": [ 166 ] + } + }, + "FD_ijl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8574.5-8579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1249 ], + "Q": [ 1250 ], + "R": [ 166 ] + } + }, + "FD_ijm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8584.5-8589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1251 ], + "Q": [ 1252 ], + "R": [ 166 ] + } + }, + "FD_ijn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8594.5-8599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1253 ], + "Q": [ 1254 ], + "R": [ 166 ] + } + }, + "FD_ijo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8604.5-8609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1255 ], + "Q": [ 1256 ], + "R": [ 166 ] + } + }, + "FD_ijp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8614.5-8619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1257 ], + "Q": [ 1258 ], + "R": [ 166 ] + } + }, + "FD_ik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8624.5-8629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1259 ], + "Q": [ 1260 ], + "R": [ 166 ] + } + }, + "FD_ika": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8634.5-8639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1261 ], + "Q": [ 1262 ], + "R": [ 166 ] + } + }, + "FD_ikb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8644.5-8649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1263 ], + "Q": [ 1264 ], + "R": [ 166 ] + } + }, + "FD_ikc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8654.5-8659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1265 ], + "Q": [ 1266 ], + "R": [ 166 ] + } + }, + "FD_ikd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8664.5-8669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1267 ], + "Q": [ 1268 ], + "R": [ 166 ] + } + }, + "FD_ike": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8674.5-8679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1269 ], + "Q": [ 1270 ], + "R": [ 166 ] + } + }, + "FD_ikf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8684.5-8689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1271 ], + "Q": [ 1272 ], + "R": [ 166 ] + } + }, + "FD_ikg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8694.5-8699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1273 ], + "Q": [ 1274 ], + "R": [ 166 ] + } + }, + "FD_ikh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8704.5-8709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1275 ], + "Q": [ 1276 ], + "R": [ 166 ] + } + }, + "FD_iki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8714.5-8719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1277 ], + "Q": [ 1278 ], + "R": [ 166 ] + } + }, + "FD_ikj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8724.5-8729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1279 ], + "Q": [ 1280 ], + "R": [ 166 ] + } + }, + "FD_ikk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8734.5-8739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1281 ], + "Q": [ 1282 ], + "R": [ 166 ] + } + }, + "FD_ikl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8744.5-8749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1283 ], + "Q": [ 1284 ], + "R": [ 166 ] + } + }, + "FD_ikm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8754.5-8759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1285 ], + "Q": [ 1286 ], + "R": [ 166 ] + } + }, + "FD_ikn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8764.5-8769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1287 ], + "Q": [ 1288 ], + "R": [ 166 ] + } + }, + "FD_iko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8774.5-8779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1289 ], + "Q": [ 1290 ], + "R": [ 166 ] + } + }, + "FD_ikp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8784.5-8789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1291 ], + "Q": [ 1292 ], + "R": [ 166 ] + } + }, + "FD_il": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8794.5-8799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1293 ], + "Q": [ 1294 ], + "R": [ 166 ] + } + }, + "FD_ila": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8804.5-8809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1295 ], + "Q": [ 1296 ], + "R": [ 166 ] + } + }, + "FD_ilb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8814.5-8819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1297 ], + "Q": [ 1298 ], + "R": [ 166 ] + } + }, + "FD_ilc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8824.5-8829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1299 ], + "Q": [ 1300 ], + "R": [ 166 ] + } + }, + "FD_ild": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8834.5-8839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1301 ], + "Q": [ 1302 ], + "R": [ 166 ] + } + }, + "FD_ile": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8844.5-8849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1303 ], + "Q": [ 1304 ], + "R": [ 166 ] + } + }, + "FD_ilf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8854.5-8859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1305 ], + "Q": [ 1306 ], + "R": [ 166 ] + } + }, + "FD_ilg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8864.5-8869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1307 ], + "Q": [ 1308 ], + "R": [ 166 ] + } + }, + "FD_ilh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8874.5-8879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1309 ], + "Q": [ 1310 ], + "R": [ 166 ] + } + }, + "FD_ili": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8884.5-8889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1311 ], + "Q": [ 1312 ], + "R": [ 166 ] + } + }, + "FD_ilj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8894.5-8899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1313 ], + "Q": [ 1314 ], + "R": [ 166 ] + } + }, + "FD_ilk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8904.5-8909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1315 ], + "Q": [ 1316 ], + "R": [ 166 ] + } + }, + "FD_ill": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8914.5-8919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1317 ], + "Q": [ 1318 ], + "R": [ 166 ] + } + }, + "FD_ilm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8924.5-8929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1319 ], + "Q": [ 1320 ], + "R": [ 166 ] + } + }, + "FD_iln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8934.5-8939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1321 ], + "Q": [ 1322 ], + "R": [ 166 ] + } + }, + "FD_ilo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8944.5-8949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1323 ], + "Q": [ 1324 ], + "R": [ 166 ] + } + }, + "FD_ilp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8954.5-8959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1325 ], + "Q": [ 1326 ], + "R": [ 166 ] + } + }, + "FD_im": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8964.5-8969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1327 ], + "Q": [ 1328 ], + "R": [ 166 ] + } + }, + "FD_ima": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8974.5-8979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1329 ], + "Q": [ 1330 ], + "R": [ 166 ] + } + }, + "FD_imb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8984.5-8989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1331 ], + "Q": [ 1332 ], + "R": [ 166 ] + } + }, + "FD_imc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:8994.5-8999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1333 ], + "Q": [ 1334 ], + "R": [ 166 ] + } + }, + "FD_imd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9004.5-9009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1335 ], + "Q": [ 1336 ], + "R": [ 166 ] + } + }, + "FD_ime": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9014.5-9019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1337 ], + "Q": [ 1338 ], + "R": [ 166 ] + } + }, + "FD_imf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9024.5-9029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1339 ], + "Q": [ 1340 ], + "R": [ 166 ] + } + }, + "FD_img": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9034.5-9039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1341 ], + "Q": [ 1342 ], + "R": [ 166 ] + } + }, + "FD_imh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9044.5-9049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1343 ], + "Q": [ 1344 ], + "R": [ 166 ] + } + }, + "FD_imi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9054.5-9059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1345 ], + "Q": [ 1346 ], + "R": [ 166 ] + } + }, + "FD_imj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9064.5-9069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1347 ], + "Q": [ 1348 ], + "R": [ 166 ] + } + }, + "FD_imk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9074.5-9079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1349 ], + "Q": [ 1350 ], + "R": [ 166 ] + } + }, + "FD_iml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9084.5-9089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1351 ], + "Q": [ 1352 ], + "R": [ 166 ] + } + }, + "FD_imm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9094.5-9099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1353 ], + "Q": [ 1354 ], + "R": [ 166 ] + } + }, + "FD_imn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9104.5-9109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1355 ], + "Q": [ 1356 ], + "R": [ 166 ] + } + }, + "FD_imo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9114.5-9119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1357 ], + "Q": [ 1358 ], + "R": [ 166 ] + } + }, + "FD_imp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9124.5-9129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1359 ], + "Q": [ 1360 ], + "R": [ 166 ] + } + }, + "FD_in": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9134.5-9139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1361 ], + "Q": [ 1362 ], + "R": [ 166 ] + } + }, + "FD_ina": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9144.5-9149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1363 ], + "Q": [ 1364 ], + "R": [ 166 ] + } + }, + "FD_inb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9154.5-9159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1365 ], + "Q": [ 1366 ], + "R": [ 166 ] + } + }, + "FD_inc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9164.5-9169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1367 ], + "Q": [ 1368 ], + "R": [ 166 ] + } + }, + "FD_ind": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9174.5-9179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1369 ], + "Q": [ 1370 ], + "R": [ 166 ] + } + }, + "FD_ine": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9184.5-9189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1371 ], + "Q": [ 1372 ], + "R": [ 166 ] + } + }, + "FD_inf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9194.5-9199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1373 ], + "Q": [ 1374 ], + "R": [ 166 ] + } + }, + "FD_ing": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9204.5-9209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1375 ], + "Q": [ 1376 ], + "R": [ 166 ] + } + }, + "FD_inh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9214.5-9219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1377 ], + "Q": [ 1378 ], + "R": [ 166 ] + } + }, + "FD_ini": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9224.5-9229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1379 ], + "Q": [ 1380 ], + "R": [ 166 ] + } + }, + "FD_inj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9234.5-9239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1381 ], + "Q": [ 1382 ], + "R": [ 166 ] + } + }, + "FD_ink": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9244.5-9249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1383 ], + "Q": [ 1384 ], + "R": [ 166 ] + } + }, + "FD_inl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9254.5-9259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1385 ], + "Q": [ 1386 ], + "R": [ 166 ] + } + }, + "FD_inm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9264.5-9269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1387 ], + "Q": [ 1388 ], + "R": [ 166 ] + } + }, + "FD_inn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9274.5-9279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1389 ], + "Q": [ 1390 ], + "R": [ 166 ] + } + }, + "FD_ino": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9284.5-9289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1391 ], + "Q": [ 1392 ], + "R": [ 166 ] + } + }, + "FD_inp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9294.5-9299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1393 ], + "Q": [ 1394 ], + "R": [ 166 ] + } + }, + "FD_io": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9304.5-9309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1395 ], + "Q": [ 1396 ], + "R": [ 166 ] + } + }, + "FD_ioa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9314.5-9319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1397 ], + "Q": [ 1398 ], + "R": [ 166 ] + } + }, + "FD_iob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9324.5-9329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1399 ], + "Q": [ 1400 ], + "R": [ 166 ] + } + }, + "FD_ioc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9334.5-9339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1401 ], + "Q": [ 1402 ], + "R": [ 166 ] + } + }, + "FD_iod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9344.5-9349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1403 ], + "Q": [ 1404 ], + "R": [ 166 ] + } + }, + "FD_ioe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9354.5-9359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1405 ], + "Q": [ 1406 ], + "R": [ 166 ] + } + }, + "FD_iof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9364.5-9369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1407 ], + "Q": [ 1408 ], + "R": [ 166 ] + } + }, + "FD_iog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9374.5-9379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1409 ], + "Q": [ 1410 ], + "R": [ 166 ] + } + }, + "FD_ioh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9384.5-9389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1411 ], + "Q": [ 1412 ], + "R": [ 166 ] + } + }, + "FD_ioi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9394.5-9399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1413 ], + "Q": [ 1414 ], + "R": [ 166 ] + } + }, + "FD_ioj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9404.5-9409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1415 ], + "Q": [ 1416 ], + "R": [ 166 ] + } + }, + "FD_iok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9414.5-9419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1417 ], + "Q": [ 1418 ], + "R": [ 166 ] + } + }, + "FD_iol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9424.5-9429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1419 ], + "Q": [ 1420 ], + "R": [ 166 ] + } + }, + "FD_iom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9434.5-9439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1421 ], + "Q": [ 1422 ], + "R": [ 166 ] + } + }, + "FD_ion": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9444.5-9449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1423 ], + "Q": [ 1424 ], + "R": [ 166 ] + } + }, + "FD_ioo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9454.5-9459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1425 ], + "Q": [ 1426 ], + "R": [ 166 ] + } + }, + "FD_iop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9464.5-9469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1427 ], + "Q": [ 1428 ], + "R": [ 166 ] + } + }, + "FD_ip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9474.5-9479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1429 ], + "Q": [ 1430 ], + "R": [ 166 ] + } + }, + "FD_ipa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9484.5-9489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1431 ], + "Q": [ 1432 ], + "R": [ 166 ] + } + }, + "FD_ipb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9494.5-9499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1433 ], + "Q": [ 1434 ], + "R": [ 166 ] + } + }, + "FD_ipc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9504.5-9509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1435 ], + "Q": [ 1436 ], + "R": [ 166 ] + } + }, + "FD_ipd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9514.5-9519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1437 ], + "Q": [ 1438 ], + "R": [ 166 ] + } + }, + "FD_ipe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9524.5-9529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1439 ], + "Q": [ 1440 ], + "R": [ 166 ] + } + }, + "FD_ipf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9534.5-9539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1441 ], + "Q": [ 1442 ], + "R": [ 166 ] + } + }, + "FD_ipg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9544.5-9549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1443 ], + "Q": [ 1444 ], + "R": [ 166 ] + } + }, + "FD_iph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9554.5-9559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1445 ], + "Q": [ 1446 ], + "R": [ 166 ] + } + }, + "FD_ipi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9564.5-9569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1447 ], + "Q": [ 1448 ], + "R": [ 166 ] + } + }, + "FD_ipj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9574.5-9579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1449 ], + "Q": [ 1450 ], + "R": [ 166 ] + } + }, + "FD_ipk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9584.5-9589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1451 ], + "Q": [ 1452 ], + "R": [ 166 ] + } + }, + "FD_ipl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9594.5-9599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1453 ], + "Q": [ 1454 ], + "R": [ 166 ] + } + }, + "FD_ipm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9604.5-9609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1455 ], + "Q": [ 1456 ], + "R": [ 166 ] + } + }, + "FD_ipn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9614.5-9619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1457 ], + "Q": [ 1458 ], + "R": [ 166 ] + } + }, + "FD_ipo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9624.5-9629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1459 ], + "Q": [ 1460 ], + "R": [ 166 ] + } + }, + "FD_ipp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9634.5-9639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1461 ], + "Q": [ 1462 ], + "R": [ 166 ] + } + }, + "FD_j": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9644.5-9649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1463 ], + "Q": [ 1464 ], + "R": [ 166 ] + } + }, + "FD_ja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9654.5-9659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1465 ], + "Q": [ 1466 ], + "R": [ 166 ] + } + }, + "FD_jaa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9664.5-9669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1467 ], + "Q": [ 1468 ], + "R": [ 166 ] + } + }, + "FD_jab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9674.5-9679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1469 ], + "Q": [ 1470 ], + "R": [ 166 ] + } + }, + "FD_jac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9684.5-9689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1471 ], + "Q": [ 1472 ], + "R": [ 166 ] + } + }, + "FD_jad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9694.5-9699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1473 ], + "Q": [ 1474 ], + "R": [ 166 ] + } + }, + "FD_jae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9704.5-9709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1475 ], + "Q": [ 1476 ], + "R": [ 166 ] + } + }, + "FD_jaf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9714.5-9719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1477 ], + "Q": [ 1478 ], + "R": [ 166 ] + } + }, + "FD_jag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9724.5-9729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1479 ], + "Q": [ 1480 ], + "R": [ 166 ] + } + }, + "FD_jah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9734.5-9739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1481 ], + "Q": [ 1482 ], + "R": [ 166 ] + } + }, + "FD_jai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9744.5-9749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1483 ], + "Q": [ 1484 ], + "R": [ 166 ] + } + }, + "FD_jaj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9754.5-9759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1485 ], + "Q": [ 1486 ], + "R": [ 166 ] + } + }, + "FD_jak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9764.5-9769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1487 ], + "Q": [ 1488 ], + "R": [ 166 ] + } + }, + "FD_jal": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9774.5-9779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1489 ], + "Q": [ 1490 ], + "R": [ 166 ] + } + }, + "FD_jam": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9784.5-9789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1491 ], + "Q": [ 1492 ], + "R": [ 166 ] + } + }, + "FD_jan": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9794.5-9799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1493 ], + "Q": [ 1494 ], + "R": [ 166 ] + } + }, + "FD_jao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9804.5-9809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1495 ], + "Q": [ 1496 ], + "R": [ 166 ] + } + }, + "FD_jap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9814.5-9819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1497 ], + "Q": [ 1498 ], + "R": [ 166 ] + } + }, + "FD_jb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9824.5-9829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1499 ], + "Q": [ 1500 ], + "R": [ 166 ] + } + }, + "FD_jba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9834.5-9839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1501 ], + "Q": [ 1502 ], + "R": [ 166 ] + } + }, + "FD_jbb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9844.5-9849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1503 ], + "Q": [ 1504 ], + "R": [ 166 ] + } + }, + "FD_jbc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9854.5-9859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1505 ], + "Q": [ 1506 ], + "R": [ 166 ] + } + }, + "FD_jbd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9864.5-9869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1507 ], + "Q": [ 1508 ], + "R": [ 166 ] + } + }, + "FD_jbe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9874.5-9879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1509 ], + "Q": [ 1510 ], + "R": [ 166 ] + } + }, + "FD_jbf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9884.5-9889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1511 ], + "Q": [ 1512 ], + "R": [ 166 ] + } + }, + "FD_jbg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9894.5-9899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1513 ], + "Q": [ 1514 ], + "R": [ 166 ] + } + }, + "FD_jbh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9904.5-9909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1515 ], + "Q": [ 1516 ], + "R": [ 166 ] + } + }, + "FD_jbi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9914.5-9919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1517 ], + "Q": [ 1518 ], + "R": [ 166 ] + } + }, + "FD_jbj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9924.5-9929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1519 ], + "Q": [ 1520 ], + "R": [ 166 ] + } + }, + "FD_jbk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9934.5-9939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1521 ], + "Q": [ 1522 ], + "R": [ 166 ] + } + }, + "FD_jbl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9944.5-9949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1523 ], + "Q": [ 1524 ], + "R": [ 166 ] + } + }, + "FD_jbm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9954.5-9959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1525 ], + "Q": [ 1526 ], + "R": [ 166 ] + } + }, + "FD_jbn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9964.5-9969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1527 ], + "Q": [ 1528 ], + "R": [ 166 ] + } + }, + "FD_jbo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9974.5-9979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1529 ], + "Q": [ 1530 ], + "R": [ 166 ] + } + }, + "FD_jbp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9984.5-9989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1531 ], + "Q": [ 1532 ], + "R": [ 166 ] + } + }, + "FD_jc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:9994.5-9999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1533 ], + "Q": [ 1534 ], + "R": [ 166 ] + } + }, + "FD_jca": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10004.5-10009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1535 ], + "Q": [ 1536 ], + "R": [ 166 ] + } + }, + "FD_jcb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10014.5-10019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1537 ], + "Q": [ 1538 ], + "R": [ 166 ] + } + }, + "FD_jcc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10024.5-10029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1539 ], + "Q": [ 1540 ], + "R": [ 166 ] + } + }, + "FD_jcd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10034.5-10039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1541 ], + "Q": [ 1542 ], + "R": [ 166 ] + } + }, + "FD_jce": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10044.5-10049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1543 ], + "Q": [ 1544 ], + "R": [ 166 ] + } + }, + "FD_jcf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10054.5-10059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1545 ], + "Q": [ 1546 ], + "R": [ 166 ] + } + }, + "FD_jcg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10064.5-10069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1547 ], + "Q": [ 1548 ], + "R": [ 166 ] + } + }, + "FD_jch": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10074.5-10079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1549 ], + "Q": [ 1550 ], + "R": [ 166 ] + } + }, + "FD_jci": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10084.5-10089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1551 ], + "Q": [ 1552 ], + "R": [ 166 ] + } + }, + "FD_jcj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10094.5-10099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1553 ], + "Q": [ 1554 ], + "R": [ 166 ] + } + }, + "FD_jck": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10104.5-10109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1555 ], + "Q": [ 1556 ], + "R": [ 166 ] + } + }, + "FD_jcl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10114.5-10119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1557 ], + "Q": [ 1558 ], + "R": [ 166 ] + } + }, + "FD_jcm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10124.5-10129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1559 ], + "Q": [ 1560 ], + "R": [ 166 ] + } + }, + "FD_jcn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10134.5-10139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1561 ], + "Q": [ 1562 ], + "R": [ 166 ] + } + }, + "FD_jco": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10144.5-10149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1563 ], + "Q": [ 1564 ], + "R": [ 166 ] + } + }, + "FD_jcp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10154.5-10159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1565 ], + "Q": [ 1566 ], + "R": [ 166 ] + } + }, + "FD_jd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10164.5-10169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1567 ], + "Q": [ 1568 ], + "R": [ 166 ] + } + }, + "FD_jda": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10174.5-10179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1569 ], + "Q": [ 1570 ], + "R": [ 166 ] + } + }, + "FD_jdb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10184.5-10189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1571 ], + "Q": [ 1572 ], + "R": [ 166 ] + } + }, + "FD_jdc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10194.5-10199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1573 ], + "Q": [ 1574 ], + "R": [ 166 ] + } + }, + "FD_jdd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10204.5-10209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1575 ], + "Q": [ 1576 ], + "R": [ 166 ] + } + }, + "FD_jde": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10214.5-10219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1577 ], + "Q": [ 1578 ], + "R": [ 166 ] + } + }, + "FD_jdf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10224.5-10229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1579 ], + "Q": [ 1580 ], + "R": [ 166 ] + } + }, + "FD_jdg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10234.5-10239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1581 ], + "Q": [ 1582 ], + "R": [ 166 ] + } + }, + "FD_jdh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10244.5-10249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1583 ], + "Q": [ 1584 ], + "R": [ 166 ] + } + }, + "FD_jdi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10254.5-10259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1585 ], + "Q": [ 1586 ], + "R": [ 166 ] + } + }, + "FD_jdj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10264.5-10269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1587 ], + "Q": [ 1588 ], + "R": [ 166 ] + } + }, + "FD_jdk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10274.5-10279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1589 ], + "Q": [ 1590 ], + "R": [ 166 ] + } + }, + "FD_jdl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10284.5-10289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1591 ], + "Q": [ 1592 ], + "R": [ 166 ] + } + }, + "FD_jdm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10294.5-10299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1593 ], + "Q": [ 1594 ], + "R": [ 166 ] + } + }, + "FD_jdn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10304.5-10309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1595 ], + "Q": [ 1596 ], + "R": [ 166 ] + } + }, + "FD_jdo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10314.5-10319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1597 ], + "Q": [ 1598 ], + "R": [ 166 ] + } + }, + "FD_jdp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10324.5-10329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1599 ], + "Q": [ 1600 ], + "R": [ 166 ] + } + }, + "FD_je": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10334.5-10339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1601 ], + "Q": [ 1602 ], + "R": [ 166 ] + } + }, + "FD_jea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10344.5-10349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1603 ], + "Q": [ 1604 ], + "R": [ 166 ] + } + }, + "FD_jeb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10354.5-10359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1605 ], + "Q": [ 1606 ], + "R": [ 166 ] + } + }, + "FD_jec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10364.5-10369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1607 ], + "Q": [ 1608 ], + "R": [ 166 ] + } + }, + "FD_jed": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10374.5-10379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1609 ], + "Q": [ 1610 ], + "R": [ 166 ] + } + }, + "FD_jee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10384.5-10389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1611 ], + "Q": [ 1612 ], + "R": [ 166 ] + } + }, + "FD_jef": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10394.5-10399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1613 ], + "Q": [ 1614 ], + "R": [ 166 ] + } + }, + "FD_jeg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10404.5-10409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1615 ], + "Q": [ 1616 ], + "R": [ 166 ] + } + }, + "FD_jeh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10414.5-10419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1617 ], + "Q": [ 1618 ], + "R": [ 166 ] + } + }, + "FD_jei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10424.5-10429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1619 ], + "Q": [ 1620 ], + "R": [ 166 ] + } + }, + "FD_jej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10434.5-10439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1621 ], + "Q": [ 1622 ], + "R": [ 166 ] + } + }, + "FD_jek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10444.5-10449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1623 ], + "Q": [ 1624 ], + "R": [ 166 ] + } + }, + "FD_jel": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10454.5-10459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1625 ], + "Q": [ 1626 ], + "R": [ 166 ] + } + }, + "FD_jem": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10464.5-10469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1627 ], + "Q": [ 1628 ], + "R": [ 166 ] + } + }, + "FD_jen": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10474.5-10479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1629 ], + "Q": [ 1630 ], + "R": [ 166 ] + } + }, + "FD_jeo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10484.5-10489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1631 ], + "Q": [ 1632 ], + "R": [ 166 ] + } + }, + "FD_jep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10494.5-10499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1633 ], + "Q": [ 1634 ], + "R": [ 166 ] + } + }, + "FD_jf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10504.5-10509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1635 ], + "Q": [ 1636 ], + "R": [ 166 ] + } + }, + "FD_jfa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10514.5-10519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1637 ], + "Q": [ 1638 ], + "R": [ 166 ] + } + }, + "FD_jfb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10524.5-10529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1639 ], + "Q": [ 1640 ], + "R": [ 166 ] + } + }, + "FD_jfc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10534.5-10539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1641 ], + "Q": [ 1642 ], + "R": [ 166 ] + } + }, + "FD_jfd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10544.5-10549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1643 ], + "Q": [ 1644 ], + "R": [ 166 ] + } + }, + "FD_jfe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10554.5-10559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1645 ], + "Q": [ 1646 ], + "R": [ 166 ] + } + }, + "FD_jff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10564.5-10569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1647 ], + "Q": [ 1648 ], + "R": [ 166 ] + } + }, + "FD_jfg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10574.5-10579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1649 ], + "Q": [ 1650 ], + "R": [ 166 ] + } + }, + "FD_jfh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10584.5-10589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1651 ], + "Q": [ 1652 ], + "R": [ 166 ] + } + }, + "FD_jfi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10594.5-10599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1653 ], + "Q": [ 1654 ], + "R": [ 166 ] + } + }, + "FD_jfj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10604.5-10609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1655 ], + "Q": [ 1656 ], + "R": [ 166 ] + } + }, + "FD_jfk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10614.5-10619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1657 ], + "Q": [ 1658 ], + "R": [ 166 ] + } + }, + "FD_jfl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10624.5-10629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1659 ], + "Q": [ 1660 ], + "R": [ 166 ] + } + }, + "FD_jfm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10634.5-10639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1661 ], + "Q": [ 1662 ], + "R": [ 166 ] + } + }, + "FD_jfn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10644.5-10649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1663 ], + "Q": [ 1664 ], + "R": [ 166 ] + } + }, + "FD_jfo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10654.5-10659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1665 ], + "Q": [ 1666 ], + "R": [ 166 ] + } + }, + "FD_jfp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10664.5-10669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1667 ], + "Q": [ 1668 ], + "R": [ 166 ] + } + }, + "FD_jg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10674.5-10679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1669 ], + "Q": [ 1670 ], + "R": [ 166 ] + } + }, + "FD_jga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10684.5-10689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1671 ], + "Q": [ 1672 ], + "R": [ 166 ] + } + }, + "FD_jgb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10694.5-10699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1673 ], + "Q": [ 1674 ], + "R": [ 166 ] + } + }, + "FD_jgc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10704.5-10709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1675 ], + "Q": [ 1676 ], + "R": [ 166 ] + } + }, + "FD_jgd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10714.5-10719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1677 ], + "Q": [ 1678 ], + "R": [ 166 ] + } + }, + "FD_jge": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10724.5-10729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1679 ], + "Q": [ 1680 ], + "R": [ 166 ] + } + }, + "FD_jgf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10734.5-10739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1681 ], + "Q": [ 1682 ], + "R": [ 166 ] + } + }, + "FD_jgg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10744.5-10749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1683 ], + "Q": [ 1684 ], + "R": [ 166 ] + } + }, + "FD_jgh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10754.5-10759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1685 ], + "Q": [ 1686 ], + "R": [ 166 ] + } + }, + "FD_jgi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10764.5-10769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1687 ], + "Q": [ 1688 ], + "R": [ 166 ] + } + }, + "FD_jgj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10774.5-10779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1689 ], + "Q": [ 1690 ], + "R": [ 166 ] + } + }, + "FD_jgk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10784.5-10789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1691 ], + "Q": [ 1692 ], + "R": [ 166 ] + } + }, + "FD_jgl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10794.5-10799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1693 ], + "Q": [ 1694 ], + "R": [ 166 ] + } + }, + "FD_jgm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10804.5-10809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1695 ], + "Q": [ 1696 ], + "R": [ 166 ] + } + }, + "FD_jgn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10814.5-10819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1697 ], + "Q": [ 1698 ], + "R": [ 166 ] + } + }, + "FD_jgo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10824.5-10829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1699 ], + "Q": [ 1700 ], + "R": [ 166 ] + } + }, + "FD_jgp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10834.5-10839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1701 ], + "Q": [ 1702 ], + "R": [ 166 ] + } + }, + "FD_jh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10844.5-10849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1703 ], + "Q": [ 1704 ], + "R": [ 166 ] + } + }, + "FD_jha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10854.5-10859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1705 ], + "Q": [ 1706 ], + "R": [ 166 ] + } + }, + "FD_jhb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10864.5-10869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1707 ], + "Q": [ 1708 ], + "R": [ 166 ] + } + }, + "FD_jhc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10874.5-10879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1709 ], + "Q": [ 1710 ], + "R": [ 166 ] + } + }, + "FD_jhd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10884.5-10889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1711 ], + "Q": [ 1712 ], + "R": [ 166 ] + } + }, + "FD_jhe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10894.5-10899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1713 ], + "Q": [ 1714 ], + "R": [ 166 ] + } + }, + "FD_jhf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10904.5-10909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1715 ], + "Q": [ 1716 ], + "R": [ 166 ] + } + }, + "FD_jhg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10914.5-10919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1717 ], + "Q": [ 1718 ], + "R": [ 166 ] + } + }, + "FD_jhh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10924.5-10929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1719 ], + "Q": [ 1720 ], + "R": [ 166 ] + } + }, + "FD_jhi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10934.5-10939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1721 ], + "Q": [ 1722 ], + "R": [ 166 ] + } + }, + "FD_jhj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10944.5-10949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1723 ], + "Q": [ 1724 ], + "R": [ 166 ] + } + }, + "FD_jhk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10954.5-10959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1725 ], + "Q": [ 1726 ], + "R": [ 166 ] + } + }, + "FD_jhl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10964.5-10969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1727 ], + "Q": [ 1728 ], + "R": [ 166 ] + } + }, + "FD_jhm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10974.5-10979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1729 ], + "Q": [ 1730 ], + "R": [ 166 ] + } + }, + "FD_jhn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10984.5-10989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1731 ], + "Q": [ 1732 ], + "R": [ 166 ] + } + }, + "FD_jho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:10994.5-10999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1733 ], + "Q": [ 1734 ], + "R": [ 166 ] + } + }, + "FD_jhp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11004.5-11009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1735 ], + "Q": [ 1736 ], + "R": [ 166 ] + } + }, + "FD_ji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11014.5-11019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1737 ], + "Q": [ 1738 ], + "R": [ 166 ] + } + }, + "FD_jia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11024.5-11029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1739 ], + "Q": [ 1740 ], + "R": [ 166 ] + } + }, + "FD_jib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11034.5-11039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1741 ], + "Q": [ 1742 ], + "R": [ 166 ] + } + }, + "FD_jic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11044.5-11049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1743 ], + "Q": [ 1744 ], + "R": [ 166 ] + } + }, + "FD_jid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11054.5-11059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1745 ], + "Q": [ 1746 ], + "R": [ 166 ] + } + }, + "FD_jie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11064.5-11069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1747 ], + "Q": [ 1748 ], + "R": [ 166 ] + } + }, + "FD_jif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11074.5-11079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1749 ], + "Q": [ 1750 ], + "R": [ 166 ] + } + }, + "FD_jig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11084.5-11089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1751 ], + "Q": [ 1752 ], + "R": [ 166 ] + } + }, + "FD_jih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11094.5-11099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1753 ], + "Q": [ 1754 ], + "R": [ 166 ] + } + }, + "FD_jii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11104.5-11109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1755 ], + "Q": [ 1756 ], + "R": [ 166 ] + } + }, + "FD_jij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11114.5-11119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1757 ], + "Q": [ 1758 ], + "R": [ 166 ] + } + }, + "FD_jik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11124.5-11129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1759 ], + "Q": [ 1760 ], + "R": [ 166 ] + } + }, + "FD_jil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11134.5-11139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1761 ], + "Q": [ 1762 ], + "R": [ 166 ] + } + }, + "FD_jim": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11144.5-11149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1763 ], + "Q": [ 1764 ], + "R": [ 166 ] + } + }, + "FD_jin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11154.5-11159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1765 ], + "Q": [ 1766 ], + "R": [ 166 ] + } + }, + "FD_jio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11164.5-11169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1767 ], + "Q": [ 1768 ], + "R": [ 166 ] + } + }, + "FD_jip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11174.5-11179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1769 ], + "Q": [ 1770 ], + "R": [ 166 ] + } + }, + "FD_jj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11184.5-11189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1771 ], + "Q": [ 1772 ], + "R": [ 166 ] + } + }, + "FD_jja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11194.5-11199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1773 ], + "Q": [ 1774 ], + "R": [ 166 ] + } + }, + "FD_jjb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11204.5-11209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1775 ], + "Q": [ 1776 ], + "R": [ 166 ] + } + }, + "FD_jjc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11214.5-11219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1777 ], + "Q": [ 1778 ], + "R": [ 166 ] + } + }, + "FD_jjd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11224.5-11229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1779 ], + "Q": [ 1780 ], + "R": [ 166 ] + } + }, + "FD_jje": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11234.5-11239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1781 ], + "Q": [ 1782 ], + "R": [ 166 ] + } + }, + "FD_jjf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11244.5-11249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1783 ], + "Q": [ 1784 ], + "R": [ 166 ] + } + }, + "FD_jjg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11254.5-11259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1785 ], + "Q": [ 1786 ], + "R": [ 166 ] + } + }, + "FD_jjh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11264.5-11269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1787 ], + "Q": [ 1788 ], + "R": [ 166 ] + } + }, + "FD_jji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11274.5-11279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1789 ], + "Q": [ 1790 ], + "R": [ 166 ] + } + }, + "FD_jjj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11284.5-11289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1791 ], + "Q": [ 1792 ], + "R": [ 166 ] + } + }, + "FD_jjk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11294.5-11299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1793 ], + "Q": [ 1794 ], + "R": [ 166 ] + } + }, + "FD_jjl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11304.5-11309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1795 ], + "Q": [ 1796 ], + "R": [ 166 ] + } + }, + "FD_jjm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11314.5-11319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1797 ], + "Q": [ 1798 ], + "R": [ 166 ] + } + }, + "FD_jjn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11324.5-11329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1799 ], + "Q": [ 1800 ], + "R": [ 166 ] + } + }, + "FD_jjo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11334.5-11339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1801 ], + "Q": [ 1802 ], + "R": [ 166 ] + } + }, + "FD_jjp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11344.5-11349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1803 ], + "Q": [ 1804 ], + "R": [ 166 ] + } + }, + "FD_jk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11354.5-11359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1805 ], + "Q": [ 1806 ], + "R": [ 166 ] + } + }, + "FD_jka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11364.5-11369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1807 ], + "Q": [ 1808 ], + "R": [ 166 ] + } + }, + "FD_jkb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11374.5-11379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1809 ], + "Q": [ 1810 ], + "R": [ 166 ] + } + }, + "FD_jkc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11384.5-11389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1811 ], + "Q": [ 1812 ], + "R": [ 166 ] + } + }, + "FD_jkd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11394.5-11399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1813 ], + "Q": [ 1814 ], + "R": [ 166 ] + } + }, + "FD_jke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11404.5-11409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1815 ], + "Q": [ 1816 ], + "R": [ 166 ] + } + }, + "FD_jkf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11414.5-11419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1817 ], + "Q": [ 1818 ], + "R": [ 166 ] + } + }, + "FD_jkg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11424.5-11429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1819 ], + "Q": [ 1820 ], + "R": [ 166 ] + } + }, + "FD_jkh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11434.5-11439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1821 ], + "Q": [ 1822 ], + "R": [ 166 ] + } + }, + "FD_jki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11444.5-11449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1823 ], + "Q": [ 1824 ], + "R": [ 166 ] + } + }, + "FD_jkj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11454.5-11459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1825 ], + "Q": [ 1826 ], + "R": [ 166 ] + } + }, + "FD_jkk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11464.5-11469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1827 ], + "Q": [ 1828 ], + "R": [ 166 ] + } + }, + "FD_jkl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11474.5-11479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1829 ], + "Q": [ 1830 ], + "R": [ 166 ] + } + }, + "FD_jkm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11484.5-11489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1831 ], + "Q": [ 1832 ], + "R": [ 166 ] + } + }, + "FD_jkn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11494.5-11499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1833 ], + "Q": [ 1834 ], + "R": [ 166 ] + } + }, + "FD_jko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11504.5-11509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1835 ], + "Q": [ 1836 ], + "R": [ 166 ] + } + }, + "FD_jkp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11514.5-11519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1837 ], + "Q": [ 1838 ], + "R": [ 166 ] + } + }, + "FD_jl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11524.5-11529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1839 ], + "Q": [ 1840 ], + "R": [ 166 ] + } + }, + "FD_jla": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11534.5-11539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1841 ], + "Q": [ 1842 ], + "R": [ 166 ] + } + }, + "FD_jlb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11544.5-11549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1843 ], + "Q": [ 1844 ], + "R": [ 166 ] + } + }, + "FD_jlc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11554.5-11559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1845 ], + "Q": [ 1846 ], + "R": [ 166 ] + } + }, + "FD_jld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11564.5-11569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1847 ], + "Q": [ 1848 ], + "R": [ 166 ] + } + }, + "FD_jle": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11574.5-11579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1849 ], + "Q": [ 1850 ], + "R": [ 166 ] + } + }, + "FD_jlf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11584.5-11589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1851 ], + "Q": [ 1852 ], + "R": [ 166 ] + } + }, + "FD_jlg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11594.5-11599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1853 ], + "Q": [ 1854 ], + "R": [ 166 ] + } + }, + "FD_jlh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11604.5-11609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1855 ], + "Q": [ 1856 ], + "R": [ 166 ] + } + }, + "FD_jli": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11614.5-11619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1857 ], + "Q": [ 1858 ], + "R": [ 166 ] + } + }, + "FD_jlj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11624.5-11629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1859 ], + "Q": [ 1860 ], + "R": [ 166 ] + } + }, + "FD_jlk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11634.5-11639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1861 ], + "Q": [ 1862 ], + "R": [ 166 ] + } + }, + "FD_jll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11644.5-11649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1863 ], + "Q": [ 1864 ], + "R": [ 166 ] + } + }, + "FD_jlm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11654.5-11659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1865 ], + "Q": [ 1866 ], + "R": [ 166 ] + } + }, + "FD_jln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11664.5-11669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1867 ], + "Q": [ 1868 ], + "R": [ 166 ] + } + }, + "FD_jlo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11674.5-11679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1869 ], + "Q": [ 1870 ], + "R": [ 166 ] + } + }, + "FD_jlp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11684.5-11689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1871 ], + "Q": [ 1872 ], + "R": [ 166 ] + } + }, + "FD_jm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11694.5-11699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1873 ], + "Q": [ 1874 ], + "R": [ 166 ] + } + }, + "FD_jma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11704.5-11709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1875 ], + "Q": [ 1876 ], + "R": [ 166 ] + } + }, + "FD_jmb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11714.5-11719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1877 ], + "Q": [ 1878 ], + "R": [ 166 ] + } + }, + "FD_jmc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11724.5-11729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1879 ], + "Q": [ 1880 ], + "R": [ 166 ] + } + }, + "FD_jmd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11734.5-11739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1881 ], + "Q": [ 1882 ], + "R": [ 166 ] + } + }, + "FD_jme": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11744.5-11749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1883 ], + "Q": [ 1884 ], + "R": [ 166 ] + } + }, + "FD_jmf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11754.5-11759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1885 ], + "Q": [ 1886 ], + "R": [ 166 ] + } + }, + "FD_jmg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11764.5-11769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1887 ], + "Q": [ 1888 ], + "R": [ 166 ] + } + }, + "FD_jmh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11774.5-11779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1889 ], + "Q": [ 1890 ], + "R": [ 166 ] + } + }, + "FD_jmi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11784.5-11789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1891 ], + "Q": [ 1892 ], + "R": [ 166 ] + } + }, + "FD_jmj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11794.5-11799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1893 ], + "Q": [ 1894 ], + "R": [ 166 ] + } + }, + "FD_jmk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11804.5-11809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1895 ], + "Q": [ 1896 ], + "R": [ 166 ] + } + }, + "FD_jml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11814.5-11819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1897 ], + "Q": [ 1898 ], + "R": [ 166 ] + } + }, + "FD_jmm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11824.5-11829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1899 ], + "Q": [ 1900 ], + "R": [ 166 ] + } + }, + "FD_jmn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11834.5-11839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1901 ], + "Q": [ 1902 ], + "R": [ 166 ] + } + }, + "FD_jmo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11844.5-11849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1903 ], + "Q": [ 1904 ], + "R": [ 166 ] + } + }, + "FD_jmp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11854.5-11859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1905 ], + "Q": [ 1906 ], + "R": [ 166 ] + } + }, + "FD_jn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11864.5-11869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1907 ], + "Q": [ 1908 ], + "R": [ 166 ] + } + }, + "FD_jna": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11874.5-11879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1909 ], + "Q": [ 1910 ], + "R": [ 166 ] + } + }, + "FD_jnb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11884.5-11889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1911 ], + "Q": [ 1912 ], + "R": [ 166 ] + } + }, + "FD_jnc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11894.5-11899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1913 ], + "Q": [ 1914 ], + "R": [ 166 ] + } + }, + "FD_jnd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11904.5-11909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1915 ], + "Q": [ 1916 ], + "R": [ 166 ] + } + }, + "FD_jne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11914.5-11919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1917 ], + "Q": [ 1918 ], + "R": [ 166 ] + } + }, + "FD_jnf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11924.5-11929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1919 ], + "Q": [ 1920 ], + "R": [ 166 ] + } + }, + "FD_jng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11934.5-11939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1921 ], + "Q": [ 1922 ], + "R": [ 166 ] + } + }, + "FD_jnh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11944.5-11949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1923 ], + "Q": [ 1924 ], + "R": [ 166 ] + } + }, + "FD_jni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11954.5-11959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1925 ], + "Q": [ 1926 ], + "R": [ 166 ] + } + }, + "FD_jnj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11964.5-11969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1927 ], + "Q": [ 1928 ], + "R": [ 166 ] + } + }, + "FD_jnk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11974.5-11979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1929 ], + "Q": [ 1930 ], + "R": [ 166 ] + } + }, + "FD_jnl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11984.5-11989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1931 ], + "Q": [ 1932 ], + "R": [ 166 ] + } + }, + "FD_jnm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:11994.5-11999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1933 ], + "Q": [ 1934 ], + "R": [ 166 ] + } + }, + "FD_jnn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12004.5-12009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1935 ], + "Q": [ 1936 ], + "R": [ 166 ] + } + }, + "FD_jno": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12014.5-12019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1937 ], + "Q": [ 1938 ], + "R": [ 166 ] + } + }, + "FD_jnp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12024.5-12029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1939 ], + "Q": [ 1940 ], + "R": [ 166 ] + } + }, + "FD_jo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12034.5-12039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1941 ], + "Q": [ 1942 ], + "R": [ 166 ] + } + }, + "FD_joa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12044.5-12049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1943 ], + "Q": [ 1944 ], + "R": [ 166 ] + } + }, + "FD_job": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12054.5-12059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1945 ], + "Q": [ 1946 ], + "R": [ 166 ] + } + }, + "FD_joc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12064.5-12069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1947 ], + "Q": [ 1948 ], + "R": [ 166 ] + } + }, + "FD_jod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12074.5-12079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1949 ], + "Q": [ 1950 ], + "R": [ 166 ] + } + }, + "FD_joe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12084.5-12089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1951 ], + "Q": [ 1952 ], + "R": [ 166 ] + } + }, + "FD_jof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12094.5-12099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1953 ], + "Q": [ 1954 ], + "R": [ 166 ] + } + }, + "FD_jog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12104.5-12109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1955 ], + "Q": [ 1956 ], + "R": [ 166 ] + } + }, + "FD_joh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12114.5-12119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1957 ], + "Q": [ 1958 ], + "R": [ 166 ] + } + }, + "FD_joi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12124.5-12129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1959 ], + "Q": [ 1960 ], + "R": [ 166 ] + } + }, + "FD_joj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12134.5-12139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1961 ], + "Q": [ 1962 ], + "R": [ 166 ] + } + }, + "FD_jok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12144.5-12149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1963 ], + "Q": [ 1964 ], + "R": [ 166 ] + } + }, + "FD_jol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12154.5-12159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1965 ], + "Q": [ 1966 ], + "R": [ 166 ] + } + }, + "FD_jom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12164.5-12169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1967 ], + "Q": [ 1968 ], + "R": [ 166 ] + } + }, + "FD_jon": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12174.5-12179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1969 ], + "Q": [ 1970 ], + "R": [ 166 ] + } + }, + "FD_joo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12184.5-12189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1971 ], + "Q": [ 1972 ], + "R": [ 166 ] + } + }, + "FD_jop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12194.5-12199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1973 ], + "Q": [ 1974 ], + "R": [ 166 ] + } + }, + "FD_jp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12204.5-12209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1975 ], + "Q": [ 1976 ], + "R": [ 166 ] + } + }, + "FD_jpa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12214.5-12219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1977 ], + "Q": [ 1978 ], + "R": [ 166 ] + } + }, + "FD_jpb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12224.5-12229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1979 ], + "Q": [ 1980 ], + "R": [ 166 ] + } + }, + "FD_jpc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12234.5-12239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1981 ], + "Q": [ 1982 ], + "R": [ 166 ] + } + }, + "FD_jpd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12244.5-12249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1983 ], + "Q": [ 1984 ], + "R": [ 166 ] + } + }, + "FD_jpe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12254.5-12259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1985 ], + "Q": [ 1986 ], + "R": [ 166 ] + } + }, + "FD_jpf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12264.5-12269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1987 ], + "Q": [ 1988 ], + "R": [ 166 ] + } + }, + "FD_jpg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12274.5-12279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1989 ], + "Q": [ 1990 ], + "R": [ 166 ] + } + }, + "FD_jph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12284.5-12289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1991 ], + "Q": [ 1992 ], + "R": [ 166 ] + } + }, + "FD_jpi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12294.5-12299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1993 ], + "Q": [ 1994 ], + "R": [ 166 ] + } + }, + "FD_jpj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12304.5-12309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1995 ], + "Q": [ 1996 ], + "R": [ 166 ] + } + }, + "FD_jpk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12314.5-12319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1997 ], + "Q": [ 1998 ], + "R": [ 166 ] + } + }, + "FD_jpl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12324.5-12329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1999 ], + "Q": [ 2000 ], + "R": [ 166 ] + } + }, + "FD_jpm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12334.5-12339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2001 ], + "Q": [ 2002 ], + "R": [ 166 ] + } + }, + "FD_jpn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12344.5-12349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2003 ], + "Q": [ 2004 ], + "R": [ 166 ] + } + }, + "FD_jpo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12354.5-12359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2005 ], + "Q": [ 2006 ], + "R": [ 166 ] + } + }, + "FD_jpp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12364.5-12369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2007 ], + "Q": [ 2008 ], + "R": [ 166 ] + } + }, + "FD_k": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12374.5-12379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2009 ], + "Q": [ 2010 ], + "R": [ 166 ] + } + }, + "FD_ka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12384.5-12389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2011 ], + "Q": [ 2012 ], + "R": [ 166 ] + } + }, + "FD_kaa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12394.5-12399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2013 ], + "Q": [ 2014 ], + "R": [ 166 ] + } + }, + "FD_kab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12404.5-12409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2015 ], + "Q": [ 2016 ], + "R": [ 166 ] + } + }, + "FD_kac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12414.5-12419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2017 ], + "Q": [ 2018 ], + "R": [ 166 ] + } + }, + "FD_kad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12424.5-12429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2019 ], + "Q": [ 2020 ], + "R": [ 166 ] + } + }, + "FD_kae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12434.5-12439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2021 ], + "Q": [ 2022 ], + "R": [ 166 ] + } + }, + "FD_kaf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12444.5-12449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2023 ], + "Q": [ 2024 ], + "R": [ 166 ] + } + }, + "FD_kag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12454.5-12459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2025 ], + "Q": [ 2026 ], + "R": [ 166 ] + } + }, + "FD_kah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12464.5-12469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2027 ], + "Q": [ 2028 ], + "R": [ 166 ] + } + }, + "FD_kai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12474.5-12479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2029 ], + "Q": [ 2030 ], + "R": [ 166 ] + } + }, + "FD_kaj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12484.5-12489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2031 ], + "Q": [ 2032 ], + "R": [ 166 ] + } + }, + "FD_kak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12494.5-12499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2033 ], + "Q": [ 2034 ], + "R": [ 166 ] + } + }, + "FD_kal": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12504.5-12509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2035 ], + "Q": [ 2036 ], + "R": [ 166 ] + } + }, + "FD_kam": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12514.5-12519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2037 ], + "Q": [ 2038 ], + "R": [ 166 ] + } + }, + "FD_kan": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12524.5-12529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2039 ], + "Q": [ 2040 ], + "R": [ 166 ] + } + }, + "FD_kao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12534.5-12539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2041 ], + "Q": [ 2042 ], + "R": [ 166 ] + } + }, + "FD_kap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12544.5-12549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2043 ], + "Q": [ 2044 ], + "R": [ 166 ] + } + }, + "FD_kb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12554.5-12559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2045 ], + "Q": [ 2046 ], + "R": [ 166 ] + } + }, + "FD_kbg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12564.5-12569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2047 ], + "Q": [ 2048 ], + "R": [ 166 ] + } + }, + "FD_kc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12574.5-12579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2049 ], + "Q": [ 2050 ], + "R": [ 166 ] + } + }, + "FD_kd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12584.5-12589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2051 ], + "Q": [ 2052 ], + "R": [ 166 ] + } + }, + "FD_ke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12594.5-12599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2053 ], + "Q": [ 2054 ], + "R": [ 166 ] + } + }, + "FD_kf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12604.5-12609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2055 ], + "Q": [ 2056 ], + "R": [ 166 ] + } + }, + "FD_kg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12614.5-12619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2057 ], + "Q": [ 2058 ], + "R": [ 166 ] + } + }, + "FD_kga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12624.5-12629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2059 ], + "Q": [ 2060 ], + "R": [ 166 ] + } + }, + "FD_kgb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12634.5-12639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2061 ], + "Q": [ 2062 ], + "R": [ 166 ] + } + }, + "FD_kgc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12644.5-12649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2063 ], + "Q": [ 2064 ], + "R": [ 166 ] + } + }, + "FD_kgd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12654.5-12659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2065 ], + "Q": [ 2066 ], + "R": [ 166 ] + } + }, + "FD_kge": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12664.5-12669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2067 ], + "Q": [ 2068 ], + "R": [ 166 ] + } + }, + "FD_kgf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12674.5-12679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2069 ], + "Q": [ 2070 ], + "R": [ 166 ] + } + }, + "FD_kgg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12684.5-12689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2071 ], + "Q": [ 2072 ], + "R": [ 166 ] + } + }, + "FD_kgh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12694.5-12699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2073 ], + "Q": [ 2074 ], + "R": [ 166 ] + } + }, + "FD_kgi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12704.5-12709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2075 ], + "Q": [ 2076 ], + "R": [ 166 ] + } + }, + "FD_kgj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12714.5-12719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2077 ], + "Q": [ 2078 ], + "R": [ 166 ] + } + }, + "FD_kgk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12724.5-12729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2079 ], + "Q": [ 2080 ], + "R": [ 166 ] + } + }, + "FD_kgl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12734.5-12739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2081 ], + "Q": [ 2082 ], + "R": [ 166 ] + } + }, + "FD_kgm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12744.5-12749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2083 ], + "Q": [ 2084 ], + "R": [ 166 ] + } + }, + "FD_kgn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12754.5-12759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2085 ], + "Q": [ 2086 ], + "R": [ 166 ] + } + }, + "FD_kgo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12764.5-12769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2087 ], + "Q": [ 2088 ], + "R": [ 166 ] + } + }, + "FD_kgp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12774.5-12779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2089 ], + "Q": [ 2090 ], + "R": [ 166 ] + } + }, + "FD_kh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12784.5-12789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2091 ], + "Q": [ 2092 ], + "R": [ 166 ] + } + }, + "FD_kha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12794.5-12799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 428 ], + "Q": [ 2093 ], + "R": [ 166 ] + } + }, + "FD_khb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12804.5-12809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 430 ], + "Q": [ 2094 ], + "R": [ 166 ] + } + }, + "FD_khc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12814.5-12819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 432 ], + "Q": [ 2095 ], + "R": [ 166 ] + } + }, + "FD_khd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12824.5-12829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 434 ], + "Q": [ 2096 ], + "R": [ 166 ] + } + }, + "FD_khe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12834.5-12839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 436 ], + "Q": [ 2097 ], + "R": [ 166 ] + } + }, + "FD_khf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12844.5-12849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 418 ], + "Q": [ 2098 ], + "R": [ 166 ] + } + }, + "FD_khg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12854.5-12859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2099 ], + "Q": [ 2100 ], + "R": [ 166 ] + } + }, + "FD_khh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12864.5-12869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2101 ], + "Q": [ 2102 ], + "R": [ 166 ] + } + }, + "FD_khi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12874.5-12879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2103 ], + "Q": [ 2104 ], + "R": [ 166 ] + } + }, + "FD_khj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12884.5-12889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2105 ], + "Q": [ 2106 ], + "R": [ 166 ] + } + }, + "FD_khk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12894.5-12899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 578 ], + "Q": [ 2107 ], + "R": [ 166 ] + } + }, + "FD_khl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12904.5-12909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 612 ], + "Q": [ 2108 ], + "R": [ 166 ] + } + }, + "FD_khm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12914.5-12919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 680 ], + "Q": [ 2109 ], + "R": [ 166 ] + } + }, + "FD_khn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12924.5-12929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 624 ], + "Q": [ 2110 ], + "R": [ 166 ] + } + }, + "FD_kho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12934.5-12939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 666 ], + "Q": [ 2111 ], + "R": [ 166 ] + } + }, + "FD_khp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12944.5-12949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 426 ], + "Q": [ 2112 ], + "R": [ 166 ] + } + }, + "FD_ki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12954.5-12959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2113 ], + "Q": [ 2114 ], + "R": [ 166 ] + } + }, + "FD_kia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12964.5-12969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2115 ], + "Q": [ 2116 ], + "R": [ 166 ] + } + }, + "FD_kib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12974.5-12979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2117 ], + "Q": [ 2118 ], + "R": [ 166 ] + } + }, + "FD_kic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12984.5-12989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2119 ], + "Q": [ 2120 ], + "R": [ 166 ] + } + }, + "FD_kid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:12994.5-12999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2121 ], + "Q": [ 2122 ], + "R": [ 166 ] + } + }, + "FD_kie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13004.5-13009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2123 ], + "Q": [ 2124 ], + "R": [ 166 ] + } + }, + "FD_kif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13014.5-13019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2125 ], + "Q": [ 2126 ], + "R": [ 166 ] + } + }, + "FD_kig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13024.5-13029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 460 ], + "Q": [ 2127 ], + "R": [ 166 ] + } + }, + "FD_kih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13034.5-13039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 466 ], + "Q": [ 2128 ], + "R": [ 166 ] + } + }, + "FD_kii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13044.5-13049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 448 ], + "Q": [ 2129 ], + "R": [ 166 ] + } + }, + "FD_kij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13054.5-13059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1070 ], + "Q": [ 2130 ], + "R": [ 166 ] + } + }, + "FD_kik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13064.5-13069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1074 ], + "Q": [ 2131 ], + "R": [ 166 ] + } + }, + "FD_kil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13074.5-13079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1928 ], + "Q": [ 2132 ], + "R": [ 166 ] + } + }, + "FD_kim": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13084.5-13089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 1932 ], + "Q": [ 2133 ], + "R": [ 166 ] + } + }, + "FD_kin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13094.5-13099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2134 ], + "Q": [ 2135 ], + "R": [ 166 ] + } + }, + "FD_kio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13104.5-13109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2136 ], + "Q": [ 2137 ], + "R": [ 166 ] + } + }, + "FD_kip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13114.5-13119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2138 ], + "Q": [ 2139 ], + "R": [ 166 ] + } + }, + "FD_kj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13124.5-13129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2140 ], + "Q": [ 2141 ], + "R": [ 166 ] + } + }, + "FD_kja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13134.5-13139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2142 ], + "Q": [ 2143 ], + "R": [ 166 ] + } + }, + "FD_kjb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13144.5-13149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2144 ], + "Q": [ 2145 ], + "R": [ 166 ] + } + }, + "FD_kjc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13154.5-13159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2146 ], + "Q": [ 2147 ], + "R": [ 166 ] + } + }, + "FD_kjd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13164.5-13169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2148 ], + "Q": [ 2149 ], + "R": [ 166 ] + } + }, + "FD_kje": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13174.5-13179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2150 ], + "Q": [ 2151 ], + "R": [ 166 ] + } + }, + "FD_kjf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13184.5-13189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2152 ], + "Q": [ 2153 ], + "R": [ 166 ] + } + }, + "FD_kjg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13194.5-13199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2154 ], + "Q": [ 2155 ], + "R": [ 166 ] + } + }, + "FD_kjh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13204.5-13209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2156 ], + "Q": [ 2157 ], + "R": [ 166 ] + } + }, + "FD_kji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13214.5-13219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2158 ], + "Q": [ 2159 ], + "R": [ 166 ] + } + }, + "FD_kjj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13224.5-13229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2160 ], + "Q": [ 2161 ], + "R": [ 166 ] + } + }, + "FD_kjk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13234.5-13239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2162 ], + "Q": [ 2163 ], + "R": [ 166 ] + } + }, + "FD_kjl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13244.5-13249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2164 ], + "Q": [ 2165 ], + "R": [ 166 ] + } + }, + "FD_kjm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13254.5-13259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2166 ], + "Q": [ 2167 ], + "R": [ 166 ] + } + }, + "FD_kjn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13264.5-13269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2168 ], + "Q": [ 2169 ], + "R": [ 166 ] + } + }, + "FD_kjo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13274.5-13279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2170 ], + "Q": [ 2171 ], + "R": [ 166 ] + } + }, + "FD_kjp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13284.5-13289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2172 ], + "Q": [ 2173 ], + "R": [ 166 ] + } + }, + "FD_kk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13294.5-13299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2174 ], + "Q": [ 2175 ], + "R": [ 166 ] + } + }, + "FD_kka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13304.5-13309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2176 ], + "Q": [ 2177 ], + "R": [ 166 ] + } + }, + "FD_kkb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13314.5-13319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2178 ], + "Q": [ 2179 ], + "R": [ 166 ] + } + }, + "FD_kkc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13324.5-13329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2180 ], + "Q": [ 2181 ], + "R": [ 166 ] + } + }, + "FD_kkd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13334.5-13339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2182 ], + "Q": [ 2183 ], + "R": [ 166 ] + } + }, + "FD_kke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13344.5-13349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2184 ], + "Q": [ 2185 ], + "R": [ 166 ] + } + }, + "FD_kkf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13354.5-13359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2186 ], + "Q": [ 2187 ], + "R": [ 166 ] + } + }, + "FD_kkg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13364.5-13369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2188 ], + "Q": [ 2189 ], + "R": [ 166 ] + } + }, + "FD_kkh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13374.5-13379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2190 ], + "Q": [ 2191 ], + "R": [ 166 ] + } + }, + "FD_kki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13384.5-13389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2192 ], + "Q": [ 2193 ], + "R": [ 166 ] + } + }, + "FD_kkj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13394.5-13399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2194 ], + "Q": [ 2195 ], + "R": [ 166 ] + } + }, + "FD_kkk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13404.5-13409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2196 ], + "Q": [ 2197 ], + "R": [ 166 ] + } + }, + "FD_kkl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13414.5-13419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2198 ], + "Q": [ 2199 ], + "R": [ 166 ] + } + }, + "FD_kkm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13424.5-13429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2200 ], + "Q": [ 2201 ], + "R": [ 166 ] + } + }, + "FD_kkn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13434.5-13439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2202 ], + "Q": [ 2203 ], + "R": [ 166 ] + } + }, + "FD_kko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13444.5-13449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2204 ], + "Q": [ 2205 ], + "R": [ 166 ] + } + }, + "FD_kkp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13454.5-13459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2206 ], + "Q": [ 2207 ], + "R": [ 166 ] + } + }, + "FD_kl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13464.5-13469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2208 ], + "Q": [ 2209 ], + "R": [ 166 ] + } + }, + "FD_kla": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13474.5-13479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2210 ], + "Q": [ 2211 ], + "R": [ 166 ] + } + }, + "FD_klb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13484.5-13489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2212 ], + "Q": [ 2213 ], + "R": [ 166 ] + } + }, + "FD_klc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13494.5-13499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2214 ], + "Q": [ 2215 ], + "R": [ 166 ] + } + }, + "FD_kld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13504.5-13509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2216 ], + "Q": [ 2217 ], + "R": [ 166 ] + } + }, + "FD_kle": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13514.5-13519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2218 ], + "Q": [ 2219 ], + "R": [ 166 ] + } + }, + "FD_klf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13524.5-13529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2220 ], + "Q": [ 2221 ], + "R": [ 166 ] + } + }, + "FD_klg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13534.5-13539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2222 ], + "Q": [ 2223 ], + "R": [ 166 ] + } + }, + "FD_klh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13544.5-13549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2224 ], + "Q": [ 2225 ], + "R": [ 166 ] + } + }, + "FD_kli": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13554.5-13559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2226 ], + "Q": [ 2227 ], + "R": [ 166 ] + } + }, + "FD_klj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13564.5-13569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2228 ], + "Q": [ 2229 ], + "R": [ 166 ] + } + }, + "FD_klk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13574.5-13579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2230 ], + "Q": [ 2231 ], + "R": [ 166 ] + } + }, + "FD_kll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13584.5-13589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2232 ], + "Q": [ 2233 ], + "R": [ 166 ] + } + }, + "FD_klm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13594.5-13599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2234 ], + "Q": [ 2235 ], + "R": [ 166 ] + } + }, + "FD_kln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13604.5-13609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2236 ], + "Q": [ 2237 ], + "R": [ 166 ] + } + }, + "FD_klo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13614.5-13619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2238 ], + "Q": [ 2239 ], + "R": [ 166 ] + } + }, + "FD_klp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13624.5-13629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2240 ], + "Q": [ 2241 ], + "R": [ 166 ] + } + }, + "FD_km": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13634.5-13639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2242 ], + "Q": [ 2243 ], + "R": [ 166 ] + } + }, + "FD_kma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13644.5-13649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2244 ], + "Q": [ 2245 ], + "R": [ 166 ] + } + }, + "FD_kmb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13654.5-13659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2246 ], + "Q": [ 2247 ], + "R": [ 166 ] + } + }, + "FD_kmc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13664.5-13669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2248 ], + "Q": [ 2249 ], + "R": [ 166 ] + } + }, + "FD_kmd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13674.5-13679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2250 ], + "Q": [ 2251 ], + "R": [ 166 ] + } + }, + "FD_kme": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13684.5-13689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2252 ], + "Q": [ 2253 ], + "R": [ 166 ] + } + }, + "FD_kmf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13694.5-13699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2254 ], + "Q": [ 2255 ], + "R": [ 166 ] + } + }, + "FD_kmg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13704.5-13709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2256 ], + "Q": [ 2257 ], + "R": [ 166 ] + } + }, + "FD_kmh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13714.5-13719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2258 ], + "Q": [ 2259 ], + "R": [ 166 ] + } + }, + "FD_kmi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13724.5-13729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2260 ], + "Q": [ 2261 ], + "R": [ 166 ] + } + }, + "FD_kmj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13734.5-13739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2262 ], + "Q": [ 2263 ], + "R": [ 166 ] + } + }, + "FD_kmk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13744.5-13749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2264 ], + "Q": [ 2265 ], + "R": [ 166 ] + } + }, + "FD_kml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13754.5-13759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2266 ], + "Q": [ 2267 ], + "R": [ 166 ] + } + }, + "FD_kmm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13764.5-13769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2268 ], + "Q": [ 2269 ], + "R": [ 166 ] + } + }, + "FD_kmn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13774.5-13779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2270 ], + "Q": [ 2271 ], + "R": [ 166 ] + } + }, + "FD_kmo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13784.5-13789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2272 ], + "Q": [ 2273 ], + "R": [ 166 ] + } + }, + "FD_kmp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13794.5-13799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2274 ], + "Q": [ 2275 ], + "R": [ 166 ] + } + }, + "FD_kn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13804.5-13809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2276 ], + "Q": [ 2277 ], + "R": [ 166 ] + } + }, + "FD_kna": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13814.5-13819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2278 ], + "Q": [ 2279 ], + "R": [ 166 ] + } + }, + "FD_knb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13824.5-13829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2280 ], + "Q": [ 2281 ], + "R": [ 166 ] + } + }, + "FD_knc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13834.5-13839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2282 ], + "Q": [ 2283 ], + "R": [ 166 ] + } + }, + "FD_knd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13844.5-13849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2284 ], + "Q": [ 2285 ], + "R": [ 166 ] + } + }, + "FD_kne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13854.5-13859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2286 ], + "Q": [ 2287 ], + "R": [ 166 ] + } + }, + "FD_knf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13864.5-13869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2288 ], + "Q": [ 2289 ], + "R": [ 166 ] + } + }, + "FD_kng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13874.5-13879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2290 ], + "Q": [ 2291 ], + "R": [ 166 ] + } + }, + "FD_knh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13884.5-13889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2292 ], + "Q": [ 2293 ], + "R": [ 166 ] + } + }, + "FD_kni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13894.5-13899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2294 ], + "Q": [ 2295 ], + "R": [ 166 ] + } + }, + "FD_knj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13904.5-13909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2296 ], + "Q": [ 2297 ], + "R": [ 166 ] + } + }, + "FD_knk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13914.5-13919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2298 ], + "Q": [ 2299 ], + "R": [ 166 ] + } + }, + "FD_knl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13924.5-13929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2300 ], + "Q": [ 2301 ], + "R": [ 166 ] + } + }, + "FD_knm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13934.5-13939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2302 ], + "Q": [ 2303 ], + "R": [ 166 ] + } + }, + "FD_knn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13944.5-13949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2304 ], + "Q": [ 2305 ], + "R": [ 166 ] + } + }, + "FD_kno": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13954.5-13959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2306 ], + "Q": [ 2307 ], + "R": [ 166 ] + } + }, + "FD_knp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13964.5-13969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2308 ], + "Q": [ 2309 ], + "R": [ 166 ] + } + }, + "FD_ko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13974.5-13979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2310 ], + "Q": [ 2311 ], + "R": [ 166 ] + } + }, + "FD_koa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13984.5-13989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2312 ], + "Q": [ 2313 ], + "R": [ 166 ] + } + }, + "FD_kob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:13994.5-13999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2314 ], + "Q": [ 2315 ], + "R": [ 166 ] + } + }, + "FD_koc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14004.5-14009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2316 ], + "Q": [ 2317 ], + "R": [ 166 ] + } + }, + "FD_kod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14014.5-14019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2318 ], + "Q": [ 2319 ], + "R": [ 166 ] + } + }, + "FD_koe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14024.5-14029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2320 ], + "Q": [ 2321 ], + "R": [ 166 ] + } + }, + "FD_kof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14034.5-14039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2322 ], + "Q": [ 2323 ], + "R": [ 166 ] + } + }, + "FD_kog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14044.5-14049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2324 ], + "Q": [ 2325 ], + "R": [ 166 ] + } + }, + "FD_koh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14054.5-14059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2326 ], + "Q": [ 2327 ], + "R": [ 166 ] + } + }, + "FD_koi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14064.5-14069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2328 ], + "Q": [ 2329 ], + "R": [ 166 ] + } + }, + "FD_koj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14074.5-14079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2330 ], + "Q": [ 2331 ], + "R": [ 166 ] + } + }, + "FD_kok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14084.5-14089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2332 ], + "Q": [ 2333 ], + "R": [ 166 ] + } + }, + "FD_kol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14094.5-14099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2334 ], + "Q": [ 2335 ], + "R": [ 166 ] + } + }, + "FD_kom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14104.5-14109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2336 ], + "Q": [ 2337 ], + "R": [ 166 ] + } + }, + "FD_kon": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14114.5-14119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2338 ], + "Q": [ 2339 ], + "R": [ 166 ] + } + }, + "FD_koo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14124.5-14129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2340 ], + "Q": [ 2341 ], + "R": [ 166 ] + } + }, + "FD_kop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14134.5-14139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2342 ], + "Q": [ 2343 ], + "R": [ 166 ] + } + }, + "FD_kp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14144.5-14149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2344 ], + "Q": [ 2345 ], + "R": [ 166 ] + } + }, + "FD_kpa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14154.5-14159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2346 ], + "Q": [ 2347 ], + "R": [ 166 ] + } + }, + "FD_kpb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14164.5-14169.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2348 ], + "Q": [ 2349 ], + "R": [ 166 ] + } + }, + "FD_kpc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14174.5-14179.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2350 ], + "Q": [ 2351 ], + "R": [ 166 ] + } + }, + "FD_kpd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14184.5-14189.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2352 ], + "Q": [ 2353 ], + "R": [ 166 ] + } + }, + "FD_kpe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14194.5-14199.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2354 ], + "Q": [ 2355 ], + "R": [ 166 ] + } + }, + "FD_kpf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14204.5-14209.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2356 ], + "Q": [ 2357 ], + "R": [ 166 ] + } + }, + "FD_kpg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14214.5-14219.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2358 ], + "Q": [ 2359 ], + "R": [ 166 ] + } + }, + "FD_kph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14224.5-14229.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2360 ], + "Q": [ 2361 ], + "R": [ 166 ] + } + }, + "FD_kpi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14234.5-14239.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2362 ], + "Q": [ 2363 ], + "R": [ 166 ] + } + }, + "FD_kpj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14244.5-14249.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2364 ], + "Q": [ 2365 ], + "R": [ 166 ] + } + }, + "FD_kpk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14254.5-14259.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2366 ], + "Q": [ 2367 ], + "R": [ 166 ] + } + }, + "FD_kpl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14264.5-14269.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2368 ], + "Q": [ 2369 ], + "R": [ 166 ] + } + }, + "FD_kpm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14274.5-14279.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2370 ], + "Q": [ 2371 ], + "R": [ 166 ] + } + }, + "FD_kpn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14284.5-14289.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2372 ], + "Q": [ 2373 ], + "R": [ 166 ] + } + }, + "FD_kpo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14294.5-14299.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2374 ], + "Q": [ 2375 ], + "R": [ 166 ] + } + }, + "FD_kpp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14304.5-14309.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2376 ], + "Q": [ 2377 ], + "R": [ 166 ] + } + }, + "FD_l": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14314.5-14319.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2378 ], + "Q": [ 2379 ], + "R": [ 166 ] + } + }, + "FD_la": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14324.5-14329.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2380 ], + "Q": [ 2381 ], + "R": [ 166 ] + } + }, + "FD_lb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14334.5-14339.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2382 ], + "Q": [ 2383 ], + "R": [ 166 ] + } + }, + "FD_lc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14344.5-14349.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2384 ], + "Q": [ 2385 ], + "R": [ 166 ] + } + }, + "FD_ld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14354.5-14359.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2386 ], + "Q": [ 2387 ], + "R": [ 166 ] + } + }, + "FD_le": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14364.5-14369.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2388 ], + "Q": [ 2389 ], + "R": [ 166 ] + } + }, + "FD_lf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14374.5-14379.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2390 ], + "Q": [ 2391 ], + "R": [ 166 ] + } + }, + "FD_lg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14384.5-14389.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2392 ], + "Q": [ 2393 ], + "R": [ 166 ] + } + }, + "FD_lh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14394.5-14399.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2394 ], + "Q": [ 2395 ], + "R": [ 166 ] + } + }, + "FD_li": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14404.5-14409.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2396 ], + "Q": [ 2397 ], + "R": [ 166 ] + } + }, + "FD_lj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14414.5-14419.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2398 ], + "Q": [ 2399 ], + "R": [ 166 ] + } + }, + "FD_lk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14424.5-14429.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2400 ], + "Q": [ 2401 ], + "R": [ 166 ] + } + }, + "FD_ll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14434.5-14439.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2402 ], + "Q": [ 2403 ], + "R": [ 166 ] + } + }, + "FD_lm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14444.5-14449.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2404 ], + "Q": [ 2405 ], + "R": [ 166 ] + } + }, + "FD_ln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14454.5-14459.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2406 ], + "Q": [ 2407 ], + "R": [ 166 ] + } + }, + "FD_lo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14464.5-14469.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2408 ], + "Q": [ 2409 ], + "R": [ 166 ] + } + }, + "FD_lp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14474.5-14479.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2410 ], + "Q": [ 2411 ], + "R": [ 166 ] + } + }, + "FD_m": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14484.5-14489.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2412 ], + "Q": [ 2413 ], + "R": [ 166 ] + } + }, + "FD_ma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14494.5-14499.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2414 ], + "Q": [ 2415 ], + "R": [ 166 ] + } + }, + "FD_mb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14504.5-14509.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2416 ], + "Q": [ 2417 ], + "R": [ 166 ] + } + }, + "FD_mc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14514.5-14519.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2418 ], + "Q": [ 2419 ], + "R": [ 166 ] + } + }, + "FD_md": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14524.5-14529.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2420 ], + "Q": [ 2421 ], + "R": [ 166 ] + } + }, + "FD_me": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14534.5-14539.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2422 ], + "Q": [ 2423 ], + "R": [ 166 ] + } + }, + "FD_mf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14544.5-14549.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2424 ], + "Q": [ 2425 ], + "R": [ 166 ] + } + }, + "FD_mg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14554.5-14559.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2426 ], + "Q": [ 2427 ], + "R": [ 166 ] + } + }, + "FD_mh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14564.5-14569.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2428 ], + "Q": [ 2429 ], + "R": [ 166 ] + } + }, + "FD_mi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14574.5-14579.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2430 ], + "Q": [ 2431 ], + "R": [ 166 ] + } + }, + "FD_mj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14584.5-14589.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2432 ], + "Q": [ 2433 ], + "R": [ 166 ] + } + }, + "FD_mk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14594.5-14599.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2434 ], + "Q": [ 2435 ], + "R": [ 166 ] + } + }, + "FD_ml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14604.5-14609.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2436 ], + "Q": [ 2437 ], + "R": [ 166 ] + } + }, + "FD_mm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14614.5-14619.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2438 ], + "Q": [ 2439 ], + "R": [ 166 ] + } + }, + "FD_mn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14624.5-14629.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2440 ], + "Q": [ 2441 ], + "R": [ 166 ] + } + }, + "FD_mo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14634.5-14639.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2442 ], + "Q": [ 2443 ], + "R": [ 166 ] + } + }, + "FD_mp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14644.5-14649.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2444 ], + "Q": [ 2445 ], + "R": [ 166 ] + } + }, + "FD_n": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14654.5-14659.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2446 ], + "Q": [ 2447 ], + "R": [ 166 ] + } + }, + "FD_na": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14664.5-14669.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2448 ], + "Q": [ 2449 ], + "R": [ 166 ] + } + }, + "FD_nb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14674.5-14679.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2450 ], + "Q": [ 2451 ], + "R": [ 166 ] + } + }, + "FD_nc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14684.5-14689.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2452 ], + "Q": [ 2453 ], + "R": [ 166 ] + } + }, + "FD_nd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14694.5-14699.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2454 ], + "Q": [ 2455 ], + "R": [ 166 ] + } + }, + "FD_ne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14704.5-14709.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2456 ], + "Q": [ 2457 ], + "R": [ 166 ] + } + }, + "FD_nf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14714.5-14719.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2458 ], + "Q": [ 2459 ], + "R": [ 166 ] + } + }, + "FD_ng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14724.5-14729.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2460 ], + "Q": [ 2461 ], + "R": [ 166 ] + } + }, + "FD_nh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14734.5-14739.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2462 ], + "Q": [ 2463 ], + "R": [ 166 ] + } + }, + "FD_ni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14744.5-14749.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2464 ], + "Q": [ 2465 ], + "R": [ 166 ] + } + }, + "FD_nj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14754.5-14759.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2466 ], + "Q": [ 2467 ], + "R": [ 166 ] + } + }, + "FD_nk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14764.5-14769.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2468 ], + "Q": [ 2469 ], + "R": [ 166 ] + } + }, + "FD_nl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14774.5-14779.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2470 ], + "Q": [ 2471 ], + "R": [ 166 ] + } + }, + "FD_nm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14784.5-14789.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2472 ], + "Q": [ 2473 ], + "R": [ 166 ] + } + }, + "FD_nn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14794.5-14799.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2474 ], + "Q": [ 2475 ], + "R": [ 166 ] + } + }, + "FD_no": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14804.5-14809.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2476 ], + "Q": [ 2477 ], + "R": [ 166 ] + } + }, + "FD_np": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14814.5-14819.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2478 ], + "Q": [ 2479 ], + "R": [ 166 ] + } + }, + "FD_o": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14824.5-14829.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2480 ], + "Q": [ 2481 ], + "R": [ 166 ] + } + }, + "FD_oa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14834.5-14839.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2482 ], + "Q": [ 2483 ], + "R": [ 166 ] + } + }, + "FD_ob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14844.5-14849.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2484 ], + "Q": [ 2485 ], + "R": [ 166 ] + } + }, + "FD_oc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14854.5-14859.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2486 ], + "Q": [ 2487 ], + "R": [ 166 ] + } + }, + "FD_od": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14864.5-14869.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2488 ], + "Q": [ 2489 ], + "R": [ 166 ] + } + }, + "FD_oe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14874.5-14879.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2490 ], + "Q": [ 2491 ], + "R": [ 166 ] + } + }, + "FD_of": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14884.5-14889.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2492 ], + "Q": [ 2493 ], + "R": [ 166 ] + } + }, + "FD_og": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14894.5-14899.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2494 ], + "Q": [ 2495 ], + "R": [ 166 ] + } + }, + "FD_oh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14904.5-14909.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2496 ], + "Q": [ 2497 ], + "R": [ 166 ] + } + }, + "FD_oi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14914.5-14919.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2498 ], + "Q": [ 2499 ], + "R": [ 166 ] + } + }, + "FD_oj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14924.5-14929.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2500 ], + "Q": [ 2501 ], + "R": [ 166 ] + } + }, + "FD_ok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14934.5-14939.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2502 ], + "Q": [ 2503 ], + "R": [ 166 ] + } + }, + "FD_ol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14944.5-14949.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2504 ], + "Q": [ 2505 ], + "R": [ 166 ] + } + }, + "FD_om": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14954.5-14959.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2506 ], + "Q": [ 2507 ], + "R": [ 166 ] + } + }, + "FD_on": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14964.5-14969.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2508 ], + "Q": [ 2509 ], + "R": [ 166 ] + } + }, + "FD_oo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14974.5-14979.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2510 ], + "Q": [ 2511 ], + "R": [ 166 ] + } + }, + "FD_op": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14984.5-14989.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2512 ], + "Q": [ 2513 ], + "R": [ 166 ] + } + }, + "FD_p": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:14994.5-14999.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2514 ], + "Q": [ 2515 ], + "R": [ 166 ] + } + }, + "FD_pa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15004.5-15009.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2516 ], + "Q": [ 2517 ], + "R": [ 166 ] + } + }, + "FD_pb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15014.5-15019.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2518 ], + "Q": [ 2519 ], + "R": [ 166 ] + } + }, + "FD_pc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15024.5-15029.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2520 ], + "Q": [ 2521 ], + "R": [ 166 ] + } + }, + "FD_pd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15034.5-15039.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2522 ], + "Q": [ 2523 ], + "R": [ 166 ] + } + }, + "FD_pe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15044.5-15049.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2524 ], + "Q": [ 2525 ], + "R": [ 166 ] + } + }, + "FD_pf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15054.5-15059.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2526 ], + "Q": [ 2527 ], + "R": [ 166 ] + } + }, + "FD_pg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15064.5-15069.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2528 ], + "Q": [ 2529 ], + "R": [ 166 ] + } + }, + "FD_ph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15074.5-15079.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2530 ], + "Q": [ 2531 ], + "R": [ 166 ] + } + }, + "FD_pi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15084.5-15089.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2532 ], + "Q": [ 2533 ], + "R": [ 166 ] + } + }, + "FD_pj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15094.5-15099.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2534 ], + "Q": [ 2535 ], + "R": [ 166 ] + } + }, + "FD_pk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15104.5-15109.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2536 ], + "Q": [ 2537 ], + "R": [ 166 ] + } + }, + "FD_pl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15114.5-15119.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2538 ], + "Q": [ 2103 ], + "R": [ 166 ] + } + }, + "FD_pm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15124.5-15129.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2539 ], + "Q": [ 2540 ], + "R": [ 166 ] + } + }, + "FD_pn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15134.5-15139.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2541 ], + "Q": [ 2542 ], + "R": [ 166 ] + } + }, + "FD_po": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15144.5-15149.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2543 ], + "Q": [ 2105 ], + "R": [ 166 ] + } + }, + "FD_pp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15154.5-15159.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 162 ], + "CE": [ 163 ], + "D": [ 2544 ], + "Q": [ 2545 ], + "R": [ 166 ] + } + }, + "GND": { + "hide_name": 0, + "type": "GND", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15160.7-15161.19" + }, + "port_directions": { + "G": "output" + }, + "connections": { + "G": [ 166 ] + } + }, + "LUT2_0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15165.5-15168.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2135 ], + "I1": [ 2137 ], + "O": [ 2546 ] + } + }, + "LUT2_1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15172.5-15175.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2010 ], + "I1": [ 2139 ], + "O": [ 2547 ] + } + }, + "LUT2_10": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15179.5-15182.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2485 ], + "I1": [ 850 ], + "O": [ 2548 ] + } + }, + "LUT2_100": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15186.5-15189.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 2162 ], + "O": [ 443 ] + } + }, + "LUT2_101": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15193.5-15196.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 2168 ], + "O": [ 473 ] + } + }, + "LUT2_102": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15200.5-15203.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2168 ], + "I1": [ 448 ], + "O": [ 461 ] + } + }, + "LUT2_103": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15207.5-15210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2172 ], + "O": [ 2549 ] + } + }, + "LUT2_104": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15214.5-15217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 2551 ], + "O": [ 417 ] + } + }, + "LUT2_105": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15221.5-15224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2143 ], + "I1": [ 2552 ], + "O": [ 1927 ] + } + }, + "LUT2_106": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15228.5-15231.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1956 ], + "I1": [ 1928 ], + "O": [ 1963 ] + } + }, + "LUT2_107": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15235.5-15238.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1963 ], + "I1": [ 1917 ], + "O": [ 2553 ] + } + }, + "LUT2_108": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15242.5-15245.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1962 ], + "I1": [ 2000 ], + "O": [ 2554 ] + } + }, + "LUT2_109": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15249.5-15252.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1594 ], + "I1": [ 2008 ], + "O": [ 2555 ] + } + }, + "LUT2_10a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15256.5-15259.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2145 ], + "I1": [ 1954 ], + "O": [ 2556 ] + } + }, + "LUT2_10b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15263.5-15266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2556 ], + "I1": [ 2557 ], + "O": [ 1977 ] + } + }, + "LUT2_10c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15270.5-15273.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2558 ], + "I1": [ 2559 ], + "O": [ 1979 ] + } + }, + "LUT2_10d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15277.5-15280.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2558 ], + "I1": [ 2559 ], + "O": [ 1989 ] + } + }, + "LUT2_10e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15284.5-15287.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1990 ], + "I1": [ 1980 ], + "O": [ 2007 ] + } + }, + "LUT2_10f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15291.5-15294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2560 ], + "I1": [ 2561 ], + "O": [ 1481 ] + } + }, + "LUT2_11": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15298.5-15301.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2562 ], + "I1": [ 2563 ], + "O": [ 2564 ] + } + }, + "LUT2_110": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15305.5-15308.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1986 ], + "I1": [ 1588 ], + "O": [ 2561 ] + } + }, + "LUT2_111": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15312.5-15315.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2565 ], + "I1": [ 1986 ], + "O": [ 1539 ] + } + }, + "LUT2_112": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15319.5-15322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2565 ], + "I1": [ 1986 ], + "O": [ 1565 ] + } + }, + "LUT2_113": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15326.5-15329.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1486 ], + "I1": [ 1570 ], + "O": [ 2560 ] + } + }, + "LUT2_114": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15333.5-15336.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2566 ], + "I1": [ 1502 ], + "O": [ 1513 ] + } + }, + "LUT2_115": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15340.5-15343.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1516 ], + "I1": [ 1532 ], + "O": [ 1475 ] + } + }, + "LUT2_116": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15347.5-15350.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 2567 ], + "O": [ 1555 ] + } + }, + "LUT2_117": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15354.5-15357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 2567 ], + "O": [ 1467 ] + } + }, + "LUT2_118": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15361.5-15364.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1558 ], + "I1": [ 1988 ], + "O": [ 2568 ] + } + }, + "LUT2_119": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15368.5-15371.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1512 ], + "I1": [ 1988 ], + "O": [ 2569 ] + } + }, + "LUT2_11a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15375.5-15378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1470 ], + "I1": [ 1988 ], + "O": [ 2570 ] + } + }, + "LUT2_11b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15382.5-15385.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2571 ], + "I1": [ 2572 ], + "O": [ 1617 ] + } + }, + "LUT2_11c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15389.5-15392.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2573 ], + "I1": [ 2574 ], + "O": [ 1633 ] + } + }, + "LUT2_11d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15396.5-15399.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1572 ], + "I1": [ 1624 ], + "O": [ 2573 ] + } + }, + "LUT2_11e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15403.5-15406.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 1626 ], + "O": [ 2575 ] + } + }, + "LUT2_11f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15410.5-15413.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 1632 ], + "O": [ 2572 ] + } + }, + "LUT2_12": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15417.5-15420.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2576 ], + "I1": [ 2577 ], + "O": [ 2486 ] + } + }, + "LUT2_120": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15424.5-15427.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1614 ], + "I1": [ 1608 ], + "O": [ 2578 ] + } + }, + "LUT2_121": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15431.5-15434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1656 ], + "I1": [ 2579 ], + "O": [ 1575 ] + } + }, + "LUT2_122": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15438.5-15441.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1586 ], + "I1": [ 2580 ], + "O": [ 1577 ] + } + }, + "LUT2_123": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15445.5-15448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1606 ], + "I1": [ 1972 ], + "O": [ 2581 ] + } + }, + "LUT2_124": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15452.5-15455.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1970 ], + "I1": [ 1946 ], + "O": [ 1645 ] + } + }, + "LUT2_125": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15459.5-15462.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2582 ], + "I1": [ 1570 ], + "O": [ 2583 ] + } + }, + "LUT2_126": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15466.5-15469.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 2080 ], + "O": [ 2584 ] + } + }, + "LUT2_127": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15473.5-15476.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 2582 ], + "O": [ 2585 ] + } + }, + "LUT2_128": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15480.5-15483.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2078 ], + "I1": [ 1590 ], + "O": [ 2586 ] + } + }, + "LUT2_129": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15487.5-15490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1944 ], + "I1": [ 1590 ], + "O": [ 2587 ] + } + }, + "LUT2_12a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15494.5-15497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1600 ], + "I1": [ 1546 ], + "O": [ 2588 ] + } + }, + "LUT2_12b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15501.5-15504.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2589 ], + "I1": [ 2590 ], + "O": [ 1639 ] + } + }, + "LUT2_12c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15508.5-15511.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2591 ], + "I1": [ 2589 ], + "O": [ 2067 ] + } + }, + "LUT2_12d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15515.5-15518.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2592 ], + "I1": [ 1928 ], + "O": [ 1913 ] + } + }, + "LUT2_12e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15522.5-15525.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 2592 ], + "O": [ 1929 ] + } + }, + "LUT2_12f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15529.5-15532.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 2592 ], + "O": [ 1933 ] + } + }, + "LUT2_13": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15536.5-15539.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2593 ], + "I1": [ 2548 ], + "O": [ 2594 ] + } + }, + "LUT2_14": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15543.5-15546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 612 ], + "I1": [ 2485 ], + "O": [ 2595 ] + } + }, + "LUT2_15": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15550.5-15553.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2596 ], + "I1": [ 2597 ], + "O": [ 2598 ] + } + }, + "LUT2_16": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15557.5-15560.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 408 ], + "I1": [ 1192 ], + "O": [ 2599 ] + } + }, + "LUT2_17": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15564.5-15567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 782 ], + "I1": [ 850 ], + "O": [ 2600 ] + } + }, + "LUT2_18": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15571.5-15574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2487 ], + "I1": [ 782 ], + "O": [ 2601 ] + } + }, + "LUT2_19": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15578.5-15581.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2455 ], + "I1": [ 1396 ], + "O": [ 2602 ] + } + }, + "LUT2_1a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15585.5-15588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2477 ], + "I1": [ 2455 ], + "O": [ 2603 ] + } + }, + "LUT2_1b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15592.5-15595.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2604 ], + "I1": [ 2605 ], + "O": [ 2476 ] + } + }, + "LUT2_1c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15599.5-15602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2604 ], + "I1": [ 2605 ], + "O": [ 1703 ] + } + }, + "LUT2_1d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15606.5-15609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2449 ], + "I1": [ 1022 ], + "O": [ 2606 ] + } + }, + "LUT2_1e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15613.5-15616.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2453 ], + "I1": [ 2603 ], + "O": [ 2452 ] + } + }, + "LUT2_1f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15620.5-15623.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1738 ], + "I1": [ 1636 ], + "O": [ 2607 ] + } + }, + "LUT2_2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15627.5-15630.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 2608 ], + "O": [ 2160 ] + } + }, + "LUT2_20": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15634.5-15637.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2609 ], + "I1": [ 2610 ], + "O": [ 2468 ] + } + }, + "LUT2_21": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15641.5-15644.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2415 ], + "I1": [ 1568 ], + "O": [ 2611 ] + } + }, + "LUT2_22": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15648.5-15651.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2403 ], + "I1": [ 2415 ], + "O": [ 2612 ] + } + }, + "LUT2_23": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15655.5-15658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2613 ], + "I1": [ 2092 ], + "O": [ 2388 ] + } + }, + "LUT2_24": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15662.5-15665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2614 ], + "I1": [ 2615 ], + "O": [ 2438 ] + } + }, + "LUT2_25": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15669.5-15672.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2054 ], + "I1": [ 2443 ], + "O": [ 2616 ] + } + }, + "LUT2_26": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15676.5-15679.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2445 ], + "I1": [ 2381 ], + "O": [ 2617 ] + } + }, + "LUT2_27": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15683.5-15686.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2445 ], + "I1": [ 2399 ], + "O": [ 2618 ] + } + }, + "LUT2_28": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15690.5-15693.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2056 ], + "O": [ 2432 ] + } + }, + "LUT2_29": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15697.5-15700.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2405 ], + "I1": [ 2052 ], + "O": [ 2619 ] + } + }, + "LUT2_2a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15704.5-15707.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2175 ], + "I1": [ 2012 ], + "O": [ 2045 ] + } + }, + "LUT2_2b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15711.5-15714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1976 ], + "I1": [ 2114 ], + "O": [ 2620 ] + } + }, + "LUT2_2c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15718.5-15721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1568 ], + "I1": [ 2465 ], + "O": [ 1635 ] + } + }, + "LUT2_2d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15725.5-15728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 666 ], + "I1": [ 1430 ], + "O": [ 2621 ] + } + }, + "LUT2_2e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15732.5-15735.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 510 ], + "I1": [ 666 ], + "O": [ 2622 ] + } + }, + "LUT2_2f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15739.5-15742.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 374 ], + "I1": [ 1328 ], + "O": [ 2623 ] + } + }, + "LUT2_3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15746.5-15749.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 2624 ], + "O": [ 2446 ] + } + }, + "LUT2_30": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15753.5-15756.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2457 ], + "I1": [ 2625 ], + "O": [ 1123 ] + } + }, + "LUT2_31": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15760.5-15763.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2457 ], + "I1": [ 2625 ], + "O": [ 441 ] + } + }, + "LUT2_32": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15767.5-15770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2626 ], + "I1": [ 2627 ], + "O": [ 2496 ] + } + }, + "LUT2_33": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15774.5-15777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2627 ], + "I1": [ 2623 ], + "O": [ 1055 ] + } + }, + "LUT2_34": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15781.5-15784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2533 ], + "I1": [ 436 ], + "O": [ 2628 ] + } + }, + "LUT2_35": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15788.5-15791.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2629 ], + "I1": [ 2630 ], + "O": [ 2528 ] + } + }, + "LUT2_36": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15795.5-15798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 302 ], + "I1": [ 427 ], + "O": [ 2539 ] + } + }, + "LUT2_37": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15802.5-15805.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2521 ], + "I1": [ 2105 ], + "O": [ 2631 ] + } + }, + "LUT2_38": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15809.5-15812.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2103 ], + "I1": [ 2153 ], + "O": [ 2632 ] + } + }, + "LUT2_39": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15816.5-15819.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 198 ], + "I1": [ 2153 ], + "O": [ 2633 ] + } + }, + "LUT2_3a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15823.5-15826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 224 ], + "I1": [ 2540 ], + "O": [ 2634 ] + } + }, + "LUT2_3b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15830.5-15833.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 2517 ], + "O": [ 2635 ] + } + }, + "LUT2_3c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15837.5-15840.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 198 ], + "I1": [ 2103 ], + "O": [ 2636 ] + } + }, + "LUT2_3d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15844.5-15847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2153 ], + "I1": [ 224 ], + "O": [ 2637 ] + } + }, + "LUT2_3e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15851.5-15854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2638 ], + "I1": [ 198 ], + "O": [ 215 ] + } + }, + "LUT2_3f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15858.5-15861.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2639 ], + "I1": [ 2640 ], + "O": [ 225 ] + } + }, + "LUT2_4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15865.5-15868.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2149 ], + "I1": [ 2151 ], + "O": [ 2641 ] + } + }, + "LUT2_40": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15872.5-15875.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2639 ], + "I1": [ 2640 ], + "O": [ 167 ] + } + }, + "LUT2_41": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15879.5-15882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2642 ], + "I1": [ 2643 ], + "O": [ 229 ] + } + }, + "LUT2_42": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15886.5-15889.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2644 ], + "I1": [ 2642 ], + "O": [ 2645 ] + } + }, + "LUT2_43": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15893.5-15896.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2644 ], + "I1": [ 2646 ], + "O": [ 193 ] + } + }, + "LUT2_44": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15900.5-15903.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 350 ], + "I1": [ 2527 ], + "O": [ 2647 ] + } + }, + "LUT2_45": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15907.5-15910.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 680 ], + "I1": [ 350 ], + "O": [ 2648 ] + } + }, + "LUT2_46": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15914.5-15917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2649 ], + "I1": [ 2650 ], + "O": [ 209 ] + } + }, + "LUT2_47": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15921.5-15924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2651 ], + "I1": [ 2652 ], + "O": [ 2653 ] + } + }, + "LUT2_48": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15928.5-15931.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2649 ], + "I1": [ 2651 ], + "O": [ 183 ] + } + }, + "LUT2_49": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15935.5-15938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 284 ], + "I1": [ 250 ], + "O": [ 2654 ] + } + }, + "LUT2_4a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15942.5-15945.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2654 ], + "I1": [ 2655 ], + "O": [ 237 ] + } + }, + "LUT2_4b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15949.5-15952.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2656 ], + "I1": [ 298 ], + "O": [ 279 ] + } + }, + "LUT2_4c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15956.5-15959.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2656 ], + "I1": [ 2657 ], + "O": [ 275 ] + } + }, + "LUT2_4d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15963.5-15966.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 276 ], + "I1": [ 270 ], + "O": [ 2658 ] + } + }, + "LUT2_4e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15970.5-15973.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 322 ], + "I1": [ 266 ], + "O": [ 2659 ] + } + }, + "LUT2_4f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15977.5-15980.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 322 ], + "I1": [ 292 ], + "O": [ 2660 ] + } + }, + "LUT2_5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15984.5-15987.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 918 ], + "I1": [ 2149 ], + "O": [ 2661 ] + } + }, + "LUT2_50": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15991.5-15994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 296 ], + "I1": [ 332 ], + "O": [ 2662 ] + } + }, + "LUT2_51": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:15998.5-16001.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2663 ], + "I1": [ 2664 ], + "O": [ 283 ] + } + }, + "LUT2_52": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16005.5-16008.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 324 ], + "I1": [ 280 ], + "O": [ 2657 ] + } + }, + "LUT2_53": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16012.5-16015.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2665 ], + "I1": [ 680 ], + "O": [ 431 ] + } + }, + "LUT2_54": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16019.5-16022.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2665 ], + "I1": [ 680 ], + "O": [ 341 ] + } + }, + "LUT2_55": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16026.5-16029.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2666 ], + "I1": [ 368 ], + "O": [ 621 ] + } + }, + "LUT2_56": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16033.5-16036.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 624 ], + "I1": [ 368 ], + "O": [ 2665 ] + } + }, + "LUT2_57": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16040.5-16043.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 2668 ], + "O": [ 365 ] + } + }, + "LUT2_58": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16047.5-16050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2669 ], + "I1": [ 2667 ], + "O": [ 595 ] + } + }, + "LUT2_59": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16054.5-16057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 348 ], + "I1": [ 2667 ], + "O": [ 641 ] + } + }, + "LUT2_5a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16061.5-16064.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 638 ], + "I1": [ 628 ], + "O": [ 2670 ] + } + }, + "LUT2_5b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16068.5-16071.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2671 ], + "I1": [ 2672 ], + "O": [ 347 ] + } + }, + "LUT2_5c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16075.5-16078.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2673 ], + "I1": [ 2672 ], + "O": [ 633 ] + } + }, + "LUT2_5d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16082.5-16085.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 662 ], + "I1": [ 664 ], + "O": [ 2674 ] + } + }, + "LUT2_5e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16089.5-16092.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 668 ], + "I1": [ 696 ], + "O": [ 2675 ] + } + }, + "LUT2_5f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16096.5-16099.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 692 ], + "I1": [ 670 ], + "O": [ 733 ] + } + }, + "LUT2_6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16103.5-16106.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 2676 ], + "O": [ 2188 ] + } + }, + "LUT2_60": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16110.5-16113.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 692 ], + "I1": [ 694 ], + "O": [ 2677 ] + } + }, + "LUT2_61": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16117.5-16120.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 336 ], + "I1": [ 740 ], + "O": [ 2678 ] + } + }, + "LUT2_62": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16124.5-16127.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 736 ], + "I1": [ 698 ], + "O": [ 2679 ] + } + }, + "LUT2_63": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16131.5-16134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 670 ], + "I1": [ 698 ], + "O": [ 2680 ] + } + }, + "LUT2_64": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16138.5-16141.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2681 ], + "I1": [ 2680 ], + "O": [ 717 ] + } + }, + "LUT2_65": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16145.5-16148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2682 ], + "I1": [ 2680 ], + "O": [ 719 ] + } + }, + "LUT2_66": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16152.5-16155.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 670 ], + "O": [ 743 ] + } + }, + "LUT2_67": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16159.5-16162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 730 ], + "I1": [ 734 ], + "O": [ 2684 ] + } + }, + "LUT2_68": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16166.5-16169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 820 ], + "I1": [ 828 ], + "O": [ 2685 ] + } + }, + "LUT2_69": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16173.5-16176.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 790 ], + "I1": [ 810 ], + "O": [ 2686 ] + } + }, + "LUT2_6a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16180.5-16183.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 822 ], + "I1": [ 840 ], + "O": [ 2687 ] + } + }, + "LUT2_6b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16187.5-16190.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 848 ], + "I1": [ 822 ], + "O": [ 2688 ] + } + }, + "LUT2_6c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16194.5-16197.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2689 ], + "I1": [ 2690 ], + "O": [ 825 ] + } + }, + "LUT2_6d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16201.5-16204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2689 ], + "I1": [ 2690 ], + "O": [ 845 ] + } + }, + "LUT2_6e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16208.5-16211.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 826 ], + "I1": [ 838 ], + "O": [ 2691 ] + } + }, + "LUT2_6f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16215.5-16218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 830 ], + "I1": [ 792 ], + "O": [ 2692 ] + } + }, + "LUT2_7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16222.5-16225.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 2676 ], + "O": [ 2190 ] + } + }, + "LUT2_70": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16229.5-16232.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2693 ], + "I1": [ 2694 ], + "O": [ 869 ] + } + }, + "LUT2_71": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16236.5-16239.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 804 ], + "I1": [ 894 ], + "O": [ 2695 ] + } + }, + "LUT2_72": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16243.5-16246.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 906 ], + "I1": [ 896 ], + "O": [ 2696 ] + } + }, + "LUT2_73": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16250.5-16253.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 906 ], + "I1": [ 896 ], + "O": [ 2697 ] + } + }, + "LUT2_74": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16257.5-16260.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 886 ], + "I1": [ 2697 ], + "O": [ 855 ] + } + }, + "LUT2_75": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16264.5-16267.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 900 ], + "I1": [ 912 ], + "O": [ 2698 ] + } + }, + "LUT2_76": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16271.5-16274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 900 ], + "I1": [ 912 ], + "O": [ 2699 ] + } + }, + "LUT2_77": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16278.5-16281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 878 ], + "I1": [ 910 ], + "O": [ 2700 ] + } + }, + "LUT2_78": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16285.5-16288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 882 ], + "I1": [ 878 ], + "O": [ 2701 ] + } + }, + "LUT2_79": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16292.5-16295.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 400 ], + "I1": [ 860 ], + "O": [ 2702 ] + } + }, + "LUT2_7a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16299.5-16302.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 892 ], + "I1": [ 402 ], + "O": [ 2703 ] + } + }, + "LUT2_7b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16306.5-16309.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2704 ], + "I1": [ 892 ], + "O": [ 885 ] + } + }, + "LUT2_7c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16313.5-16316.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 772 ], + "I1": [ 770 ], + "O": [ 2705 ] + } + }, + "LUT2_7d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16320.5-16323.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 750 ], + "I1": [ 754 ], + "O": [ 797 ] + } + }, + "LUT2_7e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16327.5-16330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 336 ], + "I1": [ 378 ], + "O": [ 2706 ] + } + }, + "LUT2_7f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16334.5-16337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2707 ], + "I1": [ 623 ], + "O": [ 2534 ] + } + }, + "LUT2_8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16341.5-16344.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 165 ], + "O": [ 2708 ] + } + }, + "LUT2_80": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16348.5-16351.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2707 ], + "I1": [ 623 ], + "O": [ 725 ] + } + }, + "LUT2_81": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16355.5-16358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2674 ], + "I1": [ 382 ], + "O": [ 2709 ] + } + }, + "LUT2_82": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16362.5-16365.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2710 ], + "I1": [ 2711 ], + "O": [ 767 ] + } + }, + "LUT2_83": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16369.5-16372.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2710 ], + "I1": [ 2711 ], + "O": [ 667 ] + } + }, + "LUT2_84": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16376.5-16379.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2712 ], + "I1": [ 2120 ], + "O": [ 2121 ] + } + }, + "LUT2_85": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16383.5-16386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2713 ], + "I1": [ 458 ], + "O": [ 2714 ] + } + }, + "LUT2_86": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16390.5-16393.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2713 ], + "I1": [ 458 ], + "O": [ 2715 ] + } + }, + "LUT2_87": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16397.5-16400.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 462 ], + "I1": [ 1296 ], + "O": [ 2716 ] + } + }, + "LUT2_88": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16404.5-16407.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 2717 ], + "O": [ 2123 ] + } + }, + "LUT2_89": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16411.5-16414.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 2717 ], + "O": [ 2125 ] + } + }, + "LUT2_8a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16418.5-16421.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 444 ], + "I1": [ 466 ], + "O": [ 2718 ] + } + }, + "LUT2_8b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16425.5-16428.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 409 ], + "I1": [ 452 ], + "O": [ 2154 ] + } + }, + "LUT2_8c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16432.5-16435.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1072 ], + "I1": [ 2157 ], + "O": [ 2719 ] + } + }, + "LUT2_8d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16439.5-16442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1072 ], + "I1": [ 2157 ], + "O": [ 2720 ] + } + }, + "LUT2_8e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16446.5-16449.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 482 ], + "I1": [ 454 ], + "O": [ 2721 ] + } + }, + "LUT2_8f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16453.5-16456.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 482 ], + "I1": [ 1326 ], + "O": [ 2722 ] + } + }, + "LUT2_9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16460.5-16463.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2661 ], + "I1": [ 2723 ], + "O": [ 199 ] + } + }, + "LUT2_90": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16467.5-16470.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1180 ], + "I1": [ 1324 ], + "O": [ 2724 ] + } + }, + "LUT2_91": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16474.5-16477.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 566 ], + "I1": [ 526 ], + "O": [ 2725 ] + } + }, + "LUT2_92": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16481.5-16484.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 558 ], + "I1": [ 520 ], + "O": [ 2726 ] + } + }, + "LUT2_93": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16488.5-16491.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2727 ], + "I1": [ 558 ], + "O": [ 1135 ] + } + }, + "LUT2_94": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16495.5-16498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 526 ], + "I1": [ 558 ], + "O": [ 2728 ] + } + }, + "LUT2_95": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16502.5-16505.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 514 ], + "I1": [ 1138 ], + "O": [ 2729 ] + } + }, + "LUT2_96": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16509.5-16512.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 522 ], + "I1": [ 574 ], + "O": [ 2730 ] + } + }, + "LUT2_97": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16516.5-16519.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1150 ], + "I1": [ 534 ], + "O": [ 2731 ] + } + }, + "LUT2_98": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16523.5-16526.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 556 ], + "I1": [ 1136 ], + "O": [ 2732 ] + } + }, + "LUT2_99": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16530.5-16533.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 556 ], + "I1": [ 1136 ], + "O": [ 2733 ] + } + }, + "LUT2_9a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16537.5-16540.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1136 ], + "I1": [ 2728 ], + "O": [ 531 ] + } + }, + "LUT2_9b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16544.5-16547.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1136 ], + "I1": [ 2729 ], + "O": [ 1131 ] + } + }, + "LUT2_9c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16551.5-16554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 552 ], + "I1": [ 522 ], + "O": [ 2734 ] + } + }, + "LUT2_9d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16558.5-16561.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1128 ], + "I1": [ 1144 ], + "O": [ 2735 ] + } + }, + "LUT2_9e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16565.5-16568.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1128 ], + "I1": [ 1144 ], + "O": [ 2736 ] + } + }, + "LUT2_9f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16572.5-16575.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2737 ], + "I1": [ 2738 ], + "O": [ 513 ] + } + }, + "LUT2_a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16579.5-16582.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 268 ], + "I1": [ 2116 ], + "O": [ 2739 ] + } + }, + "LUT2_a0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16586.5-16589.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2737 ], + "I1": [ 1178 ], + "O": [ 517 ] + } + }, + "LUT2_a1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16593.5-16596.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 486 ], + "I1": [ 2737 ], + "O": [ 519 ] + } + }, + "LUT2_a2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16600.5-16603.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1156 ], + "I1": [ 516 ], + "O": [ 2740 ] + } + }, + "LUT2_a3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16607.5-16610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1156 ], + "I1": [ 516 ], + "O": [ 2741 ] + } + }, + "LUT2_a4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16614.5-16617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 506 ], + "O": [ 479 ] + } + }, + "LUT2_a5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16621.5-16624.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2742 ], + "I1": [ 1184 ], + "O": [ 1235 ] + } + }, + "LUT2_a6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16628.5-16631.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1236 ], + "I1": [ 1194 ], + "O": [ 2743 ] + } + }, + "LUT2_a7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16635.5-16638.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2744 ], + "I1": [ 2745 ], + "O": [ 1217 ] + } + }, + "LUT2_a8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16642.5-16645.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2746 ], + "I1": [ 2745 ], + "O": [ 1201 ] + } + }, + "LUT2_a9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16649.5-16652.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1210 ], + "I1": [ 1198 ], + "O": [ 2747 ] + } + }, + "LUT2_aa": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16656.5-16659.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1210 ], + "I1": [ 1198 ], + "O": [ 2748 ] + } + }, + "LUT2_ab": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16663.5-16666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2749 ], + "I1": [ 2750 ], + "O": [ 1229 ] + } + }, + "LUT2_ac": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16670.5-16673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2749 ], + "I1": [ 1196 ], + "O": [ 2751 ] + } + }, + "LUT2_ad": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16677.5-16680.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1218 ], + "O": [ 2752 ] + } + }, + "LUT2_ae": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16684.5-16687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1220 ], + "I1": [ 1170 ], + "O": [ 2749 ] + } + }, + "LUT2_af": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16691.5-16694.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1310 ], + "I1": [ 500 ], + "O": [ 2753 ] + } + }, + "LUT2_b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16698.5-16701.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 268 ], + "I1": [ 2118 ], + "O": [ 2754 ] + } + }, + "LUT2_b0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16705.5-16708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2755 ], + "I1": [ 2756 ], + "O": [ 1289 ] + } + }, + "LUT2_b1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16712.5-16715.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2757 ], + "I1": [ 2758 ], + "O": [ 1307 ] + } + }, + "LUT2_b2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16719.5-16722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1314 ], + "I1": [ 1268 ], + "O": [ 2759 ] + } + }, + "LUT2_b3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16726.5-16729.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1284 ], + "I1": [ 1314 ], + "O": [ 2760 ] + } + }, + "LUT2_b4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16733.5-16736.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2761 ], + "I1": [ 2159 ], + "O": [ 989 ] + } + }, + "LUT2_b5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16740.5-16743.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 996 ], + "I1": [ 1010 ], + "O": [ 2762 ] + } + }, + "LUT2_b6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16747.5-16750.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1344 ], + "I1": [ 2762 ], + "O": [ 1379 ] + } + }, + "LUT2_b7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16754.5-16757.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 994 ], + "I1": [ 1012 ], + "O": [ 2763 ] + } + }, + "LUT2_b8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16761.5-16764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1014 ], + "I1": [ 990 ], + "O": [ 2764 ] + } + }, + "LUT2_b9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16768.5-16771.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1348 ], + "I1": [ 1020 ], + "O": [ 2765 ] + } + }, + "LUT2_ba": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16775.5-16778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 968 ], + "I1": [ 978 ], + "O": [ 923 ] + } + }, + "LUT2_bb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16782.5-16785.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 944 ], + "I1": [ 980 ], + "O": [ 947 ] + } + }, + "LUT2_bc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16789.5-16792.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1398 ], + "I1": [ 1402 ], + "O": [ 2766 ] + } + }, + "LUT2_bd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16796.5-16799.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2767 ], + "I1": [ 950 ], + "O": [ 943 ] + } + }, + "LUT2_be": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16803.5-16806.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 962 ], + "I1": [ 952 ], + "O": [ 2768 ] + } + }, + "LUT2_bf": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16810.5-16813.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 962 ], + "I1": [ 952 ], + "O": [ 2769 ] + } + }, + "LUT2_c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16817.5-16820.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2770 ], + "I1": [ 268 ], + "O": [ 371 ] + } + }, + "LUT2_c0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16824.5-16827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2771 ], + "I1": [ 2772 ], + "O": [ 985 ] + } + }, + "LUT2_c1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16831.5-16834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1442 ], + "I1": [ 932 ], + "O": [ 2773 ] + } + }, + "LUT2_c2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16838.5-16841.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1442 ], + "I1": [ 932 ], + "O": [ 2774 ] + } + }, + "LUT2_c3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16845.5-16848.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2775 ], + "I1": [ 2776 ], + "O": [ 1405 ] + } + }, + "LUT2_c4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16852.5-16855.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 958 ], + "I1": [ 1460 ], + "O": [ 1455 ] + } + }, + "LUT2_c5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16859.5-16862.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 958 ], + "I1": [ 1460 ], + "O": [ 961 ] + } + }, + "LUT2_c6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16866.5-16869.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1452 ], + "I1": [ 1460 ], + "O": [ 2777 ] + } + }, + "LUT2_c7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16873.5-16876.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1446 ], + "I1": [ 1462 ], + "O": [ 2778 ] + } + }, + "LUT2_c8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16880.5-16883.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1434 ], + "I1": [ 1420 ], + "O": [ 2779 ] + } + }, + "LUT2_c9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16887.5-16890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 986 ], + "I1": [ 1394 ], + "O": [ 2780 ] + } + }, + "LUT2_ca": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16894.5-16897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 986 ], + "I1": [ 1394 ], + "O": [ 2781 ] + } + }, + "LUT2_cb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16901.5-16904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1418 ], + "I1": [ 1370 ], + "O": [ 2782 ] + } + }, + "LUT2_cc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16908.5-16911.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1372 ], + "I1": [ 1416 ], + "O": [ 2783 ] + } + }, + "LUT2_cd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16915.5-16918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1358 ], + "I1": [ 1004 ], + "O": [ 2784 ] + } + }, + "LUT2_ce": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16922.5-16925.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1384 ], + "I1": [ 1388 ], + "O": [ 2785 ] + } + }, + "LUT2_cf": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16929.5-16932.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2786 ], + "I1": [ 2787 ], + "O": [ 1351 ] + } + }, + "LUT2_d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16936.5-16939.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 336 ], + "I1": [ 624 ], + "O": [ 429 ] + } + }, + "LUT2_d0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16943.5-16946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1042 ], + "I1": [ 998 ], + "O": [ 2788 ] + } + }, + "LUT2_d1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16950.5-16953.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1042 ], + "I1": [ 1028 ], + "O": [ 2789 ] + } + }, + "LUT2_d2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16957.5-16960.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2790 ], + "I1": [ 2791 ], + "O": [ 1039 ] + } + }, + "LUT2_d3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16964.5-16967.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1306 ], + "I1": [ 1026 ], + "O": [ 2792 ] + } + }, + "LUT2_d4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16971.5-16974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 2164 ], + "O": [ 2793 ] + } + }, + "LUT2_d5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16978.5-16981.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 2164 ], + "O": [ 2794 ] + } + }, + "LUT2_d6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16985.5-16988.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1016 ], + "I1": [ 1074 ], + "O": [ 2795 ] + } + }, + "LUT2_d7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16992.5-16995.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1016 ], + "O": [ 2796 ] + } + }, + "LUT2_d8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:16999.5-17002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2796 ], + "I1": [ 2797 ], + "O": [ 1053 ] + } + }, + "LUT2_d9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17006.5-17009.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2798 ], + "I1": [ 2799 ], + "O": [ 1027 ] + } + }, + "LUT2_da": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17013.5-17016.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2800 ], + "I1": [ 2801 ], + "O": [ 1067 ] + } + }, + "LUT2_db": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17020.5-17023.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 2802 ], + "O": [ 1085 ] + } + }, + "LUT2_dc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17027.5-17030.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 2167 ], + "O": [ 2803 ] + } + }, + "LUT2_dd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17034.5-17037.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1836 ], + "I1": [ 2804 ], + "O": [ 1835 ] + } + }, + "LUT2_de": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17041.5-17044.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2805 ], + "I1": [ 2804 ], + "O": [ 1823 ] + } + }, + "LUT2_df": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17048.5-17051.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2806 ], + "I1": [ 1118 ], + "O": [ 2807 ] + } + }, + "LUT2_e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17055.5-17058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2493 ], + "I1": [ 2103 ], + "O": [ 2808 ] + } + }, + "LUT2_e0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17062.5-17065.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1808 ], + "I1": [ 1834 ], + "O": [ 2809 ] + } + }, + "LUT2_e1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17069.5-17072.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1694 ], + "I1": [ 1828 ], + "O": [ 2810 ] + } + }, + "LUT2_e2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17076.5-17079.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1808 ], + "I1": [ 2811 ], + "O": [ 1671 ] + } + }, + "LUT2_e3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17083.5-17086.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 2812 ], + "O": [ 1101 ] + } + }, + "LUT2_e4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17090.5-17093.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1684 ], + "I1": [ 2813 ], + "O": [ 2805 ] + } + }, + "LUT2_e5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17097.5-17100.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1702 ], + "I1": [ 1682 ], + "O": [ 2814 ] + } + }, + "LUT2_e6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17104.5-17107.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2815 ], + "I1": [ 2816 ], + "O": [ 1721 ] + } + }, + "LUT2_e7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17111.5-17114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2817 ], + "I1": [ 1788 ], + "O": [ 1791 ] + } + }, + "LUT2_e8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17118.5-17121.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1788 ], + "I1": [ 2818 ], + "O": [ 1801 ] + } + }, + "LUT2_e9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17125.5-17128.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1788 ], + "I1": [ 2818 ], + "O": [ 1747 ] + } + }, + "LUT2_ea": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17132.5-17135.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1756 ], + "I1": [ 1728 ], + "O": [ 2819 ] + } + }, + "LUT2_eb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17139.5-17142.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1768 ], + "I1": [ 1752 ], + "O": [ 2817 ] + } + }, + "LUT2_ec": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17146.5-17149.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1774 ], + "I1": [ 1778 ], + "O": [ 2820 ] + } + }, + "LUT2_ed": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17153.5-17156.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2821 ], + "I1": [ 1748 ], + "O": [ 1759 ] + } + }, + "LUT2_ee": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17160.5-17163.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2822 ], + "I1": [ 1800 ], + "O": [ 1739 ] + } + }, + "LUT2_ef": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17167.5-17170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2822 ], + "I1": [ 1800 ], + "O": [ 1733 ] + } + }, + "LUT2_f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17174.5-17177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 624 ], + "I1": [ 680 ], + "O": [ 2562 ] + } + }, + "LUT2_f0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17181.5-17184.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2823 ], + "I1": [ 1714 ], + "O": [ 1769 ] + } + }, + "LUT2_f1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17188.5-17191.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2824 ], + "I1": [ 1714 ], + "O": [ 1795 ] + } + }, + "LUT2_f2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17195.5-17198.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1066 ], + "I1": [ 1104 ], + "O": [ 2825 ] + } + }, + "LUT2_f3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17202.5-17205.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2826 ], + "I1": [ 2827 ], + "O": [ 1109 ] + } + }, + "LUT2_f4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17209.5-17212.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1812 ], + "I1": [ 1098 ], + "O": [ 2828 ] + } + }, + "LUT2_f5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17216.5-17219.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1864 ], + "I1": [ 1862 ], + "O": [ 2829 ] + } + }, + "LUT2_f6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17223.5-17226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1904 ], + "I1": [ 446 ], + "O": [ 2830 ] + } + }, + "LUT2_f7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17230.5-17233.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1848 ], + "I1": [ 2831 ], + "O": [ 1901 ] + } + }, + "LUT2_f8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17237.5-17240.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2832 ], + "I1": [ 2833 ], + "O": [ 1845 ] + } + }, + "LUT2_f9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17244.5-17247.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1086 ], + "I1": [ 1088 ], + "O": [ 2834 ] + } + }, + "LUT2_fa": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17251.5-17254.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1086 ], + "I1": [ 1878 ], + "O": [ 2835 ] + } + }, + "LUT2_fb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17258.5-17261.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1878 ], + "I1": [ 1882 ], + "O": [ 2836 ] + } + }, + "LUT2_fc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17265.5-17268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2837 ], + "I1": [ 2838 ], + "O": [ 1893 ] + } + }, + "LUT2_fd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17272.5-17275.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 1818 ], + "O": [ 2837 ] + } + }, + "LUT2_fe": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17279.5-17282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1846 ], + "I1": [ 2839 ], + "O": [ 1871 ] + } + }, + "LUT2_ff": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17286.5-17289.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1846 ], + "I1": [ 2839 ], + "O": [ 1813 ] + } + }, + "LUT3_0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17293.5-17297.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2135 ], + "I1": [ 2137 ], + "I2": [ 2193 ], + "O": [ 2840 ] + } + }, + "LUT3_1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17301.5-17305.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2195 ], + "I1": [ 2841 ], + "I2": [ 2842 ], + "O": [ 2608 ] + } + }, + "LUT3_10": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17309.5-17313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2487 ], + "I1": [ 782 ], + "I2": [ 544 ], + "O": [ 2596 ] + } + }, + "LUT3_100": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17317.5-17321.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 462 ], + "I1": [ 200 ], + "I2": [ 490 ], + "O": [ 2843 ] + } + }, + "LUT3_101": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17325.5-17329.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 490 ], + "I1": [ 448 ], + "I2": [ 200 ], + "O": [ 2844 ] + } + }, + "LUT3_102": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17333.5-17337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 2168 ], + "I2": [ 1074 ], + "O": [ 467 ] + } + }, + "LUT3_103": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17341.5-17345.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 448 ], + "I1": [ 1296 ], + "I2": [ 460 ], + "O": [ 2164 ] + } + }, + "LUT3_104": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17349.5-17353.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 448 ], + "I1": [ 460 ], + "I2": [ 1296 ], + "O": [ 2841 ] + } + }, + "LUT3_105": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17357.5-17361.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2845 ], + "I2": [ 2185 ], + "O": [ 2846 ] + } + }, + "LUT3_106": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17365.5-17369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2845 ], + "I2": [ 2185 ], + "O": [ 2847 ] + } + }, + "LUT3_107": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17373.5-17377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2172 ], + "I2": [ 2187 ], + "O": [ 2848 ] + } + }, + "LUT3_108": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17381.5-17385.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 2849 ], + "I2": [ 2848 ], + "O": [ 2222 ] + } + }, + "LUT3_109": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17389.5-17393.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2553 ], + "I1": [ 1917 ], + "I2": [ 2850 ], + "O": [ 1967 ] + } + }, + "LUT3_10a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17397.5-17401.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1917 ], + "I1": [ 2850 ], + "I2": [ 1954 ], + "O": [ 1991 ] + } + }, + "LUT3_10b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17405.5-17409.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 268 ], + "I1": [ 2224 ], + "I2": [ 2851 ], + "O": [ 2852 ] + } + }, + "LUT3_10c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17413.5-17417.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2070 ], + "I1": [ 1594 ], + "I2": [ 2006 ], + "O": [ 2853 ] + } + }, + "LUT3_10d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17421.5-17425.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2070 ], + "I1": [ 1919 ], + "I2": [ 1982 ], + "O": [ 2854 ] + } + }, + "LUT3_10e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17429.5-17433.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2070 ], + "I1": [ 1919 ], + "I2": [ 1982 ], + "O": [ 2855 ] + } + }, + "LUT3_10f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17437.5-17441.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2145 ], + "I1": [ 1954 ], + "I2": [ 1962 ], + "O": [ 2856 ] + } + }, + "LUT3_11": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17445.5-17449.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2857 ], + "I1": [ 1090 ], + "I2": [ 988 ], + "O": [ 2474 ] + } + }, + "LUT3_110": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17453.5-17457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2102 ], + "I1": [ 1594 ], + "I2": [ 1910 ], + "O": [ 2858 ] + } + }, + "LUT3_111": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17461.5-17465.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 2068 ], + "I2": [ 1994 ], + "O": [ 2859 ] + } + }, + "LUT3_112": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17469.5-17473.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1978 ], + "I1": [ 1980 ], + "I2": [ 1996 ], + "O": [ 2860 ] + } + }, + "LUT3_113": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17477.5-17481.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2004 ], + "I1": [ 2145 ], + "I2": [ 1990 ], + "O": [ 1993 ] + } + }, + "LUT3_114": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17485.5-17489.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2566 ], + "I1": [ 1502 ], + "I2": [ 1532 ], + "O": [ 1509 ] + } + }, + "LUT3_115": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17493.5-17497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1516 ], + "I1": [ 1532 ], + "I2": [ 2566 ], + "O": [ 1527 ] + } + }, + "LUT3_116": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17501.5-17505.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1506 ], + "I1": [ 1520 ], + "I2": [ 1516 ], + "O": [ 2861 ] + } + }, + "LUT3_117": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17509.5-17513.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1478 ], + "I1": [ 1560 ], + "I2": [ 1528 ], + "O": [ 2862 ] + } + }, + "LUT3_118": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17517.5-17521.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1478 ], + "I1": [ 1550 ], + "I2": [ 1514 ], + "O": [ 2863 ] + } + }, + "LUT3_119": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17525.5-17529.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 1564 ], + "I2": [ 1530 ], + "O": [ 2864 ] + } + }, + "LUT3_11a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17533.5-17537.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1558 ], + "I1": [ 1988 ], + "I2": [ 2864 ], + "O": [ 1497 ] + } + }, + "LUT3_11b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17541.5-17545.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2865 ], + "I1": [ 1988 ], + "I2": [ 1512 ], + "O": [ 1483 ] + } + }, + "LUT3_11c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17549.5-17553.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2865 ], + "I1": [ 1988 ], + "I2": [ 1512 ], + "O": [ 1523 ] + } + }, + "LUT3_11d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17557.5-17561.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1512 ], + "I1": [ 1988 ], + "I2": [ 1988 ], + "O": [ 2866 ] + } + }, + "LUT3_11e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17565.5-17569.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1470 ], + "I1": [ 1988 ], + "I2": [ 1512 ], + "O": [ 2867 ] + } + }, + "LUT3_11f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17573.5-17577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2571 ], + "I1": [ 2572 ], + "I2": [ 2868 ], + "O": [ 1649 ] + } + }, + "LUT3_12": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17581.5-17585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2477 ], + "I1": [ 2479 ], + "I2": [ 2606 ], + "O": [ 1327 ] + } + }, + "LUT3_120": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17589.5-17593.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1572 ], + "I1": [ 1624 ], + "I2": [ 1626 ], + "O": [ 2869 ] + } + }, + "LUT3_121": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17597.5-17601.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1592 ], + "I1": [ 1624 ], + "I2": [ 1620 ], + "O": [ 2571 ] + } + }, + "LUT3_122": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17605.5-17609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 1632 ], + "I2": [ 1604 ], + "O": [ 2870 ] + } + }, + "LUT3_123": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17613.5-17617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1612 ], + "I1": [ 1582 ], + "I2": [ 1594 ], + "O": [ 2579 ] + } + }, + "LUT3_124": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17621.5-17625.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2871 ], + "I1": [ 1586 ], + "I2": [ 1608 ], + "O": [ 2099 ] + } + }, + "LUT3_125": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17629.5-17633.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2581 ], + "I1": [ 1586 ], + "I2": [ 2871 ], + "O": [ 1623 ] + } + }, + "LUT3_126": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17637.5-17641.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1650 ], + "I1": [ 1596 ], + "I2": [ 1972 ], + "O": [ 2872 ] + } + }, + "LUT3_127": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17645.5-17649.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1570 ], + "I1": [ 1946 ], + "I2": [ 1584 ], + "O": [ 2873 ] + } + }, + "LUT3_128": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17653.5-17657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2062 ], + "I1": [ 1970 ], + "I2": [ 2084 ], + "O": [ 1663 ] + } + }, + "LUT3_129": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17661.5-17665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2062 ], + "I1": [ 1970 ], + "I2": [ 2084 ], + "O": [ 1571 ] + } + }, + "LUT3_12a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17669.5-17673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 2582 ], + "I2": [ 2080 ], + "O": [ 2874 ] + } + }, + "LUT3_12b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17677.5-17681.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2584 ], + "I1": [ 2587 ], + "I2": [ 2586 ], + "O": [ 1593 ] + } + }, + "LUT3_12c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17685.5-17689.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2586 ], + "I1": [ 2584 ], + "I2": [ 2874 ], + "O": [ 2085 ] + } + }, + "LUT3_12d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17693.5-17697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1600 ], + "I1": [ 1546 ], + "I2": [ 2072 ], + "O": [ 2591 ] + } + }, + "LUT3_12e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17701.5-17705.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2589 ], + "I1": [ 2591 ], + "I2": [ 2590 ], + "O": [ 2061 ] + } + }, + "LUT3_12f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17709.5-17713.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 2592 ], + "I2": [ 2875 ], + "O": [ 1937 ] + } + }, + "LUT3_13": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17717.5-17721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2477 ], + "I1": [ 1396 ], + "I2": [ 2475 ], + "O": [ 2605 ] + } + }, + "LUT3_14": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17725.5-17729.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2477 ], + "I1": [ 2455 ], + "I2": [ 1396 ], + "O": [ 2876 ] + } + }, + "LUT3_15": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17733.5-17737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2451 ], + "I1": [ 2453 ], + "I2": [ 2877 ], + "O": [ 1429 ] + } + }, + "LUT3_16": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17741.5-17745.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2453 ], + "I1": [ 2603 ], + "I2": [ 2877 ], + "O": [ 953 ] + } + }, + "LUT3_17": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17749.5-17753.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2058 ], + "I1": [ 2471 ], + "I2": [ 2114 ], + "O": [ 2878 ] + } + }, + "LUT3_18": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17757.5-17761.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 2467 ], + "I2": [ 2471 ], + "O": [ 2879 ] + } + }, + "LUT3_19": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17765.5-17769.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 2467 ], + "I2": [ 2471 ], + "O": [ 2880 ] + } + }, + "LUT3_1a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17773.5-17777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2880 ], + "I1": [ 2881 ], + "I2": [ 2879 ], + "O": [ 1499 ] + } + }, + "LUT3_1b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17781.5-17785.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2609 ], + "I1": [ 2610 ], + "I2": [ 2882 ], + "O": [ 1975 ] + } + }, + "LUT3_1c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17789.5-17793.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2441 ], + "I1": [ 2419 ], + "I2": [ 2421 ], + "O": [ 2883 ] + } + }, + "LUT3_1d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17797.5-17801.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2419 ], + "I1": [ 2311 ], + "I2": [ 2411 ], + "O": [ 2613 ] + } + }, + "LUT3_1e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17805.5-17809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2415 ], + "I1": [ 2387 ], + "I2": [ 2403 ], + "O": [ 2884 ] + } + }, + "LUT3_1f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17813.5-17817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2415 ], + "I1": [ 2387 ], + "I2": [ 2403 ], + "O": [ 2885 ] + } + }, + "LUT3_2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17821.5-17825.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2195 ], + "I1": [ 2841 ], + "I2": [ 2842 ], + "O": [ 2886 ] + } + }, + "LUT3_20": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17829.5-17833.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2612 ], + "I1": [ 2613 ], + "I2": [ 2885 ], + "O": [ 2444 ] + } + }, + "LUT3_21": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17837.5-17841.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2887 ], + "I1": [ 2092 ], + "I2": [ 2613 ], + "O": [ 2422 ] + } + }, + "LUT3_22": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17845.5-17849.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2383 ], + "I2": [ 2050 ], + "O": [ 2888 ] + } + }, + "LUT3_23": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17853.5-17857.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2417 ], + "I1": [ 2393 ], + "I2": [ 2614 ], + "O": [ 2408 ] + } + }, + "LUT3_24": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17861.5-17865.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2889 ], + "I1": [ 2381 ], + "I2": [ 2890 ], + "O": [ 2428 ] + } + }, + "LUT3_25": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17869.5-17873.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2054 ], + "I1": [ 2381 ], + "I2": [ 2445 ], + "O": [ 2891 ] + } + }, + "LUT3_26": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17877.5-17881.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2445 ], + "I1": [ 2381 ], + "I2": [ 2054 ], + "O": [ 2892 ] + } + }, + "LUT3_27": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17885.5-17889.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2385 ], + "I1": [ 2445 ], + "I2": [ 2391 ], + "O": [ 2893 ] + } + }, + "LUT3_28": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17893.5-17897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2445 ], + "I1": [ 2399 ], + "I2": [ 2389 ], + "O": [ 2894 ] + } + }, + "LUT3_29": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17901.5-17905.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2401 ], + "I1": [ 2405 ], + "I2": [ 2427 ], + "O": [ 2895 ] + } + }, + "LUT3_2a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17909.5-17913.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2405 ], + "I1": [ 2052 ], + "I2": [ 2427 ], + "O": [ 2896 ] + } + }, + "LUT3_2b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17917.5-17921.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2209 ], + "I1": [ 2463 ], + "I2": [ 2467 ], + "O": [ 2897 ] + } + }, + "LUT3_2c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17925.5-17929.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2209 ], + "I1": [ 2463 ], + "I2": [ 2467 ], + "O": [ 2464 ] + } + }, + "LUT3_2d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17933.5-17937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2175 ], + "I1": [ 2345 ], + "I2": [ 2243 ], + "O": [ 2049 ] + } + }, + "LUT3_2e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17941.5-17945.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2243 ], + "I1": [ 2345 ], + "I2": [ 2175 ], + "O": [ 2898 ] + } + }, + "LUT3_2f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17949.5-17953.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1976 ], + "I1": [ 2114 ], + "I2": [ 2467 ], + "O": [ 2091 ] + } + }, + "LUT3_3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17957.5-17961.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2899 ], + "I1": [ 2900 ], + "I2": [ 2886 ], + "O": [ 2226 ] + } + }, + "LUT3_30": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17965.5-17969.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2505 ], + "I1": [ 2503 ], + "I2": [ 1328 ], + "O": [ 2901 ] + } + }, + "LUT3_31": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17973.5-17977.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2513 ], + "I1": [ 2902 ], + "I2": [ 2625 ], + "O": [ 1259 ] + } + }, + "LUT3_32": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17981.5-17985.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2594 ], + "I1": [ 2598 ], + "I2": [ 2535 ], + "O": [ 747 ] + } + }, + "LUT3_33": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17989.5-17993.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2233 ], + "I1": [ 2235 ], + "I2": [ 426 ], + "O": [ 2903 ] + } + }, + "LUT3_34": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:17997.5-18001.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2233 ], + "I1": [ 426 ], + "I2": [ 2235 ], + "O": [ 2904 ] + } + }, + "LUT3_35": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18005.5-18009.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 436 ], + "I2": [ 2533 ], + "O": [ 2905 ] + } + }, + "LUT3_36": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18013.5-18017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2533 ], + "I1": [ 436 ], + "I2": [ 2181 ], + "O": [ 2906 ] + } + }, + "LUT3_37": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18021.5-18025.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2629 ], + "I1": [ 2630 ], + "I2": [ 2906 ], + "O": [ 577 ] + } + }, + "LUT3_38": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18029.5-18033.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 226 ], + "I1": [ 358 ], + "I2": [ 222 ], + "O": [ 2646 ] + } + }, + "LUT3_39": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18037.5-18041.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 182 ], + "I1": [ 2103 ], + "I2": [ 180 ], + "O": [ 2907 ] + } + }, + "LUT3_3a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18045.5-18049.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 198 ], + "I1": [ 2153 ], + "I2": [ 2103 ], + "O": [ 2642 ] + } + }, + "LUT3_3b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18053.5-18057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 184 ], + "I1": [ 2521 ], + "I2": [ 2519 ], + "O": [ 2908 ] + } + }, + "LUT3_3c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18061.5-18065.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2640 ], + "I1": [ 2909 ], + "I2": [ 2639 ], + "O": [ 2910 ] + } + }, + "LUT3_3d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18069.5-18073.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2639 ], + "I1": [ 2640 ], + "I2": [ 2911 ], + "O": [ 2912 ] + } + }, + "LUT3_3e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18077.5-18081.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 232 ], + "I1": [ 350 ], + "I2": [ 680 ], + "O": [ 2652 ] + } + }, + "LUT3_3f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18085.5-18089.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2649 ], + "I1": [ 2651 ], + "I2": [ 2652 ], + "O": [ 247 ] + } + }, + "LUT3_4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18093.5-18097.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 2913 ], + "I2": [ 2914 ], + "O": [ 2228 ] + } + }, + "LUT3_40": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18101.5-18105.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 284 ], + "I1": [ 250 ], + "I2": [ 290 ], + "O": [ 2915 ] + } + }, + "LUT3_41": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18109.5-18113.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2916 ], + "I1": [ 2654 ], + "I2": [ 288 ], + "O": [ 245 ] + } + }, + "LUT3_42": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18117.5-18121.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2917 ], + "I1": [ 274 ], + "I2": [ 236 ], + "O": [ 331 ] + } + }, + "LUT3_43": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18125.5-18129.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 236 ], + "I1": [ 2655 ], + "I2": [ 2654 ], + "O": [ 317 ] + } + }, + "LUT3_44": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18133.5-18137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2654 ], + "I1": [ 2655 ], + "I2": [ 236 ], + "O": [ 319 ] + } + }, + "LUT3_45": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18141.5-18145.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2657 ], + "I1": [ 2656 ], + "I2": [ 298 ], + "O": [ 257 ] + } + }, + "LUT3_46": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18149.5-18153.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 276 ], + "I1": [ 270 ], + "I2": [ 240 ], + "O": [ 2918 ] + } + }, + "LUT3_47": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18157.5-18161.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 322 ], + "I1": [ 262 ], + "I2": [ 292 ], + "O": [ 2919 ] + } + }, + "LUT3_48": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18165.5-18169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2525 ], + "I1": [ 296 ], + "I2": [ 278 ], + "O": [ 2920 ] + } + }, + "LUT3_49": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18173.5-18177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2525 ], + "I1": [ 296 ], + "I2": [ 278 ], + "O": [ 2921 ] + } + }, + "LUT3_4a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18181.5-18185.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 278 ], + "I1": [ 280 ], + "I2": [ 2525 ], + "O": [ 2663 ] + } + }, + "LUT3_4b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18189.5-18193.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2663 ], + "I1": [ 2920 ], + "I2": [ 2664 ], + "O": [ 277 ] + } + }, + "LUT3_4c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18197.5-18201.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 324 ], + "I1": [ 280 ], + "I2": [ 2658 ], + "O": [ 287 ] + } + }, + "LUT3_4d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18205.5-18209.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 308 ], + "I1": [ 314 ], + "I2": [ 2922 ], + "O": [ 251 ] + } + }, + "LUT3_4e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18213.5-18217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2923 ], + "I1": [ 306 ], + "I2": [ 256 ], + "O": [ 205 ] + } + }, + "LUT3_4f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18221.5-18225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2923 ], + "I1": [ 304 ], + "I2": [ 306 ], + "O": [ 305 ] + } + }, + "LUT3_5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18229.5-18233.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2900 ], + "I1": [ 2913 ], + "I2": [ 2924 ], + "O": [ 2230 ] + } + }, + "LUT3_50": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18237.5-18241.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 312 ], + "I1": [ 354 ], + "I2": [ 314 ], + "O": [ 2925 ] + } + }, + "LUT3_51": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18245.5-18249.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2103 ], + "I1": [ 680 ], + "I2": [ 340 ], + "O": [ 2666 ] + } + }, + "LUT3_52": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18253.5-18257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 624 ], + "I1": [ 368 ], + "I2": [ 2666 ], + "O": [ 359 ] + } + }, + "LUT3_53": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18261.5-18265.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 364 ], + "I1": [ 342 ], + "I2": [ 616 ], + "O": [ 2926 ] + } + }, + "LUT3_54": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18269.5-18273.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 348 ], + "I1": [ 2669 ], + "I2": [ 2667 ], + "O": [ 597 ] + } + }, + "LUT3_55": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18277.5-18281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 598 ], + "I1": [ 628 ], + "I2": [ 626 ], + "O": [ 2672 ] + } + }, + "LUT3_56": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18285.5-18289.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2673 ], + "I1": [ 2672 ], + "I2": [ 2927 ], + "O": [ 609 ] + } + }, + "LUT3_57": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18293.5-18297.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 582 ], + "I1": [ 592 ], + "I2": [ 598 ], + "O": [ 2928 ] + } + }, + "LUT3_58": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18301.5-18305.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 592 ], + "I1": [ 582 ], + "I2": [ 598 ], + "O": [ 2929 ] + } + }, + "LUT3_59": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18309.5-18313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2930 ], + "I1": [ 2931 ], + "I2": [ 2932 ], + "O": [ 631 ] + } + }, + "LUT3_5a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18317.5-18321.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2930 ], + "I1": [ 2931 ], + "I2": [ 2932 ], + "O": [ 637 ] + } + }, + "LUT3_5b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18325.5-18329.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 634 ], + "I1": [ 604 ], + "I2": [ 2930 ], + "O": [ 593 ] + } + }, + "LUT3_5c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18333.5-18337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 590 ], + "I1": [ 604 ], + "I2": [ 634 ], + "O": [ 2933 ] + } + }, + "LUT3_5d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18341.5-18345.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 590 ], + "I1": [ 604 ], + "I2": [ 634 ], + "O": [ 2934 ] + } + }, + "LUT3_5e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18349.5-18353.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 658 ], + "I1": [ 668 ], + "I2": [ 720 ], + "O": [ 655 ] + } + }, + "LUT3_5f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18357.5-18361.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 658 ], + "I1": [ 668 ], + "I2": [ 2683 ], + "O": [ 699 ] + } + }, + "LUT3_6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18365.5-18369.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2237 ], + "I1": [ 918 ], + "I2": [ 2010 ], + "O": [ 2676 ] + } + }, + "LUT3_60": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18373.5-18377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2684 ], + "I1": [ 682 ], + "I2": [ 2675 ], + "O": [ 673 ] + } + }, + "LUT3_61": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18381.5-18385.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 652 ], + "I1": [ 668 ], + "I2": [ 694 ], + "O": [ 2935 ] + } + }, + "LUT3_62": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18389.5-18393.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 736 ], + "I1": [ 698 ], + "I2": [ 728 ], + "O": [ 2936 ] + } + }, + "LUT3_63": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18397.5-18401.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 682 ], + "I1": [ 698 ], + "I2": [ 2937 ], + "O": [ 701 ] + } + }, + "LUT3_64": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18405.5-18409.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 736 ], + "I1": [ 682 ], + "I2": [ 654 ], + "O": [ 2938 ] + } + }, + "LUT3_65": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18413.5-18417.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 654 ], + "I1": [ 2683 ], + "I2": [ 682 ], + "O": [ 2681 ] + } + }, + "LUT3_66": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18421.5-18425.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 654 ], + "I1": [ 728 ], + "I2": [ 2683 ], + "O": [ 2682 ] + } + }, + "LUT3_67": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18429.5-18433.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2681 ], + "I1": [ 2680 ], + "I2": [ 2939 ], + "O": [ 683 ] + } + }, + "LUT3_68": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18437.5-18441.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 730 ], + "I1": [ 2683 ], + "I2": [ 734 ], + "O": [ 737 ] + } + }, + "LUT3_69": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18445.5-18449.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 730 ], + "I1": [ 734 ], + "I2": [ 688 ], + "O": [ 709 ] + } + }, + "LUT3_6a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18453.5-18457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2705 ], + "I1": [ 822 ], + "I2": [ 840 ], + "O": [ 2940 ] + } + }, + "LUT3_6b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18461.5-18465.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 848 ], + "I1": [ 822 ], + "I2": [ 2705 ], + "O": [ 2941 ] + } + }, + "LUT3_6c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18469.5-18473.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2942 ], + "I1": [ 2940 ], + "I2": [ 2943 ], + "O": [ 783 ] + } + }, + "LUT3_6d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18477.5-18481.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2940 ], + "I1": [ 2690 ], + "I2": [ 2942 ], + "O": [ 837 ] + } + }, + "LUT3_6e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18485.5-18489.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2690 ], + "I1": [ 2942 ], + "I2": [ 2943 ], + "O": [ 791 ] + } + }, + "LUT3_6f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18493.5-18497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2944 ], + "I1": [ 2945 ], + "I2": [ 2946 ], + "O": [ 819 ] + } + }, + "LUT3_7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18501.5-18505.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2237 ], + "I1": [ 918 ], + "I2": [ 2641 ], + "O": [ 2412 ] + } + }, + "LUT3_70": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18509.5-18513.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2945 ], + "I1": [ 2947 ], + "I2": [ 2946 ], + "O": [ 843 ] + } + }, + "LUT3_71": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18517.5-18521.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2946 ], + "I1": [ 2944 ], + "I2": [ 2945 ], + "O": [ 789 ] + } + }, + "LUT3_72": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18525.5-18529.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 826 ], + "I1": [ 838 ], + "I2": [ 792 ], + "O": [ 2948 ] + } + }, + "LUT3_73": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18533.5-18537.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 792 ], + "I1": [ 830 ], + "I2": [ 874 ], + "O": [ 2949 ] + } + }, + "LUT3_74": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18541.5-18545.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 830 ], + "I1": [ 792 ], + "I2": [ 874 ], + "O": [ 2693 ] + } + }, + "LUT3_75": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18549.5-18553.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 804 ], + "I1": [ 894 ], + "I2": [ 906 ], + "O": [ 2950 ] + } + }, + "LUT3_76": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18557.5-18561.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 906 ], + "I1": [ 804 ], + "I2": [ 904 ], + "O": [ 2951 ] + } + }, + "LUT3_77": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18565.5-18569.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2697 ], + "I1": [ 2952 ], + "I2": [ 2696 ], + "O": [ 915 ] + } + }, + "LUT3_78": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18573.5-18577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 882 ], + "I1": [ 400 ], + "I2": [ 860 ], + "O": [ 2704 ] + } + }, + "LUT3_79": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18581.5-18585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 400 ], + "I1": [ 394 ], + "I2": [ 860 ], + "O": [ 911 ] + } + }, + "LUT3_7a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18589.5-18593.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 892 ], + "I1": [ 402 ], + "I2": [ 2953 ], + "O": [ 801 ] + } + }, + "LUT3_7b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18597.5-18601.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2953 ], + "I1": [ 892 ], + "I2": [ 862 ], + "O": [ 887 ] + } + }, + "LUT3_7c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18605.5-18609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 380 ], + "I1": [ 623 ], + "I2": [ 302 ], + "O": [ 2954 ] + } + }, + "LUT3_7d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18613.5-18617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2954 ], + "I1": [ 2955 ], + "I2": [ 672 ], + "O": [ 423 ] + } + }, + "LUT3_7e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18621.5-18625.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2954 ], + "I1": [ 2955 ], + "I2": [ 380 ], + "O": [ 381 ] + } + }, + "LUT3_7f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18629.5-18633.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 336 ], + "I1": [ 2956 ], + "I2": [ 2957 ], + "O": [ 779 ] + } + }, + "LUT3_8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18637.5-18641.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 2237 ], + "I2": [ 2010 ], + "O": [ 2958 ] + } + }, + "LUT3_80": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18645.5-18649.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2955 ], + "I1": [ 2957 ], + "I2": [ 336 ], + "O": [ 665 ] + } + }, + "LUT3_81": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18653.5-18657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2674 ], + "I1": [ 2705 ], + "I2": [ 382 ], + "O": [ 2711 ] + } + }, + "LUT3_82": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18661.5-18665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2674 ], + "I1": [ 382 ], + "I2": [ 2705 ], + "O": [ 2959 ] + } + }, + "LUT3_83": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18669.5-18673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 406 ], + "I1": [ 2960 ], + "I2": [ 2710 ], + "O": [ 771 ] + } + }, + "LUT3_84": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18677.5-18681.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 454 ], + "I1": [ 414 ], + "I2": [ 2201 ], + "O": [ 2712 ] + } + }, + "LUT3_85": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18685.5-18689.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2961 ], + "I1": [ 2962 ], + "I2": [ 2120 ], + "O": [ 2202 ] + } + }, + "LUT3_86": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18693.5-18697.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2712 ], + "I1": [ 2120 ], + "I2": [ 2963 ], + "O": [ 2204 ] + } + }, + "LUT3_87": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18701.5-18705.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2712 ], + "I1": [ 2120 ], + "I2": [ 2843 ], + "O": [ 2875 ] + } + }, + "LUT3_88": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18709.5-18713.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2963 ], + "I1": [ 2964 ], + "I2": [ 2962 ], + "O": [ 233 ] + } + }, + "LUT3_89": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18717.5-18721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2207 ], + "I1": [ 2177 ], + "I2": [ 470 ], + "O": [ 2965 ] + } + }, + "LUT3_8a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18725.5-18729.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2177 ], + "I1": [ 470 ], + "I2": [ 2207 ], + "O": [ 2966 ] + } + }, + "LUT3_8b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18733.5-18737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2177 ], + "I1": [ 470 ], + "I2": [ 2207 ], + "O": [ 2967 ] + } + }, + "LUT3_8c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18741.5-18745.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 462 ], + "I1": [ 1296 ], + "I2": [ 490 ], + "O": [ 2968 ] + } + }, + "LUT3_8d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18749.5-18753.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 446 ], + "I1": [ 2179 ], + "I2": [ 490 ], + "O": [ 2969 ] + } + }, + "LUT3_8e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18757.5-18761.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 538 ], + "I1": [ 488 ], + "I2": [ 484 ], + "O": [ 2970 ] + } + }, + "LUT3_8f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18765.5-18769.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 536 ], + "I1": [ 1180 ], + "I2": [ 480 ], + "O": [ 2971 ] + } + }, + "LUT3_9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18773.5-18777.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2661 ], + "I1": [ 2723 ], + "I2": [ 2708 ], + "O": [ 2238 ] + } + }, + "LUT3_90": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18781.5-18785.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1180 ], + "I1": [ 1324 ], + "I2": [ 1182 ], + "O": [ 1179 ] + } + }, + "LUT3_91": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18789.5-18793.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2972 ], + "I1": [ 568 ], + "I2": [ 2734 ], + "O": [ 1155 ] + } + }, + "LUT3_92": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18797.5-18801.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2972 ], + "I1": [ 1172 ], + "I2": [ 566 ], + "O": [ 515 ] + } + }, + "LUT3_93": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18805.5-18809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1172 ], + "I1": [ 2733 ], + "I2": [ 566 ], + "O": [ 1139 ] + } + }, + "LUT3_94": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18813.5-18817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2731 ], + "I1": [ 528 ], + "I2": [ 2973 ], + "O": [ 1147 ] + } + }, + "LUT3_95": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18821.5-18825.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2973 ], + "I1": [ 1176 ], + "I2": [ 528 ], + "O": [ 559 ] + } + }, + "LUT3_96": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18829.5-18833.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 552 ], + "I1": [ 522 ], + "I2": [ 2728 ], + "O": [ 1173 ] + } + }, + "LUT3_97": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18837.5-18841.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1138 ], + "I1": [ 1136 ], + "I2": [ 556 ], + "O": [ 2974 ] + } + }, + "LUT3_98": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18845.5-18849.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1132 ], + "I1": [ 486 ], + "I2": [ 1152 ], + "O": [ 2975 ] + } + }, + "LUT3_99": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18853.5-18857.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 486 ], + "I1": [ 2737 ], + "I2": [ 1178 ], + "O": [ 1171 ] + } + }, + "LUT3_9a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18861.5-18865.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1154 ], + "I1": [ 516 ], + "I2": [ 449 ], + "O": [ 2976 ] + } + }, + "LUT3_9b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18869.5-18873.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2741 ], + "I1": [ 2735 ], + "I2": [ 546 ], + "O": [ 555 ] + } + }, + "LUT3_9c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18877.5-18881.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1148 ], + "I1": [ 546 ], + "I2": [ 2735 ], + "O": [ 549 ] + } + }, + "LUT3_9d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18885.5-18889.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 506 ], + "I2": [ 2977 ], + "O": [ 2978 ] + } + }, + "LUT3_9e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18893.5-18897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2979 ], + "I1": [ 2980 ], + "I2": [ 1228 ], + "O": [ 1205 ] + } + }, + "LUT3_9f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18901.5-18905.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2979 ], + "I1": [ 1228 ], + "I2": [ 2980 ], + "O": [ 1197 ] + } + }, + "LUT3_a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18909.5-18913.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2118 ], + "I1": [ 2193 ], + "I2": [ 2197 ], + "O": [ 2981 ] + } + }, + "LUT3_a0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18917.5-18921.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1204 ], + "I1": [ 1244 ], + "I2": [ 1190 ], + "O": [ 2982 ] + } + }, + "LUT3_a1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18925.5-18929.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2744 ], + "I1": [ 2745 ], + "I2": [ 2746 ], + "O": [ 1237 ] + } + }, + "LUT3_a2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18933.5-18937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2746 ], + "I1": [ 2745 ], + "I2": [ 2983 ], + "O": [ 1207 ] + } + }, + "LUT3_a3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18941.5-18945.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1250 ], + "I1": [ 1240 ], + "I2": [ 1210 ], + "O": [ 1183 ] + } + }, + "LUT3_a4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18949.5-18953.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2750 ], + "I1": [ 2752 ], + "I2": [ 2984 ], + "O": [ 1247 ] + } + }, + "LUT3_a5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18957.5-18961.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2749 ], + "I1": [ 1196 ], + "I2": [ 2750 ], + "O": [ 1163 ] + } + }, + "LUT3_a6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18965.5-18969.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1208 ], + "I1": [ 2985 ], + "I2": [ 2986 ], + "O": [ 1227 ] + } + }, + "LUT3_a7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18973.5-18977.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2985 ], + "I1": [ 1208 ], + "I2": [ 2986 ], + "O": [ 1187 ] + } + }, + "LUT3_a8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18981.5-18985.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2986 ], + "I1": [ 1208 ], + "I2": [ 2987 ], + "O": [ 1251 ] + } + }, + "LUT3_a9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18989.5-18993.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1202 ], + "I1": [ 1220 ], + "I2": [ 1170 ], + "O": [ 2984 ] + } + }, + "LUT3_aa": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:18997.5-19001.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1220 ], + "I1": [ 1170 ], + "I2": [ 1926 ], + "O": [ 2986 ] + } + }, + "LUT3_ab": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19005.5-19009.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2988 ], + "I1": [ 2989 ], + "I2": [ 2756 ], + "O": [ 1313 ] + } + }, + "LUT3_ac": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19013.5-19017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1264 ], + "I1": [ 1320 ], + "I2": [ 2713 ], + "O": [ 1261 ] + } + }, + "LUT3_ad": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19021.5-19025.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1264 ], + "I1": [ 1320 ], + "I2": [ 2713 ], + "O": [ 2756 ] + } + }, + "LUT3_ae": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19029.5-19033.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2990 ], + "I1": [ 2757 ], + "I2": [ 2758 ], + "O": [ 1317 ] + } + }, + "LUT3_af": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19037.5-19041.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1284 ], + "I1": [ 1314 ], + "I2": [ 1268 ], + "O": [ 2991 ] + } + }, + "LUT3_b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19045.5-19049.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2116 ], + "I1": [ 2770 ], + "I2": [ 2992 ], + "O": [ 2198 ] + } + }, + "LUT3_b0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19053.5-19057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2993 ], + "I1": [ 2994 ], + "I2": [ 2995 ], + "O": [ 1291 ] + } + }, + "LUT3_b1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19061.5-19065.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2995 ], + "I1": [ 2993 ], + "I2": [ 2996 ], + "O": [ 1263 ] + } + }, + "LUT3_b2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19069.5-19073.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2996 ], + "I1": [ 2994 ], + "I2": [ 2997 ], + "O": [ 1321 ] + } + }, + "LUT3_b3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19077.5-19081.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2996 ], + "I1": [ 2994 ], + "I2": [ 2997 ], + "O": [ 2182 ] + } + }, + "LUT3_b4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19085.5-19089.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2761 ], + "I1": [ 2998 ], + "I2": [ 2999 ], + "O": [ 1011 ] + } + }, + "LUT3_b5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19093.5-19097.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3000 ], + "I1": [ 2761 ], + "I2": [ 3001 ], + "O": [ 1353 ] + } + }, + "LUT3_b6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19101.5-19105.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3000 ], + "I1": [ 2761 ], + "I2": [ 3001 ], + "O": [ 1007 ] + } + }, + "LUT3_b7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19109.5-19113.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2159 ], + "I1": [ 3000 ], + "I2": [ 3001 ], + "O": [ 1337 ] + } + }, + "LUT3_b8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19117.5-19121.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1008 ], + "I1": [ 992 ], + "I2": [ 990 ], + "O": [ 3002 ] + } + }, + "LUT3_b9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19125.5-19129.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1302 ], + "I1": [ 1352 ], + "I2": [ 1018 ], + "O": [ 3003 ] + } + }, + "LUT3_ba": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19133.5-19137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1348 ], + "I1": [ 1346 ], + "I2": [ 1344 ], + "O": [ 3004 ] + } + }, + "LUT3_bb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19141.5-19145.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 924 ], + "I1": [ 1408 ], + "I2": [ 956 ], + "O": [ 3005 ] + } + }, + "LUT3_bc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19149.5-19153.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1402 ], + "I1": [ 956 ], + "I2": [ 1398 ], + "O": [ 3006 ] + } + }, + "LUT3_bd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19157.5-19161.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1398 ], + "I1": [ 1402 ], + "I2": [ 924 ], + "O": [ 2771 ] + } + }, + "LUT3_be": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19165.5-19169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 950 ], + "I1": [ 942 ], + "I2": [ 940 ], + "O": [ 3007 ] + } + }, + "LUT3_bf": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19173.5-19177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 932 ], + "I1": [ 1448 ], + "I2": [ 936 ], + "O": [ 3008 ] + } + }, + "LUT3_c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19181.5-19185.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 1192 ], + "I2": [ 2493 ], + "O": [ 2593 ] + } + }, + "LUT3_c0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19189.5-19193.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 960 ], + "I1": [ 1450 ], + "I2": [ 1368 ], + "O": [ 937 ] + } + }, + "LUT3_c1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19197.5-19201.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1452 ], + "I1": [ 1460 ], + "I2": [ 1450 ], + "O": [ 3009 ] + } + }, + "LUT3_c2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19205.5-19209.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1434 ], + "I1": [ 1420 ], + "I2": [ 3010 ], + "O": [ 939 ] + } + }, + "LUT3_c3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19213.5-19217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1418 ], + "I1": [ 1370 ], + "I2": [ 1364 ], + "O": [ 3011 ] + } + }, + "LUT3_c4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19221.5-19225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1392 ], + "I1": [ 1364 ], + "I2": [ 1370 ], + "O": [ 3012 ] + } + }, + "LUT3_c5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19229.5-19233.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3013 ], + "I1": [ 3014 ], + "I2": [ 3015 ], + "O": [ 965 ] + } + }, + "LUT3_c6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19237.5-19241.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3016 ], + "I1": [ 3015 ], + "I2": [ 3013 ], + "O": [ 1387 ] + } + }, + "LUT3_c7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19245.5-19249.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1376 ], + "I1": [ 1354 ], + "I2": [ 1340 ], + "O": [ 3017 ] + } + }, + "LUT3_c8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19253.5-19257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1334 ], + "I1": [ 1358 ], + "I2": [ 1004 ], + "O": [ 2787 ] + } + }, + "LUT3_c9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19261.5-19265.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1388 ], + "I1": [ 1356 ], + "I2": [ 1384 ], + "O": [ 3018 ] + } + }, + "LUT3_ca": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19269.5-19273.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1388 ], + "I1": [ 1356 ], + "I2": [ 1384 ], + "O": [ 3019 ] + } + }, + "LUT3_cb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19277.5-19281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2786 ], + "I1": [ 2787 ], + "I2": [ 1358 ], + "O": [ 1389 ] + } + }, + "LUT3_cc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19285.5-19289.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1036 ], + "I1": [ 1052 ], + "I2": [ 998 ], + "O": [ 3020 ] + } + }, + "LUT3_cd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19293.5-19297.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1042 ], + "I1": [ 1028 ], + "I2": [ 1048 ], + "O": [ 2791 ] + } + }, + "LUT3_ce": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19301.5-19305.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1042 ], + "I1": [ 1028 ], + "I2": [ 1048 ], + "O": [ 3021 ] + } + }, + "LUT3_cf": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19309.5-19313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2790 ], + "I1": [ 2791 ], + "I2": [ 3022 ], + "O": [ 1045 ] + } + }, + "LUT3_d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19317.5-19321.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3023 ], + "I1": [ 2576 ], + "I2": [ 3024 ], + "O": [ 3025 ] + } + }, + "LUT3_d0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19325.5-19329.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2791 ], + "I1": [ 3020 ], + "I2": [ 3022 ], + "O": [ 999 ] + } + }, + "LUT3_d1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19333.5-19337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3026 ], + "I1": [ 1296 ], + "I2": [ 3027 ], + "O": [ 1349 ] + } + }, + "LUT3_d2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19341.5-19345.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1026 ], + "I1": [ 1070 ], + "I2": [ 449 ], + "O": [ 997 ] + } + }, + "LUT3_d3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19349.5-19353.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1026 ], + "I1": [ 1038 ], + "I2": [ 2159 ], + "O": [ 2798 ] + } + }, + "LUT3_d4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19357.5-19361.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1306 ], + "I1": [ 1038 ], + "I2": [ 1026 ], + "O": [ 3028 ] + } + }, + "LUT3_d5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19365.5-19369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2164 ], + "I1": [ 1044 ], + "I2": [ 3029 ], + "O": [ 1341 ] + } + }, + "LUT3_d6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19373.5-19377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1044 ], + "I1": [ 2162 ], + "I2": [ 996 ], + "O": [ 3030 ] + } + }, + "LUT3_d7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19381.5-19385.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1016 ], + "I2": [ 1046 ], + "O": [ 2797 ] + } + }, + "LUT3_d8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19389.5-19393.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2796 ], + "I1": [ 2797 ], + "I2": [ 3031 ], + "O": [ 1303 ] + } + }, + "LUT3_d9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19397.5-19401.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2798 ], + "I1": [ 3032 ], + "I2": [ 2792 ], + "O": [ 1071 ] + } + }, + "LUT3_da": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19405.5-19409.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1083 ], + "I1": [ 1108 ], + "I2": [ 2167 ], + "O": [ 3033 ] + } + }, + "LUT3_db": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19413.5-19417.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 2167 ], + "I2": [ 446 ], + "O": [ 3034 ] + } + }, + "LUT3_dc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19421.5-19425.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1834 ], + "I1": [ 1830 ], + "I2": [ 2806 ], + "O": [ 3035 ] + } + }, + "LUT3_dd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19429.5-19433.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1828 ], + "I1": [ 1694 ], + "I2": [ 1808 ], + "O": [ 3036 ] + } + }, + "LUT3_de": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19437.5-19441.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3037 ], + "I1": [ 2807 ], + "I2": [ 3038 ], + "O": [ 1827 ] + } + }, + "LUT3_df": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19445.5-19449.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3037 ], + "I1": [ 2807 ], + "I2": [ 3038 ], + "O": [ 1673 ] + } + }, + "LUT3_e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19453.5-19457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1192 ], + "I1": [ 3039 ], + "I2": [ 442 ], + "O": [ 1157 ] + } + }, + "LUT3_e0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19461.5-19465.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1678 ], + "I1": [ 2806 ], + "I2": [ 3040 ], + "O": [ 1097 ] + } + }, + "LUT3_e1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19469.5-19473.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 2812 ], + "I2": [ 1784 ], + "O": [ 1783 ] + } + }, + "LUT3_e2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19477.5-19481.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1782 ], + "I1": [ 1832 ], + "I2": [ 2812 ], + "O": [ 1701 ] + } + }, + "LUT3_e3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19485.5-19489.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1684 ], + "I1": [ 2813 ], + "I2": [ 1832 ], + "O": [ 2804 ] + } + }, + "LUT3_e4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19493.5-19497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1102 ], + "I1": [ 1682 ], + "I2": [ 1702 ], + "O": [ 1727 ] + } + }, + "LUT3_e5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19501.5-19505.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1702 ], + "I1": [ 1682 ], + "I2": [ 1726 ], + "O": [ 3041 ] + } + }, + "LUT3_e6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19509.5-19513.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2816 ], + "I1": [ 2815 ], + "I2": [ 3042 ], + "O": [ 1731 ] + } + }, + "LUT3_e7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19517.5-19521.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2817 ], + "I1": [ 1796 ], + "I2": [ 1788 ], + "O": [ 1785 ] + } + }, + "LUT3_e8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19525.5-19529.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2817 ], + "I1": [ 1788 ], + "I2": [ 1796 ], + "O": [ 1743 ] + } + }, + "LUT3_e9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19533.5-19537.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1788 ], + "I1": [ 2818 ], + "I2": [ 3043 ], + "O": [ 1793 ] + } + }, + "LUT3_ea": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19541.5-19545.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1744 ], + "I1": [ 1756 ], + "I2": [ 1768 ], + "O": [ 3044 ] + } + }, + "LUT3_eb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19549.5-19553.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1768 ], + "I1": [ 1752 ], + "I2": [ 1712 ], + "O": [ 2818 ] + } + }, + "LUT3_ec": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19557.5-19561.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3045 ], + "I1": [ 3046 ], + "I2": [ 1748 ], + "O": [ 1763 ] + } + }, + "LUT3_ed": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19565.5-19569.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3046 ], + "I1": [ 2821 ], + "I2": [ 1748 ], + "O": [ 1735 ] + } + }, + "LUT3_ee": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19573.5-19577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3047 ], + "I1": [ 3048 ], + "I2": [ 2822 ], + "O": [ 1757 ] + } + }, + "LUT3_ef": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19581.5-19585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2824 ], + "I1": [ 1714 ], + "I2": [ 2823 ], + "O": [ 1707 ] + } + }, + "LUT3_f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19589.5-19593.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2487 ], + "I1": [ 2181 ], + "I2": [ 782 ], + "O": [ 2597 ] + } + }, + "LUT3_f0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19597.5-19601.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1794 ], + "I1": [ 1754 ], + "I2": [ 1786 ], + "O": [ 3046 ] + } + }, + "LUT3_f1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19605.5-19609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1754 ], + "I1": [ 1722 ], + "I2": [ 1786 ], + "O": [ 2823 ] + } + }, + "LUT3_f2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19613.5-19617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1800 ], + "I1": [ 1706 ], + "I2": [ 1774 ], + "O": [ 2822 ] + } + }, + "LUT3_f3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19621.5-19625.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1706 ], + "I1": [ 1716 ], + "I2": [ 1774 ], + "O": [ 2821 ] + } + }, + "LUT3_f4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19629.5-19633.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2820 ], + "I1": [ 3049 ], + "I2": [ 3050 ], + "O": [ 1725 ] + } + }, + "LUT3_f5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19637.5-19641.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2813 ], + "I1": [ 1066 ], + "I2": [ 3051 ], + "O": [ 3052 ] + } + }, + "LUT3_f6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19645.5-19649.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2813 ], + "I1": [ 1066 ], + "I2": [ 1104 ], + "O": [ 3053 ] + } + }, + "LUT3_f7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19653.5-19657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1812 ], + "I1": [ 1098 ], + "I2": [ 446 ], + "O": [ 3054 ] + } + }, + "LUT3_f8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19661.5-19665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 1098 ], + "I2": [ 446 ], + "O": [ 3055 ] + } + }, + "LUT3_f9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19669.5-19673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1864 ], + "I1": [ 1862 ], + "I2": [ 3056 ], + "O": [ 1889 ] + } + }, + "LUT3_fa": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19677.5-19681.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2833 ], + "I1": [ 2832 ], + "I2": [ 1848 ], + "O": [ 1895 ] + } + }, + "LUT3_fb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19685.5-19689.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2833 ], + "I1": [ 2832 ], + "I2": [ 1848 ], + "O": [ 1849 ] + } + }, + "LUT3_fc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19693.5-19697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1088 ], + "I1": [ 1086 ], + "I2": [ 1104 ], + "O": [ 3057 ] + } + }, + "LUT3_fd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19701.5-19705.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1878 ], + "I1": [ 1882 ], + "I2": [ 1086 ], + "O": [ 3058 ] + } + }, + "LUT3_fe": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19709.5-19713.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1108 ], + "I2": [ 1896 ], + "O": [ 3059 ] + } + }, + "LUT3_ff": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19717.5-19721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 1818 ], + "I2": [ 1896 ], + "O": [ 3060 ] + } + }, + "LUT4_0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19725.5-19730.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2010 ], + "I1": [ 1930 ], + "I2": [ 2241 ], + "I3": [ 165 ], + "O": [ 2913 ] + } + }, + "LUT4_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19734.5-19739.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 302 ], + "I1": [ 2139 ], + "I2": [ 2010 ], + "I3": [ 2197 ], + "O": [ 2914 ] + } + }, + "LUT4_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19743.5-19748.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3023 ], + "I1": [ 2576 ], + "I2": [ 3024 ], + "I3": [ 2562 ], + "O": [ 2492 ] + } + }, + "LUT4_100": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19752.5-19757.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1846 ], + "I1": [ 2839 ], + "I2": [ 1866 ], + "I3": [ 3061 ], + "O": [ 1815 ] + } + }, + "LUT4_101": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19761.5-19766.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 490 ], + "I1": [ 448 ], + "I2": [ 200 ], + "I3": [ 462 ], + "O": [ 3062 ] + } + }, + "LUT4_102": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19770.5-19775.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2168 ], + "I1": [ 448 ], + "I2": [ 460 ], + "I3": [ 1296 ], + "O": [ 469 ] + } + }, + "LUT4_103": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19779.5-19784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2172 ], + "I1": [ 2187 ], + "I2": [ 2171 ], + "I3": [ 2257 ], + "O": [ 3063 ] + } + }, + "LUT4_104": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19788.5-19793.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2849 ], + "I1": [ 2550 ], + "I2": [ 2551 ], + "I3": [ 2848 ], + "O": [ 439 ] + } + }, + "LUT4_105": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19797.5-19802.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2849 ], + "I1": [ 2550 ], + "I2": [ 2551 ], + "I3": [ 2848 ], + "O": [ 2258 ] + } + }, + "LUT4_106": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19806.5-19811.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 1920 ], + "I2": [ 1956 ], + "I3": [ 1938 ], + "O": [ 1917 ] + } + }, + "LUT4_107": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19815.5-19820.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2102 ], + "I1": [ 1964 ], + "I2": [ 2070 ], + "I3": [ 1966 ], + "O": [ 2845 ] + } + }, + "LUT4_108": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19824.5-19829.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2852 ], + "I1": [ 1917 ], + "I2": [ 2850 ], + "I3": [ 2553 ], + "O": [ 2001 ] + } + }, + "LUT4_109": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19833.5-19838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1917 ], + "I1": [ 2850 ], + "I2": [ 1954 ], + "I3": [ 2852 ], + "O": [ 1971 ] + } + }, + "LUT4_10a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19842.5-19847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1594 ], + "I1": [ 2100 ], + "I2": [ 2147 ], + "I3": [ 1934 ], + "O": [ 2557 ] + } + }, + "LUT4_10b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19851.5-19856.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2145 ], + "I1": [ 1954 ], + "I2": [ 1962 ], + "I3": [ 1594 ], + "O": [ 3064 ] + } + }, + "LUT4_10c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19860.5-19865.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2068 ], + "I1": [ 3065 ], + "I2": [ 1570 ], + "I3": [ 3066 ], + "O": [ 1945 ] + } + }, + "LUT4_10d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19869.5-19874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 1570 ], + "I2": [ 1994 ], + "I3": [ 2068 ], + "O": [ 3067 ] + } + }, + "LUT4_10e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19878.5-19883.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1994 ], + "I1": [ 1588 ], + "I2": [ 2068 ], + "I3": [ 1570 ], + "O": [ 2003 ] + } + }, + "LUT4_10f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19887.5-19892.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1994 ], + "I1": [ 1588 ], + "I2": [ 2068 ], + "I3": [ 1570 ], + "O": [ 3068 ] + } + }, + "LUT4_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19896.5-19901.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 612 ], + "I1": [ 2485 ], + "I2": [ 2491 ], + "I3": [ 336 ], + "O": [ 3069 ] + } + }, + "LUT4_110": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19905.5-19910.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1978 ], + "I1": [ 1980 ], + "I2": [ 1996 ], + "I3": [ 2145 ], + "O": [ 1981 ] + } + }, + "LUT4_111": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19914.5-19919.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2004 ], + "I1": [ 2145 ], + "I2": [ 1990 ], + "I3": [ 1948 ], + "O": [ 3065 ] + } + }, + "LUT4_112": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19923.5-19928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1486 ], + "I1": [ 1536 ], + "I2": [ 1570 ], + "I3": [ 1974 ], + "O": [ 3070 ] + } + }, + "LUT4_113": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19932.5-19937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1486 ], + "I1": [ 1570 ], + "I2": [ 1974 ], + "I3": [ 1536 ], + "O": [ 3071 ] + } + }, + "LUT4_114": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19941.5-19946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1506 ], + "I1": [ 1520 ], + "I2": [ 1516 ], + "I3": [ 3072 ], + "O": [ 1553 ] + } + }, + "LUT4_115": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19950.5-19955.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2567 ], + "I1": [ 3073 ], + "I2": [ 1560 ], + "I3": [ 2568 ], + "O": [ 1491 ] + } + }, + "LUT4_116": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19959.5-19964.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2567 ], + "I1": [ 3073 ], + "I2": [ 1560 ], + "I3": [ 2568 ], + "O": [ 1507 ] + } + }, + "LUT4_117": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19968.5-19973.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 1564 ], + "I2": [ 1530 ], + "I3": [ 2567 ], + "O": [ 1503 ] + } + }, + "LUT4_118": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19977.5-19982.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 2864 ], + "I2": [ 1558 ], + "I3": [ 3074 ], + "O": [ 1473 ] + } + }, + "LUT4_119": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19986.5-19991.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 2865 ], + "I2": [ 3075 ], + "I3": [ 1552 ], + "O": [ 1493 ] + } + }, + "LUT4_11a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:19995.5-20000.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2572 ], + "I1": [ 2571 ], + "I2": [ 3076 ], + "I3": [ 2870 ], + "O": [ 1613 ] + } + }, + "LUT4_11b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20004.5-20009.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2572 ], + "I1": [ 2571 ], + "I2": [ 2575 ], + "I3": [ 3076 ], + "O": [ 1579 ] + } + }, + "LUT4_11c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20013.5-20018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1620 ], + "I1": [ 1624 ], + "I2": [ 1592 ], + "I3": [ 1626 ], + "O": [ 3076 ] + } + }, + "LUT4_11d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20022.5-20027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1592 ], + "I1": [ 1624 ], + "I2": [ 1620 ], + "I3": [ 1626 ], + "O": [ 2868 ] + } + }, + "LUT4_11e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20031.5-20036.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3077 ], + "I1": [ 2147 ], + "I2": [ 1604 ], + "I3": [ 1622 ], + "O": [ 1599 ] + } + }, + "LUT4_11f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20040.5-20045.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1612 ], + "I1": [ 1582 ], + "I2": [ 1594 ], + "I3": [ 1608 ], + "O": [ 2580 ] + } + }, + "LUT4_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20049.5-20054.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1192 ], + "I1": [ 3039 ], + "I2": [ 442 ], + "I3": [ 408 ], + "O": [ 2490 ] + } + }, + "LUT4_120": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20058.5-20063.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1608 ], + "I1": [ 3078 ], + "I2": [ 2871 ], + "I3": [ 1586 ], + "O": [ 1569 ] + } + }, + "LUT4_121": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20067.5-20072.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2871 ], + "I1": [ 1586 ], + "I2": [ 1608 ], + "I3": [ 3078 ], + "O": [ 1625 ] + } + }, + "LUT4_122": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20076.5-20081.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1586 ], + "I1": [ 2580 ], + "I2": [ 2581 ], + "I3": [ 2871 ], + "O": [ 1619 ] + } + }, + "LUT4_123": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20085.5-20090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 1650 ], + "I2": [ 1606 ], + "I3": [ 1580 ], + "O": [ 3079 ] + } + }, + "LUT4_124": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20094.5-20099.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 1610 ], + "I2": [ 1650 ], + "I3": [ 1652 ], + "O": [ 3080 ] + } + }, + "LUT4_125": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20103.5-20108.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1606 ], + "I1": [ 1972 ], + "I2": [ 1650 ], + "I3": [ 1596 ], + "O": [ 3081 ] + } + }, + "LUT4_126": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20112.5-20117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2062 ], + "I1": [ 2147 ], + "I2": [ 1648 ], + "I3": [ 1970 ], + "O": [ 1641 ] + } + }, + "LUT4_127": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20121.5-20126.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1970 ], + "I1": [ 2084 ], + "I2": [ 2062 ], + "I3": [ 1648 ], + "O": [ 2059 ] + } + }, + "LUT4_128": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20130.5-20135.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2582 ], + "I1": [ 2074 ], + "I2": [ 1944 ], + "I3": [ 2082 ], + "O": [ 3082 ] + } + }, + "LUT4_129": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20139.5-20144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 2080 ], + "I2": [ 2582 ], + "I3": [ 2074 ], + "O": [ 3083 ] + } + }, + "LUT4_12a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20148.5-20153.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1944 ], + "I1": [ 1590 ], + "I2": [ 2078 ], + "I3": [ 2080 ], + "O": [ 3084 ] + } + }, + "LUT4_12b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20157.5-20162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2586 ], + "I1": [ 2584 ], + "I2": [ 2874 ], + "I3": [ 3085 ], + "O": [ 1661 ] + } + }, + "LUT4_12c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20166.5-20171.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2589 ], + "I1": [ 2591 ], + "I2": [ 2590 ], + "I3": [ 3086 ], + "O": [ 2083 ] + } + }, + "LUT4_12d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20175.5-20180.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2591 ], + "I1": [ 2589 ], + "I2": [ 3087 ], + "I3": [ 2590 ], + "O": [ 2081 ] + } + }, + "LUT4_12e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20184.5-20189.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1928 ], + "I1": [ 2875 ], + "I2": [ 2147 ], + "I3": [ 2592 ], + "O": [ 1935 ] + } + }, + "LUT4_12f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20193.5-20198.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1928 ], + "I1": [ 2875 ], + "I2": [ 2147 ], + "I3": [ 2592 ], + "O": [ 1909 ] + } + }, + "LUT4_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20202.5-20207.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1942 ], + "I1": [ 2479 ], + "I2": [ 1396 ], + "I3": [ 3088 ], + "O": [ 1907 ] + } + }, + "LUT4_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20211.5-20216.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1942 ], + "I1": [ 2479 ], + "I2": [ 1396 ], + "I3": [ 3088 ], + "O": [ 2454 ] + } + }, + "LUT4_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20220.5-20225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1738 ], + "I1": [ 1636 ], + "I2": [ 1908 ], + "I3": [ 1534 ], + "O": [ 3089 ] + } + }, + "LUT4_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20229.5-20234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 2092 ], + "I2": [ 2467 ], + "I3": [ 2471 ], + "O": [ 2609 ] + } + }, + "LUT4_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20238.5-20243.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 2092 ], + "I2": [ 2467 ], + "I3": [ 2471 ], + "O": [ 2610 ] + } + }, + "LUT4_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20247.5-20252.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1806 ], + "I1": [ 2469 ], + "I2": [ 2092 ], + "I3": [ 1636 ], + "O": [ 2882 ] + } + }, + "LUT4_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20256.5-20261.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1806 ], + "I1": [ 2469 ], + "I2": [ 2092 ], + "I3": [ 1636 ], + "O": [ 2881 ] + } + }, + "LUT4_1a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20265.5-20270.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2880 ], + "I1": [ 2881 ], + "I2": [ 2879 ], + "I3": [ 3090 ], + "O": [ 1567 ] + } + }, + "LUT4_1b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20274.5-20279.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2415 ], + "I1": [ 2311 ], + "I2": [ 2387 ], + "I3": [ 2463 ], + "O": [ 3091 ] + } + }, + "LUT4_1c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20283.5-20288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2419 ], + "I1": [ 2311 ], + "I2": [ 2411 ], + "I3": [ 2046 ], + "O": [ 3092 ] + } + }, + "LUT4_1d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20292.5-20297.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2613 ], + "I1": [ 2092 ], + "I2": [ 2612 ], + "I3": [ 2887 ], + "O": [ 2394 ] + } + }, + "LUT4_1e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20301.5-20306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2383 ], + "I2": [ 2050 ], + "I3": [ 2611 ], + "O": [ 2418 ] + } + }, + "LUT4_1f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20310.5-20315.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2393 ], + "I1": [ 2615 ], + "I2": [ 2614 ], + "I3": [ 2417 ], + "O": [ 2055 ] + } + }, + "LUT4_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20319.5-20324.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2842 ], + "I1": [ 2197 ], + "I2": [ 2195 ], + "I3": [ 2193 ], + "O": [ 3093 ] + } + }, + "LUT4_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20328.5-20333.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2417 ], + "I1": [ 2393 ], + "I2": [ 2614 ], + "I3": [ 2615 ], + "O": [ 2426 ] + } + }, + "LUT4_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20337.5-20342.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2381 ], + "I1": [ 2395 ], + "I2": [ 2890 ], + "I3": [ 2889 ], + "O": [ 2382 ] + } + }, + "LUT4_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20346.5-20351.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2889 ], + "I1": [ 2381 ], + "I2": [ 2890 ], + "I3": [ 2395 ], + "O": [ 2410 ] + } + }, + "LUT4_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20355.5-20360.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 2427 ], + "I2": [ 2054 ], + "I3": [ 2381 ], + "O": [ 3094 ] + } + }, + "LUT4_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20364.5-20369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2401 ], + "I1": [ 2405 ], + "I2": [ 2427 ], + "I3": [ 2056 ], + "O": [ 2434 ] + } + }, + "LUT4_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20373.5-20378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2897 ], + "I1": [ 2345 ], + "I2": [ 2175 ], + "I3": [ 2463 ], + "O": [ 2113 ] + } + }, + "LUT4_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20382.5-20387.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2243 ], + "I1": [ 2345 ], + "I2": [ 2175 ], + "I3": [ 2463 ], + "O": [ 3095 ] + } + }, + "LUT4_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20391.5-20396.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1568 ], + "I1": [ 2141 ], + "I2": [ 2465 ], + "I3": [ 2898 ], + "O": [ 3096 ] + } + }, + "LUT4_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20400.5-20405.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1976 ], + "I1": [ 2465 ], + "I2": [ 2467 ], + "I3": [ 3097 ], + "O": [ 1465 ] + } + }, + "LUT4_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20409.5-20414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1976 ], + "I1": [ 2114 ], + "I2": [ 2467 ], + "I3": [ 2465 ], + "O": [ 3098 ] + } + }, + "LUT4_2a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20418.5-20423.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2277 ], + "I1": [ 1568 ], + "I2": [ 2465 ], + "I3": [ 1500 ], + "O": [ 3099 ] + } + }, + "LUT4_2b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20427.5-20432.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2277 ], + "I1": [ 1568 ], + "I2": [ 2465 ], + "I3": [ 1500 ], + "O": [ 3100 ] + } + }, + "LUT4_2c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20436.5-20441.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 510 ], + "I1": [ 666 ], + "I2": [ 374 ], + "I3": [ 1328 ], + "O": [ 3101 ] + } + }, + "LUT4_2d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20445.5-20450.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2505 ], + "I1": [ 2503 ], + "I2": [ 1328 ], + "I3": [ 374 ], + "O": [ 3102 ] + } + }, + "LUT4_2e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20454.5-20459.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2451 ], + "I1": [ 2511 ], + "I2": [ 2457 ], + "I3": [ 1362 ], + "O": [ 3103 ] + } + }, + "LUT4_2f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20463.5-20468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2627 ], + "I1": [ 2622 ], + "I2": [ 2901 ], + "I3": [ 1294 ], + "O": [ 2504 ] + } + }, + "LUT4_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20472.5-20477.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2842 ], + "I1": [ 2139 ], + "I2": [ 2010 ], + "I3": [ 2841 ], + "O": [ 2624 ] + } + }, + "LUT4_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20481.5-20486.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2507 ], + "I1": [ 2626 ], + "I2": [ 2623 ], + "I3": [ 2622 ], + "O": [ 1225 ] + } + }, + "LUT4_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20490.5-20495.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2626 ], + "I1": [ 2627 ], + "I2": [ 2623 ], + "I3": [ 2507 ], + "O": [ 3104 ] + } + }, + "LUT4_32": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20499.5-20504.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2627 ], + "I1": [ 2623 ], + "I2": [ 2507 ], + "I3": [ 2626 ], + "O": [ 1021 ] + } + }, + "LUT4_33": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20508.5-20513.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1294 ], + "I1": [ 2501 ], + "I2": [ 1158 ], + "I3": [ 2507 ], + "O": [ 3105 ] + } + }, + "LUT4_34": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20517.5-20522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1294 ], + "I1": [ 2501 ], + "I2": [ 1158 ], + "I3": [ 2507 ], + "O": [ 3106 ] + } + }, + "LUT4_35": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20526.5-20531.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2594 ], + "I1": [ 2598 ], + "I2": [ 2535 ], + "I3": [ 2564 ], + "O": [ 815 ] + } + }, + "LUT4_36": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20535.5-20540.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2265 ], + "I1": [ 2267 ], + "I2": [ 2235 ], + "I3": [ 2233 ], + "O": [ 3107 ] + } + }, + "LUT4_37": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20544.5-20549.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 426 ], + "I1": [ 612 ], + "I2": [ 2233 ], + "I3": [ 2235 ], + "O": [ 3108 ] + } + }, + "LUT4_38": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20553.5-20558.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2233 ], + "I1": [ 426 ], + "I2": [ 2235 ], + "I3": [ 612 ], + "O": [ 3109 ] + } + }, + "LUT4_39": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20562.5-20567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 436 ], + "I2": [ 2533 ], + "I3": [ 680 ], + "O": [ 3110 ] + } + }, + "LUT4_3a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20571.5-20576.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 184 ], + "I1": [ 172 ], + "I2": [ 170 ], + "I3": [ 168 ], + "O": [ 197 ] + } + }, + "LUT4_3b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20580.5-20585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 226 ], + "I1": [ 358 ], + "I2": [ 222 ], + "I3": [ 220 ], + "O": [ 2644 ] + } + }, + "LUT4_3c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20589.5-20594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 184 ], + "I1": [ 2521 ], + "I2": [ 2519 ], + "I3": [ 2105 ], + "O": [ 3111 ] + } + }, + "LUT4_3d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20598.5-20603.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2153 ], + "I1": [ 224 ], + "I2": [ 198 ], + "I3": [ 2103 ], + "O": [ 2639 ] + } + }, + "LUT4_3e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20607.5-20612.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2909 ], + "I1": [ 2635 ], + "I2": [ 2636 ], + "I3": [ 2908 ], + "O": [ 227 ] + } + }, + "LUT4_3f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20616.5-20621.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2638 ], + "I1": [ 198 ], + "I2": [ 2909 ], + "I3": [ 2908 ], + "O": [ 2524 ] + } + }, + "LUT4_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20625.5-20630.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2624 ], + "I1": [ 3093 ], + "I2": [ 2914 ], + "I3": [ 2913 ], + "O": [ 2260 ] + } + }, + "LUT4_40": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20634.5-20639.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2643 ], + "I1": [ 3111 ], + "I2": [ 2631 ], + "I3": [ 2644 ], + "O": [ 195 ] + } + }, + "LUT4_41": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20643.5-20648.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2642 ], + "I1": [ 2631 ], + "I2": [ 2643 ], + "I3": [ 3111 ], + "O": [ 217 ] + } + }, + "LUT4_42": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20652.5-20657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2642 ], + "I1": [ 2643 ], + "I2": [ 3111 ], + "I3": [ 2631 ], + "O": [ 177 ] + } + }, + "LUT4_43": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20661.5-20666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 232 ], + "I1": [ 350 ], + "I2": [ 680 ], + "I3": [ 2527 ], + "O": [ 3112 ] + } + }, + "LUT4_44": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20670.5-20675.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 300 ], + "I1": [ 320 ], + "I2": [ 250 ], + "I3": [ 284 ], + "O": [ 3113 ] + } + }, + "LUT4_45": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20679.5-20684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 300 ], + "I1": [ 320 ], + "I2": [ 250 ], + "I3": [ 284 ], + "O": [ 2655 ] + } + }, + "LUT4_46": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20688.5-20693.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 274 ], + "I1": [ 2917 ], + "I2": [ 2654 ], + "I3": [ 286 ], + "O": [ 295 ] + } + }, + "LUT4_47": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20697.5-20702.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2655 ], + "I1": [ 236 ], + "I2": [ 2917 ], + "I3": [ 274 ], + "O": [ 241 ] + } + }, + "LUT4_48": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20706.5-20711.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3114 ], + "I1": [ 276 ], + "I2": [ 326 ], + "I3": [ 238 ], + "O": [ 253 ] + } + }, + "LUT4_49": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20715.5-20720.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 276 ], + "I1": [ 3114 ], + "I2": [ 238 ], + "I3": [ 326 ], + "O": [ 321 ] + } + }, + "LUT4_4a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20724.5-20729.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 276 ], + "I1": [ 3114 ], + "I2": [ 238 ], + "I3": [ 326 ], + "O": [ 299 ] + } + }, + "LUT4_4b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20733.5-20738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2657 ], + "I1": [ 2656 ], + "I2": [ 298 ], + "I3": [ 316 ], + "O": [ 263 ] + } + }, + "LUT4_4c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20742.5-20747.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 322 ], + "I1": [ 262 ], + "I2": [ 292 ], + "I3": [ 266 ], + "O": [ 2664 ] + } + }, + "LUT4_4d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20751.5-20756.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 296 ], + "I1": [ 332 ], + "I2": [ 2525 ], + "I3": [ 242 ], + "O": [ 3115 ] + } + }, + "LUT4_4e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20760.5-20765.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 278 ], + "I1": [ 280 ], + "I2": [ 2525 ], + "I3": [ 296 ], + "O": [ 3116 ] + } + }, + "LUT4_4f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20769.5-20774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2663 ], + "I1": [ 2920 ], + "I2": [ 2664 ], + "I3": [ 3115 ], + "O": [ 271 ] + } + }, + "LUT4_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20778.5-20783.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 2624 ], + "I2": [ 2840 ], + "I3": [ 2608 ], + "O": [ 2262 ] + } + }, + "LUT4_50": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20787.5-20792.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 308 ], + "I1": [ 314 ], + "I2": [ 2922 ], + "I3": [ 334 ], + "O": [ 259 ] + } + }, + "LUT4_51": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20796.5-20801.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 304 ], + "I1": [ 306 ], + "I2": [ 256 ], + "I3": [ 2923 ], + "O": [ 201 ] + } + }, + "LUT4_52": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20805.5-20810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2923 ], + "I1": [ 304 ], + "I2": [ 306 ], + "I3": [ 256 ], + "O": [ 303 ] + } + }, + "LUT4_53": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20814.5-20819.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 312 ], + "I1": [ 354 ], + "I2": [ 314 ], + "I3": [ 212 ], + "O": [ 3117 ] + } + }, + "LUT4_54": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20823.5-20828.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2665 ], + "I1": [ 624 ], + "I2": [ 3118 ], + "I3": [ 622 ], + "O": [ 3119 ] + } + }, + "LUT4_55": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20832.5-20837.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 364 ], + "I1": [ 342 ], + "I2": [ 616 ], + "I3": [ 600 ], + "O": [ 2667 ] + } + }, + "LUT4_56": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20841.5-20846.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3120 ], + "I1": [ 2668 ], + "I2": [ 2926 ], + "I3": [ 3121 ], + "O": [ 591 ] + } + }, + "LUT4_57": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20850.5-20855.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 348 ], + "I1": [ 2667 ], + "I2": [ 2669 ], + "I3": [ 3122 ], + "O": [ 619 ] + } + }, + "LUT4_58": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20859.5-20864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 626 ], + "I1": [ 598 ], + "I2": [ 638 ], + "I3": [ 628 ], + "O": [ 2671 ] + } + }, + "LUT4_59": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20868.5-20873.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 638 ], + "I1": [ 628 ], + "I2": [ 626 ], + "I3": [ 598 ], + "O": [ 3123 ] + } + }, + "LUT4_5a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20877.5-20882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 636 ], + "I1": [ 582 ], + "I2": [ 3124 ], + "I3": [ 3125 ], + "O": [ 579 ] + } + }, + "LUT4_5b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20886.5-20891.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2545 ], + "I1": [ 604 ], + "I2": [ 634 ], + "I3": [ 3126 ], + "O": [ 345 ] + } + }, + "LUT4_5c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20895.5-20900.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 598 ], + "I1": [ 592 ], + "I2": [ 610 ], + "I3": [ 2933 ], + "O": [ 643 ] + } + }, + "LUT4_5d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20904.5-20909.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 598 ], + "I1": [ 610 ], + "I2": [ 582 ], + "I3": [ 592 ], + "O": [ 3127 ] + } + }, + "LUT4_5e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20913.5-20918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 598 ], + "I1": [ 610 ], + "I2": [ 582 ], + "I3": [ 592 ], + "O": [ 2930 ] + } + }, + "LUT4_5f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20922.5-20927.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 604 ], + "I1": [ 634 ], + "I2": [ 3127 ], + "I3": [ 636 ], + "O": [ 627 ] + } + }, + "LUT4_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20931.5-20936.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2900 ], + "I1": [ 2913 ], + "I2": [ 2924 ], + "I3": [ 3128 ], + "O": [ 2268 ] + } + }, + "LUT4_60": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20940.5-20945.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 668 ], + "I1": [ 2683 ], + "I2": [ 702 ], + "I3": [ 708 ], + "O": [ 649 ] + } + }, + "LUT4_61": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20949.5-20954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 710 ], + "I1": [ 652 ], + "I2": [ 694 ], + "I3": [ 668 ], + "O": [ 3129 ] + } + }, + "LUT4_62": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20958.5-20963.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 710 ], + "I1": [ 652 ], + "I2": [ 694 ], + "I3": [ 668 ], + "O": [ 3130 ] + } + }, + "LUT4_63": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20967.5-20972.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 698 ], + "I1": [ 728 ], + "I2": [ 736 ], + "I3": [ 746 ], + "O": [ 3131 ] + } + }, + "LUT4_64": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20976.5-20981.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 670 ], + "I1": [ 704 ], + "I2": [ 698 ], + "I3": [ 744 ], + "O": [ 3132 ] + } + }, + "LUT4_65": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20985.5-20990.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 654 ], + "I1": [ 2683 ], + "I2": [ 682 ], + "I3": [ 736 ], + "O": [ 3133 ] + } + }, + "LUT4_66": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:20994.5-20999.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 654 ], + "I1": [ 728 ], + "I2": [ 2683 ], + "I3": [ 744 ], + "O": [ 3134 ] + } + }, + "LUT4_67": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21003.5-21008.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2682 ], + "I1": [ 2680 ], + "I2": [ 2939 ], + "I3": [ 3135 ], + "O": [ 711 ] + } + }, + "LUT4_68": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21012.5-21017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 670 ], + "I2": [ 734 ], + "I3": [ 730 ], + "O": [ 745 ] + } + }, + "LUT4_69": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21021.5-21026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 790 ], + "I1": [ 876 ], + "I2": [ 836 ], + "I3": [ 818 ], + "O": [ 3136 ] + } + }, + "LUT4_6a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21030.5-21035.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 832 ], + "I1": [ 810 ], + "I2": [ 790 ], + "I3": [ 820 ], + "O": [ 833 ] + } + }, + "LUT4_6b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21039.5-21044.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 790 ], + "I1": [ 876 ], + "I2": [ 810 ], + "I3": [ 832 ], + "O": [ 2944 ] + } + }, + "LUT4_6c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21048.5-21053.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 790 ], + "I1": [ 810 ], + "I2": [ 876 ], + "I3": [ 832 ], + "O": [ 3137 ] + } + }, + "LUT4_6d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21057.5-21062.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2942 ], + "I1": [ 2940 ], + "I2": [ 2943 ], + "I3": [ 2941 ], + "O": [ 785 ] + } + }, + "LUT4_6e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21066.5-21071.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2690 ], + "I1": [ 2942 ], + "I2": [ 2943 ], + "I3": [ 2940 ], + "O": [ 873 ] + } + }, + "LUT4_6f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21075.5-21080.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2946 ], + "I1": [ 2944 ], + "I2": [ 2945 ], + "I3": [ 2947 ], + "O": [ 831 ] + } + }, + "LUT4_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21084.5-21089.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2149 ], + "I1": [ 2151 ], + "I2": [ 918 ], + "I3": [ 336 ], + "O": [ 3138 ] + } + }, + "LUT4_70": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21093.5-21098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 874 ], + "I1": [ 826 ], + "I2": [ 830 ], + "I3": [ 846 ], + "O": [ 3139 ] + } + }, + "LUT4_71": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21102.5-21107.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2693 ], + "I1": [ 2694 ], + "I2": [ 3140 ], + "I3": [ 2692 ], + "O": [ 839 ] + } + }, + "LUT4_72": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21111.5-21116.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3140 ], + "I1": [ 2694 ], + "I2": [ 2693 ], + "I3": [ 2692 ], + "O": [ 821 ] + } + }, + "LUT4_73": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21120.5-21125.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3140 ], + "I1": [ 2694 ], + "I2": [ 2693 ], + "I3": [ 2692 ], + "O": [ 793 ] + } + }, + "LUT4_74": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21129.5-21134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 886 ], + "I1": [ 902 ], + "I2": [ 900 ], + "I3": [ 2952 ], + "O": [ 909 ] + } + }, + "LUT4_75": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21138.5-21143.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 912 ], + "I1": [ 900 ], + "I2": [ 902 ], + "I3": [ 886 ], + "O": [ 3141 ] + } + }, + "LUT4_76": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21147.5-21152.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 900 ], + "I1": [ 912 ], + "I2": [ 886 ], + "I3": [ 902 ], + "O": [ 3142 ] + } + }, + "LUT4_77": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21156.5-21161.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 882 ], + "I1": [ 396 ], + "I2": [ 916 ], + "I3": [ 2703 ], + "O": [ 889 ] + } + }, + "LUT4_78": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21165.5-21170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2699 ], + "I1": [ 878 ], + "I2": [ 3143 ], + "I3": [ 882 ], + "O": [ 903 ] + } + }, + "LUT4_79": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21174.5-21179.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 882 ], + "I1": [ 878 ], + "I2": [ 2699 ], + "I3": [ 3143 ], + "O": [ 899 ] + } + }, + "LUT4_7a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21183.5-21188.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 400 ], + "I1": [ 394 ], + "I2": [ 860 ], + "I3": [ 882 ], + "O": [ 3144 ] + } + }, + "LUT4_7b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21192.5-21197.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 402 ], + "I1": [ 2702 ], + "I2": [ 3145 ], + "I3": [ 392 ], + "O": [ 895 ] + } + }, + "LUT4_7c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21201.5-21206.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 402 ], + "I1": [ 2702 ], + "I2": [ 3145 ], + "I3": [ 392 ], + "O": [ 399 ] + } + }, + "LUT4_7d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21210.5-21215.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2953 ], + "I1": [ 892 ], + "I2": [ 862 ], + "I3": [ 402 ], + "O": [ 897 ] + } + }, + "LUT4_7e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21219.5-21224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2704 ], + "I1": [ 892 ], + "I2": [ 2953 ], + "I3": [ 3146 ], + "O": [ 905 ] + } + }, + "LUT4_7f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21228.5-21233.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 772 ], + "I1": [ 770 ], + "I2": [ 774 ], + "I3": [ 3147 ], + "O": [ 757 ] + } + }, + "LUT4_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21237.5-21242.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 918 ], + "I1": [ 2149 ], + "I2": [ 336 ], + "I3": [ 2151 ], + "O": [ 3148 ] + } + }, + "LUT4_80": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21246.5-21251.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 776 ], + "I1": [ 754 ], + "I2": [ 750 ], + "I3": [ 772 ], + "O": [ 3149 ] + } + }, + "LUT4_81": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21255.5-21260.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 750 ], + "I1": [ 754 ], + "I2": [ 772 ], + "I3": [ 774 ], + "O": [ 759 ] + } + }, + "LUT4_82": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21264.5-21269.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2954 ], + "I1": [ 2955 ], + "I2": [ 380 ], + "I3": [ 672 ], + "O": [ 377 ] + } + }, + "LUT4_83": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21273.5-21278.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2956 ], + "I1": [ 336 ], + "I2": [ 2957 ], + "I3": [ 2955 ], + "O": [ 405 ] + } + }, + "LUT4_84": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21282.5-21287.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2955 ], + "I1": [ 2957 ], + "I2": [ 336 ], + "I3": [ 2956 ], + "O": [ 761 ] + } + }, + "LUT4_85": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21291.5-21296.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 406 ], + "I1": [ 2960 ], + "I2": [ 2710 ], + "I3": [ 2711 ], + "O": [ 765 ] + } + }, + "LUT4_86": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21300.5-21305.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 454 ], + "I1": [ 414 ], + "I2": [ 2201 ], + "I3": [ 440 ], + "O": [ 2962 ] + } + }, + "LUT4_87": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21309.5-21314.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2961 ], + "I1": [ 2962 ], + "I2": [ 2120 ], + "I3": [ 2201 ], + "O": [ 2212 ] + } + }, + "LUT4_88": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21318.5-21323.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2964 ], + "I1": [ 2962 ], + "I2": [ 3150 ], + "I3": [ 2963 ], + "O": [ 2214 ] + } + }, + "LUT4_89": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21327.5-21332.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2964 ], + "I1": [ 2962 ], + "I2": [ 3150 ], + "I3": [ 2963 ], + "O": [ 164 ] + } + }, + "LUT4_8a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21336.5-21341.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2713 ], + "I1": [ 458 ], + "I2": [ 444 ], + "I3": [ 2177 ], + "O": [ 2964 ] + } + }, + "LUT4_8b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21345.5-21350.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 446 ], + "I1": [ 2179 ], + "I2": [ 490 ], + "I3": [ 2217 ], + "O": [ 3151 ] + } + }, + "LUT4_8c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21354.5-21359.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 446 ], + "I1": [ 2179 ], + "I2": [ 490 ], + "I3": [ 2217 ], + "O": [ 3152 ] + } + }, + "LUT4_8d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21363.5-21368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 409 ], + "I1": [ 452 ], + "I2": [ 2719 ], + "I3": [ 1034 ], + "O": [ 1075 ] + } + }, + "LUT4_8e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21372.5-21377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1326 ], + "I1": [ 504 ], + "I2": [ 2978 ], + "I3": [ 478 ], + "O": [ 497 ] + } + }, + "LUT4_8f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21381.5-21386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 536 ], + "I1": [ 1180 ], + "I2": [ 482 ], + "I3": [ 1182 ], + "O": [ 529 ] + } + }, + "LUT4_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21390.5-21395.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 2139 ], + "I2": [ 165 ], + "I3": [ 2237 ], + "O": [ 3153 ] + } + }, + "LUT4_90": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21399.5-21404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2727 ], + "I1": [ 1174 ], + "I2": [ 520 ], + "I3": [ 2974 ], + "O": [ 535 ] + } + }, + "LUT4_91": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21408.5-21413.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2972 ], + "I1": [ 568 ], + "I2": [ 2734 ], + "I3": [ 1172 ], + "O": [ 1151 ] + } + }, + "LUT4_92": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21417.5-21422.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1172 ], + "I1": [ 2733 ], + "I2": [ 566 ], + "I3": [ 2972 ], + "O": [ 1127 ] + } + }, + "LUT4_93": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21426.5-21431.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2731 ], + "I1": [ 528 ], + "I2": [ 2973 ], + "I3": [ 3154 ], + "O": [ 561 ] + } + }, + "LUT4_94": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21435.5-21440.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2973 ], + "I1": [ 1176 ], + "I2": [ 528 ], + "I3": [ 3154 ], + "O": [ 1129 ] + } + }, + "LUT4_95": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21444.5-21449.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2728 ], + "I1": [ 522 ], + "I2": [ 552 ], + "I3": [ 2730 ], + "O": [ 1177 ] + } + }, + "LUT4_96": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21453.5-21458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1136 ], + "I1": [ 574 ], + "I2": [ 556 ], + "I3": [ 1138 ], + "O": [ 3155 ] + } + }, + "LUT4_97": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21462.5-21467.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1138 ], + "I1": [ 1136 ], + "I2": [ 556 ], + "I3": [ 574 ], + "O": [ 1141 ] + } + }, + "LUT4_98": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21471.5-21476.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1140 ], + "I1": [ 2741 ], + "I2": [ 546 ], + "I3": [ 3155 ], + "O": [ 1137 ] + } + }, + "LUT4_99": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21480.5-21485.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2741 ], + "I1": [ 2735 ], + "I2": [ 546 ], + "I3": [ 1140 ], + "O": [ 1149 ] + } + }, + "LUT4_9a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21489.5-21494.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2735 ], + "I1": [ 1148 ], + "I2": [ 2741 ], + "I3": [ 546 ], + "O": [ 547 ] + } + }, + "LUT4_9b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21498.5-21503.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1148 ], + "I1": [ 546 ], + "I2": [ 2735 ], + "I3": [ 2741 ], + "O": [ 521 ] + } + }, + "LUT4_9c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21507.5-21512.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 506 ], + "I1": [ 2977 ], + "I2": [ 449 ], + "I3": [ 2747 ], + "O": [ 3156 ] + } + }, + "LUT4_9d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21516.5-21521.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2979 ], + "I1": [ 1228 ], + "I2": [ 2980 ], + "I3": [ 498 ], + "O": [ 3157 ] + } + }, + "LUT4_9e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21525.5-21530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2980 ], + "I1": [ 2742 ], + "I2": [ 1228 ], + "I3": [ 498 ], + "O": [ 1161 ] + } + }, + "LUT4_9f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21534.5-21539.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2980 ], + "I1": [ 2742 ], + "I2": [ 1228 ], + "I3": [ 498 ], + "O": [ 1239 ] + } + }, + "LUT4_a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21543.5-21548.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 165 ], + "I2": [ 2237 ], + "I3": [ 2139 ], + "O": [ 3158 ] + } + }, + "LUT4_a0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21552.5-21557.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3159 ], + "I1": [ 1258 ], + "I2": [ 3160 ], + "I3": [ 1188 ], + "O": [ 1195 ] + } + }, + "LUT4_a1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21561.5-21566.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3159 ], + "I1": [ 1258 ], + "I2": [ 3160 ], + "I3": [ 1188 ], + "O": [ 1223 ] + } + }, + "LUT4_a2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21570.5-21575.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1252 ], + "I1": [ 1244 ], + "I2": [ 1204 ], + "I3": [ 1254 ], + "O": [ 3161 ] + } + }, + "LUT4_a3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21579.5-21584.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 494 ], + "I1": [ 1252 ], + "I2": [ 1244 ], + "I3": [ 1204 ], + "O": [ 3162 ] + } + }, + "LUT4_a4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21588.5-21593.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1204 ], + "I1": [ 1244 ], + "I2": [ 1190 ], + "I3": [ 494 ], + "O": [ 3163 ] + } + }, + "LUT4_a5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21597.5-21602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1196 ], + "I1": [ 2750 ], + "I2": [ 2749 ], + "I3": [ 496 ], + "O": [ 1193 ] + } + }, + "LUT4_a6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21606.5-21611.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1208 ], + "I1": [ 2985 ], + "I2": [ 2986 ], + "I3": [ 2987 ], + "O": [ 1167 ] + } + }, + "LUT4_a7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21615.5-21620.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2986 ], + "I1": [ 1208 ], + "I2": [ 2987 ], + "I3": [ 2985 ], + "O": [ 1231 ] + } + }, + "LUT4_a8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21624.5-21629.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1220 ], + "I2": [ 1170 ], + "I3": [ 1202 ], + "O": [ 3164 ] + } + }, + "LUT4_a9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21633.5-21638.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1310 ], + "I1": [ 500 ], + "I2": [ 1324 ], + "I3": [ 1312 ], + "O": [ 2989 ] + } + }, + "LUT4_aa": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21642.5-21647.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3165 ], + "I1": [ 3166 ], + "I2": [ 3167 ], + "I3": [ 3168 ], + "O": [ 493 ] + } + }, + "LUT4_ab": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21651.5-21656.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2755 ], + "I1": [ 2756 ], + "I2": [ 2989 ], + "I3": [ 3165 ], + "O": [ 1269 ] + } + }, + "LUT4_ac": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21660.5-21665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2755 ], + "I1": [ 2756 ], + "I2": [ 2988 ], + "I3": [ 2989 ], + "O": [ 1283 ] + } + }, + "LUT4_ad": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21669.5-21674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2988 ], + "I1": [ 2989 ], + "I2": [ 2756 ], + "I3": [ 2755 ], + "O": [ 1073 ] + } + }, + "LUT4_ae": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21678.5-21683.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2757 ], + "I1": [ 2758 ], + "I2": [ 3169 ], + "I3": [ 3170 ], + "O": [ 1325 ] + } + }, + "LUT4_af": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21687.5-21692.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1268 ], + "I1": [ 1314 ], + "I2": [ 1308 ], + "I3": [ 1072 ], + "O": [ 3171 ] + } + }, + "LUT4_b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21696.5-21701.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2118 ], + "I1": [ 2211 ], + "I2": [ 2193 ], + "I3": [ 2197 ], + "O": [ 3172 ] + } + }, + "LUT4_b0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21705.5-21710.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1290 ], + "I1": [ 2720 ], + "I2": [ 2713 ], + "I3": [ 1318 ], + "O": [ 2996 ] + } + }, + "LUT4_b1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21714.5-21719.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1290 ], + "I1": [ 2720 ], + "I2": [ 2713 ], + "I3": [ 1318 ], + "O": [ 3173 ] + } + }, + "LUT4_b2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21723.5-21728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1284 ], + "I1": [ 1314 ], + "I2": [ 1268 ], + "I3": [ 1280 ], + "O": [ 3174 ] + } + }, + "LUT4_b3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21732.5-21737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2995 ], + "I1": [ 2993 ], + "I2": [ 2996 ], + "I3": [ 2994 ], + "O": [ 1311 ] + } + }, + "LUT4_b4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21741.5-21746.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2159 ], + "I1": [ 2761 ], + "I2": [ 2999 ], + "I3": [ 3003 ], + "O": [ 991 ] + } + }, + "LUT4_b5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21750.5-21755.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2159 ], + "I1": [ 3000 ], + "I2": [ 3001 ], + "I3": [ 3175 ], + "O": [ 993 ] + } + }, + "LUT4_b6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21759.5-21764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 996 ], + "I1": [ 1010 ], + "I2": [ 1020 ], + "I3": [ 1350 ], + "O": [ 3176 ] + } + }, + "LUT4_b7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21768.5-21773.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1008 ], + "I1": [ 992 ], + "I2": [ 3176 ], + "I3": [ 1014 ], + "O": [ 1359 ] + } + }, + "LUT4_b8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21777.5-21782.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1302 ], + "I1": [ 1352 ], + "I2": [ 1018 ], + "I3": [ 3177 ], + "O": [ 3175 ] + } + }, + "LUT4_b9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21786.5-21791.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1348 ], + "I1": [ 1344 ], + "I2": [ 1020 ], + "I3": [ 1346 ], + "O": [ 3178 ] + } + }, + "LUT4_ba": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21795.5-21800.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 978 ], + "I1": [ 968 ], + "I2": [ 3179 ], + "I3": [ 944 ], + "O": [ 921 ] + } + }, + "LUT4_bb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21804.5-21809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 978 ], + "I1": [ 968 ], + "I2": [ 3179 ], + "I3": [ 944 ], + "O": [ 949 ] + } + }, + "LUT4_bc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21813.5-21818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 944 ], + "I1": [ 980 ], + "I2": [ 978 ], + "I3": [ 982 ], + "O": [ 969 ] + } + }, + "LUT4_bd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21822.5-21827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2771 ], + "I1": [ 2772 ], + "I2": [ 2767 ], + "I3": [ 3180 ], + "O": [ 945 ] + } + }, + "LUT4_be": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21831.5-21836.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 950 ], + "I1": [ 942 ], + "I2": [ 940 ], + "I3": [ 3181 ], + "O": [ 1445 ] + } + }, + "LUT4_bf": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21840.5-21845.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3182 ], + "I1": [ 2776 ], + "I2": [ 2774 ], + "I3": [ 3008 ], + "O": [ 973 ] + } + }, + "LUT4_c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21849.5-21854.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2118 ], + "I1": [ 2193 ], + "I2": [ 2197 ], + "I3": [ 2211 ], + "O": [ 3183 ] + } + }, + "LUT4_c0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21858.5-21863.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3182 ], + "I1": [ 2776 ], + "I2": [ 2774 ], + "I3": [ 3008 ], + "O": [ 981 ] + } + }, + "LUT4_c1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21867.5-21872.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2775 ], + "I1": [ 2776 ], + "I2": [ 3182 ], + "I3": [ 2774 ], + "O": [ 1459 ] + } + }, + "LUT4_c2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21876.5-21881.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1460 ], + "I1": [ 958 ], + "I2": [ 1438 ], + "I3": [ 1440 ], + "O": [ 959 ] + } + }, + "LUT4_c3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21885.5-21890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1452 ], + "I1": [ 1450 ], + "I2": [ 1460 ], + "I3": [ 960 ], + "O": [ 3184 ] + } + }, + "LUT4_c4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21894.5-21899.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1426 ], + "I1": [ 1330 ], + "I2": [ 1446 ], + "I3": [ 1462 ], + "O": [ 3185 ] + } + }, + "LUT4_c5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21903.5-21908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1446 ], + "I1": [ 1462 ], + "I2": [ 1426 ], + "I3": [ 1330 ], + "O": [ 3186 ] + } + }, + "LUT4_c6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21912.5-21917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1382 ], + "I1": [ 1370 ], + "I2": [ 1416 ], + "I3": [ 1392 ], + "O": [ 3187 ] + } + }, + "LUT4_c7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21921.5-21926.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1364 ], + "I1": [ 1370 ], + "I2": [ 966 ], + "I3": [ 1418 ], + "O": [ 3188 ] + } + }, + "LUT4_c8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21930.5-21935.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1364 ], + "I1": [ 1370 ], + "I2": [ 966 ], + "I3": [ 1418 ], + "O": [ 3014 ] + } + }, + "LUT4_c9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21939.5-21944.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1392 ], + "I1": [ 1364 ], + "I2": [ 1370 ], + "I3": [ 1002 ], + "O": [ 3189 ] + } + }, + "LUT4_ca": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21948.5-21953.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1372 ], + "I1": [ 1416 ], + "I2": [ 1364 ], + "I3": [ 966 ], + "O": [ 3013 ] + } + }, + "LUT4_cb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21957.5-21962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3016 ], + "I1": [ 3014 ], + "I2": [ 3015 ], + "I3": [ 3013 ], + "O": [ 1423 ] + } + }, + "LUT4_cc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21966.5-21971.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3016 ], + "I1": [ 3015 ], + "I2": [ 3013 ], + "I3": [ 3014 ], + "O": [ 1421 ] + } + }, + "LUT4_cd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21975.5-21980.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1354 ], + "I1": [ 1340 ], + "I2": [ 1334 ], + "I3": [ 1376 ], + "O": [ 3190 ] + } + }, + "LUT4_ce": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21984.5-21989.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1376 ], + "I1": [ 1354 ], + "I2": [ 1340 ], + "I3": [ 1334 ], + "O": [ 3191 ] + } + }, + "LUT4_cf": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:21993.5-21998.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1358 ], + "I1": [ 1004 ], + "I2": [ 1330 ], + "I3": [ 1334 ], + "O": [ 3192 ] + } + }, + "LUT4_d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22002.5-22007.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2770 ], + "I1": [ 268 ], + "I2": [ 2992 ], + "I3": [ 2116 ], + "O": [ 2514 ] + } + }, + "LUT4_d0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22011.5-22016.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1384 ], + "I1": [ 1388 ], + "I2": [ 1386 ], + "I3": [ 3193 ], + "O": [ 1003 ] + } + }, + "LUT4_d1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22020.5-22025.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1036 ], + "I1": [ 1050 ], + "I2": [ 998 ], + "I3": [ 1052 ], + "O": [ 3194 ] + } + }, + "LUT4_d2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22029.5-22034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1036 ], + "I1": [ 1050 ], + "I2": [ 998 ], + "I3": [ 1052 ], + "O": [ 3022 ] + } + }, + "LUT4_d3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22038.5-22043.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2791 ], + "I1": [ 3020 ], + "I2": [ 3022 ], + "I3": [ 3021 ], + "O": [ 1025 ] + } + }, + "LUT4_d4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22047.5-22052.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3026 ], + "I1": [ 1296 ], + "I2": [ 3027 ], + "I3": [ 1030 ], + "O": [ 1023 ] + } + }, + "LUT4_d5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22056.5-22061.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1306 ], + "I1": [ 1026 ], + "I2": [ 3195 ], + "I3": [ 1038 ], + "O": [ 1069 ] + } + }, + "LUT4_d6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22065.5-22070.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1306 ], + "I1": [ 1038 ], + "I2": [ 1026 ], + "I3": [ 2159 ], + "O": [ 3032 ] + } + }, + "LUT4_d7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22074.5-22079.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 2164 ], + "I2": [ 996 ], + "I3": [ 1044 ], + "O": [ 3196 ] + } + }, + "LUT4_d8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22083.5-22088.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2796 ], + "I1": [ 2797 ], + "I2": [ 3031 ], + "I3": [ 3197 ], + "O": [ 1031 ] + } + }, + "LUT4_d9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22092.5-22097.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2798 ], + "I1": [ 3032 ], + "I2": [ 2792 ], + "I3": [ 2799 ], + "O": [ 1051 ] + } + }, + "LUT4_da": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22101.5-22106.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 2221 ], + "I2": [ 1032 ], + "I3": [ 1084 ], + "O": [ 2168 ] + } + }, + "LUT4_db": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22110.5-22115.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 1076 ], + "I2": [ 1922 ], + "I3": [ 1818 ], + "O": [ 3198 ] + } + }, + "LUT4_dc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22119.5-22124.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1922 ], + "I1": [ 1076 ], + "I2": [ 1060 ], + "I3": [ 1080 ], + "O": [ 2802 ] + } + }, + "LUT4_dd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22128.5-22133.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1922 ], + "I1": [ 1076 ], + "I2": [ 1060 ], + "I3": [ 1080 ], + "O": [ 3199 ] + } + }, + "LUT4_de": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22137.5-22142.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 2801 ], + "I2": [ 3033 ], + "I3": [ 2802 ], + "O": [ 1077 ] + } + }, + "LUT4_df": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22146.5-22151.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2801 ], + "I1": [ 3200 ], + "I2": [ 2802 ], + "I3": [ 3201 ], + "O": [ 1921 ] + } + }, + "LUT4_e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22155.5-22160.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 782 ], + "I1": [ 2218 ], + "I2": [ 3202 ], + "I3": [ 425 ], + "O": [ 3203 ] + } + }, + "LUT4_e0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22164.5-22169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 2802 ], + "I2": [ 2800 ], + "I3": [ 3033 ], + "O": [ 1063 ] + } + }, + "LUT4_e1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22173.5-22178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 438 ], + "I2": [ 1884 ], + "I3": [ 450 ], + "O": [ 3204 ] + } + }, + "LUT4_e2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22182.5-22187.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 438 ], + "I2": [ 1884 ], + "I3": [ 450 ], + "O": [ 3205 ] + } + }, + "LUT4_e3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22191.5-22196.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2805 ], + "I1": [ 2804 ], + "I2": [ 1826 ], + "I3": [ 1836 ], + "O": [ 1819 ] + } + }, + "LUT4_e4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22200.5-22205.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1834 ], + "I1": [ 1830 ], + "I2": [ 2806 ], + "I3": [ 1808 ], + "O": [ 3206 ] + } + }, + "LUT4_e5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22209.5-22214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2807 ], + "I1": [ 3038 ], + "I2": [ 3035 ], + "I3": [ 3037 ], + "O": [ 1837 ] + } + }, + "LUT4_e6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22218.5-22223.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2807 ], + "I1": [ 3038 ], + "I2": [ 3037 ], + "I3": [ 3207 ], + "O": [ 1675 ] + } + }, + "LUT4_e7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22227.5-22232.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1678 ], + "I1": [ 2806 ], + "I2": [ 3040 ], + "I3": [ 1118 ], + "O": [ 1829 ] + } + }, + "LUT4_e8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22236.5-22241.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1808 ], + "I1": [ 2811 ], + "I2": [ 1830 ], + "I3": [ 3208 ], + "O": [ 1695 ] + } + }, + "LUT4_e9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22245.5-22250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2812 ], + "I1": [ 1784 ], + "I2": [ 1838 ], + "I3": [ 1810 ], + "O": [ 1687 ] + } + }, + "LUT4_ea": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22254.5-22259.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1782 ], + "I1": [ 1684 ], + "I2": [ 2812 ], + "I3": [ 2806 ], + "O": [ 1689 ] + } + }, + "LUT4_eb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22263.5-22268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1684 ], + "I1": [ 2812 ], + "I2": [ 1832 ], + "I3": [ 1782 ], + "O": [ 1685 ] + } + }, + "LUT4_ec": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22272.5-22277.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1782 ], + "I1": [ 1832 ], + "I2": [ 2812 ], + "I3": [ 1684 ], + "O": [ 1807 ] + } + }, + "LUT4_ed": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22281.5-22286.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1102 ], + "I1": [ 1682 ], + "I2": [ 1702 ], + "I3": [ 1726 ], + "O": [ 1715 ] + } + }, + "LUT4_ee": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22290.5-22295.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3209 ], + "I1": [ 3042 ], + "I2": [ 2816 ], + "I3": [ 2815 ], + "O": [ 1711 ] + } + }, + "LUT4_ef": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22299.5-22304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3209 ], + "I1": [ 3042 ], + "I2": [ 2816 ], + "I3": [ 2815 ], + "O": [ 1775 ] + } + }, + "LUT4_f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22308.5-22313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 1192 ], + "I2": [ 2493 ], + "I3": [ 2103 ], + "O": [ 2563 ] + } + }, + "LUT4_f0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22317.5-22322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1756 ], + "I1": [ 1728 ], + "I2": [ 1744 ], + "I3": [ 1700 ], + "O": [ 3049 ] + } + }, + "LUT4_f1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22326.5-22331.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1774 ], + "I1": [ 1778 ], + "I2": [ 1800 ], + "I3": [ 1802 ], + "O": [ 3210 ] + } + }, + "LUT4_f2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22335.5-22340.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2821 ], + "I1": [ 1748 ], + "I2": [ 3046 ], + "I3": [ 3045 ], + "O": [ 1765 ] + } + }, + "LUT4_f3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22344.5-22349.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3047 ], + "I1": [ 3048 ], + "I2": [ 2822 ], + "I3": [ 1748 ], + "O": [ 1719 ] + } + }, + "LUT4_f4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22353.5-22358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1722 ], + "I1": [ 1786 ], + "I2": [ 1754 ], + "I3": [ 1794 ], + "O": [ 3211 ] + } + }, + "LUT4_f5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22362.5-22367.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1722 ], + "I1": [ 1786 ], + "I2": [ 1754 ], + "I3": [ 1794 ], + "O": [ 3045 ] + } + }, + "LUT4_f6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22371.5-22376.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1706 ], + "I1": [ 1716 ], + "I2": [ 1774 ], + "I3": [ 1800 ], + "O": [ 3048 ] + } + }, + "LUT4_f7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22380.5-22385.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3050 ], + "I1": [ 2820 ], + "I2": [ 2819 ], + "I3": [ 3049 ], + "O": [ 1679 ] + } + }, + "LUT4_f8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22389.5-22394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2820 ], + "I1": [ 3049 ], + "I2": [ 3050 ], + "I3": [ 2819 ], + "O": [ 1729 ] + } + }, + "LUT4_f9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22398.5-22403.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1780 ], + "I1": [ 1058 ], + "I2": [ 1066 ], + "I3": [ 1096 ], + "O": [ 3212 ] + } + }, + "LUT4_fa": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22407.5-22412.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1066 ], + "I1": [ 1104 ], + "I2": [ 3051 ], + "I3": [ 2813 ], + "O": [ 2826 ] + } + }, + "LUT4_fb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22416.5-22421.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2827 ], + "I1": [ 3055 ], + "I2": [ 2826 ], + "I3": [ 3213 ], + "O": [ 1059 ] + } + }, + "LUT4_fc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22425.5-22430.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2826 ], + "I1": [ 2827 ], + "I2": [ 3213 ], + "I3": [ 3055 ], + "O": [ 1119 ] + } + }, + "LUT4_fd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22434.5-22439.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 1098 ], + "I2": [ 446 ], + "I3": [ 1812 ], + "O": [ 3214 ] + } + }, + "LUT4_fe": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22443.5-22448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2837 ], + "I1": [ 3060 ], + "I2": [ 2838 ], + "I3": [ 1844 ], + "O": [ 1851 ] + } + }, + "LUT4_ff": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22452.5-22457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2837 ], + "I1": [ 3060 ], + "I2": [ 2838 ], + "I3": [ 1844 ], + "O": [ 1869 ] + } + }, + "LUT5_0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22461.5-22467.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3093 ], + "I1": [ 2547 ], + "I2": [ 3128 ], + "I3": [ 2546 ], + "I4": [ 2924 ], + "O": [ 2270 ] + } + }, + "LUT5_1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22471.5-22477.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 918 ], + "I2": [ 2273 ], + "I3": [ 2137 ], + "I4": [ 370 ], + "O": [ 3215 ] + } + }, + "LUT5_10": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22481.5-22487.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2477 ], + "I1": [ 1090 ], + "I2": [ 1396 ], + "I3": [ 1942 ], + "I4": [ 3216 ], + "O": [ 1805 ] + } + }, + "LUT5_100": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22491.5-22497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2187 ], + "I2": [ 2257 ], + "I3": [ 2172 ], + "I4": [ 454 ], + "O": [ 3217 ] + } + }, + "LUT5_101": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22501.5-22507.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2187 ], + "I1": [ 2172 ], + "I2": [ 2257 ], + "I3": [ 2171 ], + "I4": [ 454 ], + "O": [ 2550 ] + } + }, + "LUT5_102": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22511.5-22517.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2551 ], + "I1": [ 2848 ], + "I2": [ 3217 ], + "I3": [ 2847 ], + "I4": [ 2846 ], + "O": [ 2302 ] + } + }, + "LUT5_103": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22521.5-22527.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3217 ], + "I1": [ 3218 ], + "I2": [ 2849 ], + "I3": [ 2847 ], + "I4": [ 3063 ], + "O": [ 1925 ] + } + }, + "LUT5_104": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22531.5-22537.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 2848 ], + "I2": [ 2551 ], + "I3": [ 2849 ], + "I4": [ 2847 ], + "O": [ 2304 ] + } + }, + "LUT5_105": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22541.5-22547.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1936 ], + "I1": [ 1914 ], + "I2": [ 1910 ], + "I3": [ 2307 ], + "I4": [ 1930 ], + "O": [ 2224 ] + } + }, + "LUT5_106": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22551.5-22557.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1916 ], + "I1": [ 1956 ], + "I2": [ 1932 ], + "I3": [ 1914 ], + "I4": [ 2309 ], + "O": [ 2592 ] + } + }, + "LUT5_107": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22561.5-22567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 1918 ], + "I2": [ 1920 ], + "I3": [ 2279 ], + "I4": [ 1940 ], + "O": [ 3219 ] + } + }, + "LUT5_108": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22571.5-22577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2845 ], + "I1": [ 2147 ], + "I2": [ 1936 ], + "I3": [ 2224 ], + "I4": [ 1912 ], + "O": [ 1915 ] + } + }, + "LUT5_109": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22581.5-22587.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1917 ], + "I1": [ 2224 ], + "I2": [ 2851 ], + "I3": [ 1963 ], + "I4": [ 2845 ], + "O": [ 3220 ] + } + }, + "LUT5_10a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22591.5-22597.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2555 ], + "I1": [ 3064 ], + "I2": [ 3221 ], + "I3": [ 1934 ], + "I4": [ 1952 ], + "O": [ 1947 ] + } + }, + "LUT5_10b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22601.5-22607.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 2000 ], + "I2": [ 1952 ], + "I3": [ 2100 ], + "I4": [ 2008 ], + "O": [ 3222 ] + } + }, + "LUT5_10c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22611.5-22617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2145 ], + "I1": [ 1952 ], + "I2": [ 2147 ], + "I3": [ 1594 ], + "I4": [ 2858 ], + "O": [ 3223 ] + } + }, + "LUT5_10d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22621.5-22627.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1962 ], + "I1": [ 1594 ], + "I2": [ 2008 ], + "I3": [ 2145 ], + "I4": [ 1952 ], + "O": [ 2558 ] + } + }, + "LUT5_10e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22631.5-22637.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1962 ], + "I1": [ 2145 ], + "I2": [ 1954 ], + "I3": [ 2008 ], + "I4": [ 1594 ], + "O": [ 3221 ] + } + }, + "LUT5_10f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22641.5-22647.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2557 ], + "I1": [ 2559 ], + "I2": [ 2556 ], + "I3": [ 2558 ], + "I4": [ 3222 ], + "O": [ 1973 ] + } + }, + "LUT5_11": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22651.5-22657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2605 ], + "I1": [ 1022 ], + "I2": [ 2604 ], + "I3": [ 2449 ], + "I4": [ 2602 ], + "O": [ 1771 ] + } + }, + "LUT5_110": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22661.5-22667.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2558 ], + "I1": [ 2557 ], + "I2": [ 2559 ], + "I3": [ 2856 ], + "I4": [ 2556 ], + "O": [ 3224 ] + } + }, + "LUT5_111": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22671.5-22677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2556 ], + "I1": [ 2557 ], + "I2": [ 2558 ], + "I3": [ 2559 ], + "I4": [ 2856 ], + "O": [ 2101 ] + } + }, + "LUT5_112": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22681.5-22687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1980 ], + "I1": [ 1990 ], + "I2": [ 1948 ], + "I3": [ 2004 ], + "I4": [ 2145 ], + "O": [ 3066 ] + } + }, + "LUT5_113": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22691.5-22697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1990 ], + "I1": [ 1948 ], + "I2": [ 2004 ], + "I3": [ 2145 ], + "I4": [ 1980 ], + "O": [ 2582 ] + } + }, + "LUT5_114": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22701.5-22707.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1486 ], + "I1": [ 1536 ], + "I2": [ 1570 ], + "I3": [ 2100 ], + "I4": [ 1974 ], + "O": [ 3225 ] + } + }, + "LUT5_115": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22711.5-22717.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1486 ], + "I1": [ 1974 ], + "I2": [ 1536 ], + "I3": [ 1570 ], + "I4": [ 2100 ], + "O": [ 3226 ] + } + }, + "LUT5_116": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22721.5-22727.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3227 ], + "I1": [ 2867 ], + "I2": [ 3228 ], + "I3": [ 2569 ], + "I4": [ 2866 ], + "O": [ 1525 ] + } + }, + "LUT5_117": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22731.5-22737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1560 ], + "I1": [ 2567 ], + "I2": [ 2568 ], + "I3": [ 3073 ], + "I4": [ 2863 ], + "O": [ 1519 ] + } + }, + "LUT5_118": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22741.5-22747.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1562 ], + "I1": [ 2567 ], + "I2": [ 1530 ], + "I3": [ 3229 ], + "I4": [ 1510 ], + "O": [ 1551 ] + } + }, + "LUT5_119": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22751.5-22757.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1564 ], + "I1": [ 2567 ], + "I2": [ 1530 ], + "I3": [ 1550 ], + "I4": [ 1562 ], + "O": [ 1515 ] + } + }, + "LUT5_11a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22761.5-22767.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 1556 ], + "I2": [ 1470 ], + "I3": [ 1554 ], + "I4": [ 1988 ], + "O": [ 3227 ] + } + }, + "LUT5_11b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22771.5-22777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1512 ], + "I1": [ 1988 ], + "I2": [ 1988 ], + "I3": [ 1554 ], + "I4": [ 1470 ], + "O": [ 3228 ] + } + }, + "LUT5_11c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22781.5-22787.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1604 ], + "I1": [ 1576 ], + "I2": [ 1626 ], + "I3": [ 2147 ], + "I4": [ 3081 ], + "O": [ 1611 ] + } + }, + "LUT5_11d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22791.5-22797.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2868 ], + "I1": [ 3076 ], + "I2": [ 2571 ], + "I3": [ 2575 ], + "I4": [ 2572 ], + "O": [ 1605 ] + } + }, + "LUT5_11e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22801.5-22807.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2868 ], + "I1": [ 2571 ], + "I2": [ 2572 ], + "I3": [ 3076 ], + "I4": [ 2575 ], + "O": [ 1653 ] + } + }, + "LUT5_11f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22811.5-22817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 1626 ], + "I2": [ 1572 ], + "I3": [ 1578 ], + "I4": [ 3230 ], + "O": [ 1609 ] + } + }, + "LUT5_12": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22821.5-22827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3106 ], + "I1": [ 1022 ], + "I2": [ 2604 ], + "I3": [ 2877 ], + "I4": [ 2603 ], + "O": [ 2478 ] + } + }, + "LUT5_120": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22831.5-22837.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3077 ], + "I1": [ 1622 ], + "I2": [ 1632 ], + "I3": [ 1604 ], + "I4": [ 2147 ], + "O": [ 1659 ] + } + }, + "LUT5_121": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22841.5-22847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 1604 ], + "I2": [ 1632 ], + "I3": [ 1622 ], + "I4": [ 3077 ], + "O": [ 1587 ] + } + }, + "LUT5_122": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22851.5-22857.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1612 ], + "I1": [ 1594 ], + "I2": [ 1582 ], + "I3": [ 1608 ], + "I4": [ 1614 ], + "O": [ 3231 ] + } + }, + "LUT5_123": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22861.5-22867.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3078 ], + "I1": [ 1656 ], + "I2": [ 3231 ], + "I3": [ 2581 ], + "I4": [ 1660 ], + "O": [ 1985 ] + } + }, + "LUT5_124": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22871.5-22877.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1656 ], + "I1": [ 3078 ], + "I2": [ 1608 ], + "I3": [ 2871 ], + "I4": [ 2066 ], + "O": [ 1589 ] + } + }, + "LUT5_125": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22881.5-22887.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1634 ], + "I1": [ 1596 ], + "I2": [ 1580 ], + "I3": [ 1972 ], + "I4": [ 1574 ], + "O": [ 3232 ] + } + }, + "LUT5_126": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22891.5-22897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1606 ], + "I1": [ 1654 ], + "I2": [ 1972 ], + "I3": [ 1610 ], + "I4": [ 1618 ], + "O": [ 1631 ] + } + }, + "LUT5_127": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22901.5-22907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1972 ], + "I1": [ 1606 ], + "I2": [ 1650 ], + "I3": [ 1596 ], + "I4": [ 1610 ], + "O": [ 1583 ] + } + }, + "LUT5_128": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22911.5-22917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2062 ], + "I1": [ 1970 ], + "I2": [ 3233 ], + "I3": [ 1640 ], + "I4": [ 1570 ], + "O": [ 1597 ] + } + }, + "LUT5_129": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22921.5-22927.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2062 ], + "I1": [ 2084 ], + "I2": [ 1648 ], + "I3": [ 1970 ], + "I4": [ 2147 ], + "O": [ 1585 ] + } + }, + "LUT5_12a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22931.5-22937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2587 ], + "I1": [ 3084 ], + "I2": [ 2586 ], + "I3": [ 2874 ], + "I4": [ 2584 ], + "O": [ 1637 ] + } + }, + "LUT5_12b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22941.5-22947.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2586 ], + "I1": [ 2874 ], + "I2": [ 2584 ], + "I3": [ 3085 ], + "I4": [ 2587 ], + "O": [ 1581 ] + } + }, + "LUT5_12c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22951.5-22957.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1546 ], + "I1": [ 2060 ], + "I2": [ 1642 ], + "I3": [ 1600 ], + "I4": [ 2072 ], + "O": [ 3234 ] + } + }, + "LUT5_12d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22961.5-22967.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1546 ], + "I1": [ 1600 ], + "I2": [ 2072 ], + "I3": [ 1642 ], + "I4": [ 2060 ], + "O": [ 3087 ] + } + }, + "LUT5_12e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22971.5-22977.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2591 ], + "I1": [ 3086 ], + "I2": [ 2589 ], + "I3": [ 2590 ], + "I4": [ 3235 ], + "O": [ 2073 ] + } + }, + "LUT5_12f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22981.5-22987.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 2592 ], + "I2": [ 2875 ], + "I3": [ 1928 ], + "I4": [ 2224 ], + "O": [ 1931 ] + } + }, + "LUT5_13": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:22991.5-22997.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2877 ], + "I1": [ 2453 ], + "I2": [ 2603 ], + "I3": [ 1670 ], + "I4": [ 2451 ], + "O": [ 2448 ] + } + }, + "LUT5_14": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23001.5-23007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1534 ], + "I1": [ 1636 ], + "I2": [ 1908 ], + "I3": [ 1738 ], + "I4": [ 2114 ], + "O": [ 3236 ] + } + }, + "LUT5_15": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23011.5-23017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3237 ], + "I1": [ 2092 ], + "I2": [ 3106 ], + "I3": [ 2467 ], + "I4": [ 2114 ], + "O": [ 3238 ] + } + }, + "LUT5_16": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23021.5-23027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 2058 ], + "I2": [ 2467 ], + "I3": [ 2114 ], + "I4": [ 3106 ], + "O": [ 3239 ] + } + }, + "LUT5_17": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23031.5-23037.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2467 ], + "I2": [ 2058 ], + "I3": [ 1466 ], + "I4": [ 1806 ], + "O": [ 3240 ] + } + }, + "LUT5_18": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23041.5-23047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3090 ], + "I1": [ 2879 ], + "I2": [ 2880 ], + "I3": [ 2881 ], + "I4": [ 3239 ], + "O": [ 2470 ] + } + }, + "LUT5_19": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23051.5-23057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2463 ], + "I1": [ 2046 ], + "I2": [ 2415 ], + "I3": [ 2311 ], + "I4": [ 2423 ], + "O": [ 2887 ] + } + }, + "LUT5_1a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23061.5-23067.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2415 ], + "I1": [ 2403 ], + "I2": [ 2387 ], + "I3": [ 3241 ], + "I4": [ 2046 ], + "O": [ 2400 ] + } + }, + "LUT5_1b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23071.5-23077.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2612 ], + "I1": [ 2613 ], + "I2": [ 2887 ], + "I3": [ 2050 ], + "I4": [ 3091 ], + "O": [ 2424 ] + } + }, + "LUT5_1c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23081.5-23087.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2887 ], + "I2": [ 2612 ], + "I3": [ 2613 ], + "I4": [ 2383 ], + "O": [ 2406 ] + } + }, + "LUT5_1d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23091.5-23097.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2393 ], + "I1": [ 2417 ], + "I2": [ 2614 ], + "I3": [ 2615 ], + "I4": [ 2619 ], + "O": [ 2011 ] + } + }, + "LUT5_1e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23101.5-23107.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2399 ], + "I1": [ 2389 ], + "I2": [ 2445 ], + "I3": [ 2391 ], + "I4": [ 2385 ], + "O": [ 3242 ] + } + }, + "LUT5_1f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23111.5-23117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 2052 ], + "I2": [ 2056 ], + "I3": [ 2427 ], + "I4": [ 2409 ], + "O": [ 3243 ] + } + }, + "LUT5_2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23121.5-23127.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2137 ], + "I1": [ 3148 ], + "I2": [ 3158 ], + "I3": [ 370 ], + "I4": [ 1930 ], + "O": [ 3244 ] + } + }, + "LUT5_20": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23131.5-23137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2445 ], + "I1": [ 2427 ], + "I2": [ 2052 ], + "I3": [ 2054 ], + "I4": [ 2389 ], + "O": [ 3245 ] + } + }, + "LUT5_21": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23141.5-23147.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2052 ], + "I2": [ 2445 ], + "I3": [ 2431 ], + "I4": [ 2405 ], + "O": [ 3246 ] + } + }, + "LUT5_22": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23151.5-23157.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2052 ], + "I2": [ 2405 ], + "I3": [ 2445 ], + "I4": [ 2431 ], + "O": [ 3247 ] + } + }, + "LUT5_23": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23161.5-23167.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2243 ], + "I1": [ 2209 ], + "I2": [ 2175 ], + "I3": [ 2345 ], + "I4": [ 2092 ], + "O": [ 3248 ] + } + }, + "LUT5_24": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23171.5-23177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2175 ], + "I1": [ 2243 ], + "I2": [ 2463 ], + "I3": [ 2345 ], + "I4": [ 2897 ], + "O": [ 2051 ] + } + }, + "LUT5_25": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23181.5-23187.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1602 ], + "I1": [ 2467 ], + "I2": [ 1976 ], + "I3": [ 2114 ], + "I4": [ 1704 ], + "O": [ 3249 ] + } + }, + "LUT5_26": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23191.5-23197.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2465 ], + "I1": [ 1976 ], + "I2": [ 2114 ], + "I3": [ 2467 ], + "I4": [ 3097 ], + "O": [ 2057 ] + } + }, + "LUT5_27": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23201.5-23207.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1568 ], + "I1": [ 2465 ], + "I2": [ 1500 ], + "I3": [ 2277 ], + "I4": [ 2141 ], + "O": [ 3250 ] + } + }, + "LUT5_28": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23211.5-23217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1328 ], + "I1": [ 2505 ], + "I2": [ 2503 ], + "I3": [ 374 ], + "I4": [ 666 ], + "O": [ 2627 ] + } + }, + "LUT5_29": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23221.5-23227.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2457 ], + "I1": [ 2511 ], + "I2": [ 2625 ], + "I3": [ 2902 ], + "I4": [ 3251 ], + "O": [ 2494 ] + } + }, + "LUT5_2a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23231.5-23237.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2497 ], + "I1": [ 2902 ], + "I2": [ 2511 ], + "I3": [ 2457 ], + "I4": [ 2625 ], + "O": [ 1395 ] + } + }, + "LUT5_2b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23241.5-23247.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2625 ], + "I1": [ 2457 ], + "I2": [ 2497 ], + "I3": [ 2513 ], + "I4": [ 2902 ], + "O": [ 543 ] + } + }, + "LUT5_2c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23251.5-23257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2623 ], + "I1": [ 3102 ], + "I2": [ 2627 ], + "I3": [ 2901 ], + "I4": [ 2621 ], + "O": [ 2510 ] + } + }, + "LUT5_2d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23261.5-23267.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2623 ], + "I1": [ 2626 ], + "I2": [ 2622 ], + "I3": [ 2507 ], + "I4": [ 3102 ], + "O": [ 2498 ] + } + }, + "LUT5_2e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23271.5-23277.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2623 ], + "I1": [ 2626 ], + "I2": [ 2507 ], + "I3": [ 2627 ], + "I4": [ 2901 ], + "O": [ 849 ] + } + }, + "LUT5_2f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23281.5-23287.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2267 ], + "I1": [ 2413 ], + "I2": [ 2515 ], + "I3": [ 2233 ], + "I4": [ 426 ], + "O": [ 3252 ] + } + }, + "LUT5_3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23291.5-23297.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2010 ], + "I1": [ 2237 ], + "I2": [ 2641 ], + "I3": [ 918 ], + "I4": [ 2273 ], + "O": [ 2280 ] + } + }, + "LUT5_30": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23301.5-23307.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3107 ], + "I1": [ 680 ], + "I2": [ 2181 ], + "I3": [ 2515 ], + "I4": [ 2413 ], + "O": [ 433 ] + } + }, + "LUT5_31": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23311.5-23317.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3253 ], + "I1": [ 2905 ], + "I2": [ 2413 ], + "I3": [ 2267 ], + "I4": [ 612 ], + "O": [ 2530 ] + } + }, + "LUT5_32": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23321.5-23327.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2630 ], + "I1": [ 2629 ], + "I2": [ 2906 ], + "I3": [ 3110 ], + "I4": [ 3254 ], + "O": [ 3255 ] + } + }, + "LUT5_33": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23331.5-23337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 220 ], + "I1": [ 222 ], + "I2": [ 335 ], + "I3": [ 226 ], + "I4": [ 228 ], + "O": [ 223 ] + } + }, + "LUT5_34": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23341.5-23347.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 226 ], + "I1": [ 358 ], + "I2": [ 230 ], + "I3": [ 228 ], + "I4": [ 335 ], + "O": [ 3256 ] + } + }, + "LUT5_35": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23351.5-23357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 224 ], + "I1": [ 2519 ], + "I2": [ 2540 ], + "I3": [ 2105 ], + "I4": [ 2517 ], + "O": [ 3257 ] + } + }, + "LUT5_36": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23361.5-23367.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2153 ], + "I1": [ 2103 ], + "I2": [ 224 ], + "I3": [ 198 ], + "I4": [ 680 ], + "O": [ 2638 ] + } + }, + "LUT5_37": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23371.5-23377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2911 ], + "I1": [ 2639 ], + "I2": [ 198 ], + "I3": [ 3258 ], + "I4": [ 2633 ], + "O": [ 2544 ] + } + }, + "LUT5_38": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23381.5-23387.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2908 ], + "I1": [ 2909 ], + "I2": [ 198 ], + "I3": [ 2638 ], + "I4": [ 2632 ], + "O": [ 171 ] + } + }, + "LUT5_39": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23391.5-23397.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2642 ], + "I1": [ 2631 ], + "I2": [ 2643 ], + "I3": [ 3111 ], + "I4": [ 3256 ], + "O": [ 219 ] + } + }, + "LUT5_3a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23401.5-23407.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2527 ], + "I1": [ 680 ], + "I2": [ 350 ], + "I3": [ 232 ], + "I4": [ 180 ], + "O": [ 2651 ] + } + }, + "LUT5_3b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23411.5-23417.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2649 ], + "I1": [ 2544 ], + "I2": [ 680 ], + "I3": [ 2652 ], + "I4": [ 2648 ], + "O": [ 211 ] + } + }, + "LUT5_3c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23421.5-23427.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2652 ], + "I1": [ 2651 ], + "I2": [ 2649 ], + "I3": [ 204 ], + "I4": [ 2544 ], + "O": [ 187 ] + } + }, + "LUT5_3d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23431.5-23437.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 284 ], + "I1": [ 290 ], + "I2": [ 250 ], + "I3": [ 300 ], + "I4": [ 320 ], + "O": [ 3259 ] + } + }, + "LUT5_3e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23441.5-23447.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2917 ], + "I1": [ 236 ], + "I2": [ 3260 ], + "I3": [ 2655 ], + "I4": [ 2915 ], + "O": [ 297 ] + } + }, + "LUT5_3f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23451.5-23457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 286 ], + "I1": [ 274 ], + "I2": [ 2917 ], + "I3": [ 236 ], + "I4": [ 2916 ], + "O": [ 261 ] + } + }, + "LUT5_4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23461.5-23467.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2708 ], + "I1": [ 2723 ], + "I2": [ 2661 ], + "I3": [ 2958 ], + "I4": [ 3153 ], + "O": [ 2282 ] + } + }, + "LUT5_40": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23471.5-23477.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 280 ], + "I1": [ 328 ], + "I2": [ 266 ], + "I3": [ 332 ], + "I4": [ 296 ], + "O": [ 3261 ] + } + }, + "LUT5_41": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23481.5-23487.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 322 ], + "I1": [ 332 ], + "I2": [ 330 ], + "I3": [ 242 ], + "I4": [ 3262 ], + "O": [ 293 ] + } + }, + "LUT5_42": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23491.5-23497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3115 ], + "I1": [ 2664 ], + "I2": [ 2663 ], + "I3": [ 2920 ], + "I4": [ 3263 ], + "O": [ 327 ] + } + }, + "LUT5_43": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23501.5-23507.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 310 ], + "I1": [ 680 ], + "I2": [ 306 ], + "I3": [ 304 ], + "I4": [ 352 ], + "O": [ 3264 ] + } + }, + "LUT5_44": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23511.5-23517.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 256 ], + "I1": [ 334 ], + "I2": [ 304 ], + "I3": [ 2923 ], + "I4": [ 3265 ], + "O": [ 203 ] + } + }, + "LUT5_45": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23521.5-23527.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 314 ], + "I1": [ 312 ], + "I2": [ 258 ], + "I3": [ 212 ], + "I4": [ 354 ], + "O": [ 3266 ] + } + }, + "LUT5_46": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23531.5-23537.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 314 ], + "I1": [ 354 ], + "I2": [ 312 ], + "I3": [ 212 ], + "I4": [ 258 ], + "O": [ 3267 ] + } + }, + "LUT5_47": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23541.5-23547.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3118 ], + "I1": [ 680 ], + "I2": [ 2103 ], + "I3": [ 340 ], + "I4": [ 2665 ], + "O": [ 2518 ] + } + }, + "LUT5_48": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23551.5-23557.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2665 ], + "I1": [ 680 ], + "I2": [ 340 ], + "I3": [ 2103 ], + "I4": [ 3118 ], + "O": [ 361 ] + } + }, + "LUT5_49": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23561.5-23567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2666 ], + "I1": [ 368 ], + "I2": [ 624 ], + "I3": [ 358 ], + "I4": [ 614 ], + "O": [ 3268 ] + } + }, + "LUT5_4a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23571.5-23577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 360 ], + "I1": [ 342 ], + "I2": [ 616 ], + "I3": [ 600 ], + "I4": [ 644 ], + "O": [ 3269 ] + } + }, + "LUT5_4b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23581.5-23587.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 360 ], + "I1": [ 346 ], + "I2": [ 616 ], + "I3": [ 600 ], + "I4": [ 364 ], + "O": [ 2668 ] + } + }, + "LUT5_4c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23591.5-23597.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 342 ], + "I1": [ 616 ], + "I2": [ 360 ], + "I3": [ 364 ], + "I4": [ 600 ], + "O": [ 3270 ] + } + }, + "LUT5_4d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23601.5-23607.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 342 ], + "I1": [ 364 ], + "I2": [ 600 ], + "I3": [ 616 ], + "I4": [ 360 ], + "O": [ 3121 ] + } + }, + "LUT5_4e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23611.5-23617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 3122 ], + "I2": [ 3269 ], + "I3": [ 348 ], + "I4": [ 3271 ], + "O": [ 603 ] + } + }, + "LUT5_4f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23621.5-23627.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3270 ], + "I1": [ 3122 ], + "I2": [ 348 ], + "I3": [ 2669 ], + "I4": [ 2926 ], + "O": [ 601 ] + } + }, + "LUT5_5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23631.5-23637.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3272 ], + "I1": [ 2197 ], + "I2": [ 268 ], + "I3": [ 2116 ], + "I4": [ 3273 ], + "O": [ 2284 ] + } + }, + "LUT5_50": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23641.5-23647.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 596 ], + "I1": [ 580 ], + "I2": [ 604 ], + "I3": [ 606 ], + "I4": [ 588 ], + "O": [ 3274 ] + } + }, + "LUT5_51": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23651.5-23657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 604 ], + "I1": [ 580 ], + "I2": [ 632 ], + "I3": [ 606 ], + "I4": [ 588 ], + "O": [ 3275 ] + } + }, + "LUT5_52": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23661.5-23667.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 628 ], + "I1": [ 598 ], + "I2": [ 626 ], + "I3": [ 638 ], + "I4": [ 596 ], + "O": [ 3276 ] + } + }, + "LUT5_53": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23671.5-23677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2673 ], + "I1": [ 2927 ], + "I2": [ 2672 ], + "I3": [ 2671 ], + "I4": [ 3277 ], + "O": [ 635 ] + } + }, + "LUT5_54": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23681.5-23687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 606 ], + "I1": [ 634 ], + "I2": [ 636 ], + "I3": [ 610 ], + "I4": [ 362 ], + "O": [ 3124 ] + } + }, + "LUT5_55": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23691.5-23697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 604 ], + "I1": [ 606 ], + "I2": [ 598 ], + "I3": [ 634 ], + "I4": [ 2545 ], + "O": [ 2932 ] + } + }, + "LUT5_56": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23701.5-23707.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 636 ], + "I1": [ 590 ], + "I2": [ 634 ], + "I3": [ 604 ], + "I4": [ 606 ], + "O": [ 3278 ] + } + }, + "LUT5_57": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23711.5-23717.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3127 ], + "I1": [ 636 ], + "I2": [ 634 ], + "I3": [ 590 ], + "I4": [ 604 ], + "O": [ 599 ] + } + }, + "LUT5_58": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23721.5-23727.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 590 ], + "I1": [ 604 ], + "I2": [ 634 ], + "I3": [ 3127 ], + "I4": [ 636 ], + "O": [ 607 ] + } + }, + "LUT5_59": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23731.5-23737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 661 ], + "I1": [ 672 ], + "I2": [ 3279 ], + "I3": [ 336 ], + "I4": [ 378 ], + "O": [ 663 ] + } + }, + "LUT5_5a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23741.5-23747.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 674 ], + "I1": [ 2105 ], + "I2": [ 670 ], + "I3": [ 336 ], + "I4": [ 668 ], + "O": [ 661 ] + } + }, + "LUT5_5b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23751.5-23757.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 668 ], + "I2": [ 668 ], + "I3": [ 696 ], + "I4": [ 720 ], + "O": [ 677 ] + } + }, + "LUT5_5c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23761.5-23767.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 668 ], + "I1": [ 658 ], + "I2": [ 2683 ], + "I3": [ 720 ], + "I4": [ 668 ], + "O": [ 693 ] + } + }, + "LUT5_5d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23771.5-23777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 746 ], + "I1": [ 652 ], + "I2": [ 694 ], + "I3": [ 710 ], + "I4": [ 3280 ], + "O": [ 657 ] + } + }, + "LUT5_5e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23781.5-23787.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 694 ], + "I1": [ 668 ], + "I2": [ 692 ], + "I3": [ 670 ], + "I4": [ 710 ], + "O": [ 3281 ] + } + }, + "LUT5_5f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23791.5-23797.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 736 ], + "I1": [ 654 ], + "I2": [ 650 ], + "I3": [ 668 ], + "I4": [ 690 ], + "O": [ 3282 ] + } + }, + "LUT5_6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23801.5-23807.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2193 ], + "I1": [ 2118 ], + "I2": [ 2211 ], + "I3": [ 2197 ], + "I4": [ 2287 ], + "O": [ 3283 ] + } + }, + "LUT5_60": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23811.5-23817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 728 ], + "I1": [ 736 ], + "I2": [ 746 ], + "I3": [ 698 ], + "I4": [ 654 ], + "O": [ 3284 ] + } + }, + "LUT5_61": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23821.5-23827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 698 ], + "I1": [ 670 ], + "I2": [ 656 ], + "I3": [ 718 ], + "I4": [ 700 ], + "O": [ 2937 ] + } + }, + "LUT5_62": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23831.5-23837.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 742 ], + "I1": [ 684 ], + "I2": [ 734 ], + "I3": [ 670 ], + "I4": [ 716 ], + "O": [ 727 ] + } + }, + "LUT5_63": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23841.5-23847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 730 ], + "I1": [ 688 ], + "I2": [ 734 ], + "I3": [ 742 ], + "I4": [ 3130 ], + "O": [ 739 ] + } + }, + "LUT5_64": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23851.5-23857.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 734 ], + "I1": [ 670 ], + "I2": [ 730 ], + "I3": [ 2683 ], + "I4": [ 742 ], + "O": [ 3280 ] + } + }, + "LUT5_65": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23861.5-23867.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 832 ], + "I1": [ 790 ], + "I2": [ 820 ], + "I3": [ 876 ], + "I4": [ 810 ], + "O": [ 3285 ] + } + }, + "LUT5_66": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23871.5-23877.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 844 ], + "I1": [ 3149 ], + "I2": [ 840 ], + "I3": [ 2705 ], + "I4": [ 842 ], + "O": [ 3286 ] + } + }, + "LUT5_67": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23881.5-23887.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2689 ], + "I1": [ 2690 ], + "I2": [ 3287 ], + "I3": [ 2685 ], + "I4": [ 2942 ], + "O": [ 787 ] + } + }, + "LUT5_68": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23891.5-23897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2690 ], + "I1": [ 2940 ], + "I2": [ 2942 ], + "I3": [ 3288 ], + "I4": [ 2687 ], + "O": [ 863 ] + } + }, + "LUT5_69": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23901.5-23907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 874 ], + "I1": [ 838 ], + "I2": [ 830 ], + "I3": [ 792 ], + "I4": [ 864 ], + "O": [ 3289 ] + } + }, + "LUT5_6a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23911.5-23917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 830 ], + "I1": [ 792 ], + "I2": [ 838 ], + "I3": [ 826 ], + "I4": [ 864 ], + "O": [ 2694 ] + } + }, + "LUT5_6b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23921.5-23927.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 400 ], + "I1": [ 3290 ], + "I2": [ 3291 ], + "I3": [ 3292 ], + "I4": [ 756 ], + "O": [ 3293 ] + } + }, + "LUT5_6c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23931.5-23937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 398 ], + "I1": [ 852 ], + "I2": [ 854 ], + "I3": [ 856 ], + "I4": [ 3145 ], + "O": [ 3143 ] + } + }, + "LUT5_6d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23941.5-23947.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 900 ], + "I1": [ 890 ], + "I2": [ 912 ], + "I3": [ 906 ], + "I4": [ 914 ], + "O": [ 3294 ] + } + }, + "LUT5_6e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23951.5-23957.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 906 ], + "I1": [ 804 ], + "I2": [ 894 ], + "I3": [ 3142 ], + "I4": [ 2698 ], + "O": [ 857 ] + } + }, + "LUT5_6f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23961.5-23967.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 906 ], + "I1": [ 896 ], + "I2": [ 904 ], + "I3": [ 804 ], + "I4": [ 3141 ], + "O": [ 387 ] + } + }, + "LUT5_7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23971.5-23977.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2218 ], + "I1": [ 2288 ], + "I2": [ 2103 ], + "I3": [ 666 ], + "I4": [ 2218 ], + "O": [ 435 ] + } + }, + "LUT5_70": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23981.5-23987.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2696 ], + "I1": [ 2697 ], + "I2": [ 3295 ], + "I3": [ 3296 ], + "I4": [ 3294 ], + "O": [ 859 ] + } + }, + "LUT5_71": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:23991.5-23997.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 912 ], + "I1": [ 900 ], + "I2": [ 886 ], + "I3": [ 902 ], + "I4": [ 3295 ], + "O": [ 389 ] + } + }, + "LUT5_72": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24001.5-24007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3145 ], + "I1": [ 908 ], + "I2": [ 390 ], + "I3": [ 392 ], + "I4": [ 388 ], + "O": [ 3297 ] + } + }, + "LUT5_73": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24011.5-24017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 402 ], + "I1": [ 398 ], + "I2": [ 3145 ], + "I3": [ 2702 ], + "I4": [ 392 ], + "O": [ 853 ] + } + }, + "LUT5_74": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24021.5-24027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 392 ], + "I1": [ 2702 ], + "I2": [ 3145 ], + "I3": [ 402 ], + "I4": [ 398 ], + "O": [ 851 ] + } + }, + "LUT5_75": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24031.5-24037.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 766 ], + "I1": [ 768 ], + "I2": [ 754 ], + "I3": [ 726 ], + "I4": [ 780 ], + "O": [ 3147 ] + } + }, + "LUT5_76": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24041.5-24047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3147 ], + "I1": [ 770 ], + "I2": [ 774 ], + "I3": [ 772 ], + "I4": [ 3293 ], + "O": [ 755 ] + } + }, + "LUT5_77": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24051.5-24057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 750 ], + "I1": [ 754 ], + "I2": [ 772 ], + "I3": [ 766 ], + "I4": [ 726 ], + "O": [ 3298 ] + } + }, + "LUT5_78": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24061.5-24067.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 766 ], + "I1": [ 772 ], + "I2": [ 752 ], + "I3": [ 754 ], + "I4": [ 778 ], + "O": [ 3145 ] + } + }, + "LUT5_79": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24071.5-24077.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 772 ], + "I1": [ 774 ], + "I2": [ 754 ], + "I3": [ 750 ], + "I4": [ 776 ], + "O": [ 3299 ] + } + }, + "LUT5_7a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24081.5-24087.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2674 ], + "I1": [ 380 ], + "I2": [ 2956 ], + "I3": [ 623 ], + "I4": [ 384 ], + "O": [ 2707 ] + } + }, + "LUT5_7b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24091.5-24097.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2954 ], + "I1": [ 672 ], + "I2": [ 764 ], + "I3": [ 336 ], + "I4": [ 404 ], + "O": [ 749 ] + } + }, + "LUT5_7c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24101.5-24107.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2957 ], + "I1": [ 2955 ], + "I2": [ 336 ], + "I3": [ 2956 ], + "I4": [ 2674 ], + "O": [ 383 ] + } + }, + "LUT5_7d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24111.5-24117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2711 ], + "I1": [ 2706 ], + "I2": [ 406 ], + "I3": [ 2960 ], + "I4": [ 661 ], + "O": [ 769 ] + } + }, + "LUT5_7e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24121.5-24127.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2710 ], + "I1": [ 406 ], + "I2": [ 2711 ], + "I3": [ 2960 ], + "I4": [ 664 ], + "O": [ 375 ] + } + }, + "LUT5_7f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24131.5-24137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2712 ], + "I1": [ 2843 ], + "I2": [ 2120 ], + "I3": [ 2964 ], + "I4": [ 2963 ], + "O": [ 437 ] + } + }, + "LUT5_8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24141.5-24147.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 714 ], + "I1": [ 646 ], + "I2": [ 748 ], + "I3": [ 680 ], + "I4": [ 2535 ], + "O": [ 3202 ] + } + }, + "LUT5_80": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24151.5-24157.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 444 ], + "I1": [ 2177 ], + "I2": [ 2844 ], + "I3": [ 2207 ], + "I4": [ 410 ], + "O": [ 2961 ] + } + }, + "LUT5_81": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24161.5-24167.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2275 ], + "I1": [ 456 ], + "I2": [ 1296 ], + "I3": [ 490 ], + "I4": [ 462 ], + "O": [ 3300 ] + } + }, + "LUT5_82": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24171.5-24177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1296 ], + "I1": [ 490 ], + "I2": [ 462 ], + "I3": [ 2275 ], + "I4": [ 456 ], + "O": [ 3301 ] + } + }, + "LUT5_83": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24181.5-24187.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2217 ], + "I1": [ 490 ], + "I2": [ 446 ], + "I3": [ 2179 ], + "I4": [ 2275 ], + "O": [ 2244 ] + } + }, + "LUT5_84": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24191.5-24197.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 448 ], + "I1": [ 420 ], + "I2": [ 1072 ], + "I3": [ 418 ], + "I4": [ 444 ], + "O": [ 2717 ] + } + }, + "LUT5_85": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24201.5-24207.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 478 ], + "I1": [ 454 ], + "I2": [ 482 ], + "I3": [ 1326 ], + "I4": [ 504 ], + "O": [ 3302 ] + } + }, + "LUT5_86": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24211.5-24217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3303 ], + "I1": [ 3304 ], + "I2": [ 3305 ], + "I3": [ 503 ], + "I4": [ 3306 ], + "O": [ 477 ] + } + }, + "LUT5_87": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24221.5-24227.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1324 ], + "I1": [ 482 ], + "I2": [ 536 ], + "I3": [ 480 ], + "I4": [ 1180 ], + "O": [ 3305 ] + } + }, + "LUT5_88": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24231.5-24237.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1182 ], + "I1": [ 1324 ], + "I2": [ 1180 ], + "I3": [ 536 ], + "I4": [ 480 ], + "O": [ 3303 ] + } + }, + "LUT5_89": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24241.5-24247.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1174 ], + "I1": [ 574 ], + "I2": [ 552 ], + "I3": [ 576 ], + "I4": [ 554 ], + "O": [ 2727 ] + } + }, + "LUT5_8a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24251.5-24257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 558 ], + "I1": [ 526 ], + "I2": [ 574 ], + "I3": [ 1138 ], + "I4": [ 518 ], + "O": [ 3307 ] + } + }, + "LUT5_8b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24261.5-24267.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 568 ], + "I1": [ 1172 ], + "I2": [ 570 ], + "I3": [ 566 ], + "I4": [ 576 ], + "O": [ 3154 ] + } + }, + "LUT5_8c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24271.5-24277.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2733 ], + "I1": [ 2972 ], + "I2": [ 1172 ], + "I3": [ 566 ], + "I4": [ 2732 ], + "O": [ 1125 ] + } + }, + "LUT5_8d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24281.5-24287.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2731 ], + "I1": [ 2973 ], + "I2": [ 528 ], + "I3": [ 3154 ], + "I4": [ 1176 ], + "O": [ 545 ] + } + }, + "LUT5_8e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24291.5-24297.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1136 ], + "I1": [ 556 ], + "I2": [ 1174 ], + "I3": [ 572 ], + "I4": [ 530 ], + "O": [ 2973 ] + } + }, + "LUT5_8f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24301.5-24307.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2728 ], + "I1": [ 1136 ], + "I2": [ 552 ], + "I3": [ 2730 ], + "I4": [ 3307 ], + "O": [ 1133 ] + } + }, + "LUT5_9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24311.5-24317.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 1192 ], + "I2": [ 2103 ], + "I3": [ 2493 ], + "I4": [ 624 ], + "O": [ 3024 ] + } + }, + "LUT5_90": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24321.5-24327.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1144 ], + "I1": [ 1128 ], + "I2": [ 516 ], + "I3": [ 1130 ], + "I4": [ 3308 ], + "O": [ 1145 ] + } + }, + "LUT5_91": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24331.5-24337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2738 ], + "I1": [ 449 ], + "I2": [ 1178 ], + "I3": [ 486 ], + "I4": [ 1130 ], + "O": [ 569 ] + } + }, + "LUT5_92": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24341.5-24347.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 516 ], + "I2": [ 1132 ], + "I3": [ 1154 ], + "I4": [ 1156 ], + "O": [ 3309 ] + } + }, + "LUT5_93": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24351.5-24357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 516 ], + "I1": [ 1156 ], + "I2": [ 1154 ], + "I3": [ 1132 ], + "I4": [ 449 ], + "O": [ 3310 ] + } + }, + "LUT5_94": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24361.5-24367.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1140 ], + "I1": [ 1148 ], + "I2": [ 3311 ], + "I3": [ 2735 ], + "I4": [ 560 ], + "O": [ 563 ] + } + }, + "LUT5_95": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24371.5-24377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3304 ], + "I1": [ 502 ], + "I2": [ 3312 ], + "I3": [ 3302 ], + "I4": [ 3303 ], + "O": [ 507 ] + } + }, + "LUT5_96": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24381.5-24387.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 2977 ], + "I2": [ 2747 ], + "I3": [ 506 ], + "I4": [ 502 ], + "O": [ 503 ] + } + }, + "LUT5_97": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24391.5-24397.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1258 ], + "I1": [ 498 ], + "I2": [ 1256 ], + "I3": [ 1232 ], + "I4": [ 2247 ], + "O": [ 2979 ] + } + }, + "LUT5_98": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24401.5-24407.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2249 ], + "I1": [ 1188 ], + "I2": [ 494 ], + "I3": [ 1234 ], + "I4": [ 458 ], + "O": [ 3159 ] + } + }, + "LUT5_99": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24411.5-24417.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3159 ], + "I1": [ 3160 ], + "I2": [ 1188 ], + "I3": [ 1258 ], + "I4": [ 1234 ], + "O": [ 1245 ] + } + }, + "LUT5_9a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24421.5-24427.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1168 ], + "I1": [ 1244 ], + "I2": [ 1252 ], + "I3": [ 1236 ], + "I4": [ 2247 ], + "O": [ 2746 ] + } + }, + "LUT5_9b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24431.5-24437.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1252 ], + "I1": [ 1248 ], + "I2": [ 1244 ], + "I3": [ 494 ], + "I4": [ 1190 ], + "O": [ 2983 ] + } + }, + "LUT5_9c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24441.5-24447.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1204 ], + "I1": [ 1244 ], + "I2": [ 494 ], + "I3": [ 1190 ], + "I4": [ 1252 ], + "O": [ 2744 ] + } + }, + "LUT5_9d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24451.5-24457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2745 ], + "I1": [ 2746 ], + "I2": [ 2744 ], + "I3": [ 2983 ], + "I4": [ 3313 ], + "O": [ 1169 ] + } + }, + "LUT5_9e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24461.5-24467.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1198 ], + "I1": [ 1274 ], + "I2": [ 1242 ], + "I3": [ 1214 ], + "I4": [ 1166 ], + "O": [ 3314 ] + } + }, + "LUT5_9f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24471.5-24477.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1210 ], + "I1": [ 1198 ], + "I2": [ 1240 ], + "I3": [ 1250 ], + "I4": [ 1238 ], + "O": [ 3315 ] + } + }, + "LUT5_a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24481.5-24487.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2103 ], + "I1": [ 2493 ], + "I2": [ 2491 ], + "I3": [ 1192 ], + "I4": [ 624 ], + "O": [ 2576 ] + } + }, + "LUT5_a0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24491.5-24497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2987 ], + "I1": [ 2986 ], + "I2": [ 2985 ], + "I3": [ 1162 ], + "I4": [ 3164 ], + "O": [ 1253 ] + } + }, + "LUT5_a1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24501.5-24507.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1220 ], + "I1": [ 1170 ], + "I2": [ 1926 ], + "I3": [ 1202 ], + "I4": [ 1246 ], + "O": [ 2750 ] + } + }, + "LUT5_a2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24511.5-24517.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 500 ], + "I1": [ 1312 ], + "I2": [ 1282 ], + "I3": [ 1310 ], + "I4": [ 1324 ], + "O": [ 3166 ] + } + }, + "LUT5_a3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24521.5-24527.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1324 ], + "I1": [ 1312 ], + "I2": [ 500 ], + "I3": [ 1310 ], + "I4": [ 1282 ], + "O": [ 3316 ] + } + }, + "LUT5_a4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24531.5-24537.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3317 ], + "I1": [ 3316 ], + "I2": [ 2989 ], + "I3": [ 2756 ], + "I4": [ 2988 ], + "O": [ 1275 ] + } + }, + "LUT5_a5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24541.5-24547.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2713 ], + "I1": [ 1322 ], + "I2": [ 1320 ], + "I3": [ 1272 ], + "I4": [ 1264 ], + "O": [ 3169 ] + } + }, + "LUT5_a6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24551.5-24557.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1264 ], + "I1": [ 1320 ], + "I2": [ 2713 ], + "I3": [ 1272 ], + "I4": [ 1322 ], + "O": [ 2758 ] + } + }, + "LUT5_a7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24561.5-24567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2757 ], + "I1": [ 2758 ], + "I2": [ 3170 ], + "I3": [ 3169 ], + "I4": [ 2990 ], + "O": [ 1033 ] + } + }, + "LUT5_a8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24571.5-24577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2713 ], + "I1": [ 1280 ], + "I2": [ 1316 ], + "I3": [ 2720 ], + "I4": [ 1286 ], + "O": [ 2993 ] + } + }, + "LUT5_a9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24581.5-24587.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1318 ], + "I1": [ 2720 ], + "I2": [ 1280 ], + "I3": [ 1268 ], + "I4": [ 1270 ], + "O": [ 3318 ] + } + }, + "LUT5_aa": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24591.5-24597.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2997 ], + "I1": [ 2994 ], + "I2": [ 2996 ], + "I3": [ 2991 ], + "I4": [ 2995 ], + "O": [ 1319 ] + } + }, + "LUT5_ab": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24601.5-24607.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1302 ], + "I1": [ 1016 ], + "I2": [ 992 ], + "I3": [ 1352 ], + "I4": [ 1298 ], + "O": [ 3319 ] + } + }, + "LUT5_ac": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24611.5-24617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1016 ], + "I1": [ 996 ], + "I2": [ 1020 ], + "I3": [ 1302 ], + "I4": [ 992 ], + "O": [ 3001 ] + } + }, + "LUT5_ad": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24621.5-24627.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1014 ], + "I1": [ 1344 ], + "I2": [ 3320 ], + "I3": [ 3321 ], + "I4": [ 3004 ], + "O": [ 1017 ] + } + }, + "LUT5_ae": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24631.5-24637.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3322 ], + "I1": [ 3323 ], + "I2": [ 1010 ], + "I3": [ 3178 ], + "I4": [ 2765 ], + "O": [ 1305 ] + } + }, + "LUT5_af": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24641.5-24647.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3003 ], + "I1": [ 2999 ], + "I2": [ 2159 ], + "I3": [ 2998 ], + "I4": [ 2761 ], + "O": [ 1339 ] + } + }, + "LUT5_b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24651.5-24657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2485 ], + "I1": [ 624 ], + "I2": [ 850 ], + "I3": [ 680 ], + "I4": [ 2493 ], + "O": [ 3324 ] + } + }, + "LUT5_b0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24661.5-24667.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3325 ], + "I1": [ 990 ], + "I2": [ 1304 ], + "I3": [ 1008 ], + "I4": [ 1020 ], + "O": [ 1377 ] + } + }, + "LUT5_b1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24671.5-24677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 996 ], + "I1": [ 1350 ], + "I2": [ 3002 ], + "I3": [ 1010 ], + "I4": [ 2764 ], + "O": [ 1009 ] + } + }, + "LUT5_b2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24681.5-24687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1010 ], + "I1": [ 1350 ], + "I2": [ 1020 ], + "I3": [ 996 ], + "I4": [ 3002 ], + "O": [ 995 ] + } + }, + "LUT5_b3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24691.5-24697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1014 ], + "I1": [ 3176 ], + "I2": [ 1008 ], + "I3": [ 992 ], + "I4": [ 990 ], + "O": [ 1355 ] + } + }, + "LUT5_b4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24701.5-24707.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1302 ], + "I1": [ 1018 ], + "I2": [ 2159 ], + "I3": [ 3177 ], + "I4": [ 1352 ], + "O": [ 3322 ] + } + }, + "LUT5_b5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24711.5-24717.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1018 ], + "I1": [ 1352 ], + "I2": [ 3177 ], + "I3": [ 1302 ], + "I4": [ 2159 ], + "O": [ 2999 ] + } + }, + "LUT5_b6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24721.5-24727.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 968 ], + "I1": [ 3179 ], + "I2": [ 978 ], + "I3": [ 944 ], + "I4": [ 926 ], + "O": [ 1437 ] + } + }, + "LUT5_b7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24731.5-24737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 948 ], + "I1": [ 1402 ], + "I2": [ 2768 ], + "I3": [ 1400 ], + "I4": [ 3326 ], + "O": [ 1433 ] + } + }, + "LUT5_b8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24741.5-24747.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1398 ], + "I1": [ 924 ], + "I2": [ 1402 ], + "I3": [ 956 ], + "I4": [ 922 ], + "O": [ 2767 ] + } + }, + "LUT5_b9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24751.5-24757.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3180 ], + "I1": [ 2767 ], + "I2": [ 2766 ], + "I3": [ 2771 ], + "I4": [ 3181 ], + "O": [ 1439 ] + } + }, + "LUT5_ba": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24761.5-24767.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2771 ], + "I1": [ 3180 ], + "I2": [ 2766 ], + "I3": [ 2767 ], + "I4": [ 2772 ], + "O": [ 1451 ] + } + }, + "LUT5_bb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24771.5-24777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2771 ], + "I1": [ 2767 ], + "I2": [ 2772 ], + "I3": [ 3005 ], + "I4": [ 3180 ], + "O": [ 977 ] + } + }, + "LUT5_bc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24781.5-24787.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2771 ], + "I1": [ 3180 ], + "I2": [ 2767 ], + "I3": [ 2772 ], + "I4": [ 3005 ], + "O": [ 925 ] + } + }, + "LUT5_bd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24791.5-24797.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3181 ], + "I1": [ 950 ], + "I2": [ 940 ], + "I3": [ 942 ], + "I4": [ 3006 ], + "O": [ 1373 ] + } + }, + "LUT5_be": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24801.5-24807.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1442 ], + "I1": [ 962 ], + "I2": [ 1448 ], + "I3": [ 936 ], + "I4": [ 932 ], + "O": [ 3327 ] + } + }, + "LUT5_bf": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24811.5-24817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 932 ], + "I1": [ 1442 ], + "I2": [ 1448 ], + "I3": [ 962 ], + "I4": [ 936 ], + "O": [ 3182 ] + } + }, + "LUT5_c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24821.5-24827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3023 ], + "I1": [ 2548 ], + "I2": [ 2593 ], + "I3": [ 2562 ], + "I4": [ 3024 ], + "O": [ 2488 ] + } + }, + "LUT5_c0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24831.5-24837.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2776 ], + "I1": [ 3008 ], + "I2": [ 2774 ], + "I3": [ 3182 ], + "I4": [ 2775 ], + "O": [ 983 ] + } + }, + "LUT5_c1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24841.5-24847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1450 ], + "I1": [ 960 ], + "I2": [ 1452 ], + "I3": [ 1460 ], + "I4": [ 1368 ], + "O": [ 1401 ] + } + }, + "LUT5_c2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24851.5-24857.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1462 ], + "I1": [ 1330 ], + "I2": [ 1426 ], + "I3": [ 1446 ], + "I4": [ 1414 ], + "O": [ 3328 ] + } + }, + "LUT5_c3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24861.5-24867.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1420 ], + "I1": [ 2778 ], + "I2": [ 3329 ], + "I3": [ 1434 ], + "I4": [ 3010 ], + "O": [ 957 ] + } + }, + "LUT5_c4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24871.5-24877.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3010 ], + "I1": [ 1434 ], + "I2": [ 2778 ], + "I3": [ 1420 ], + "I4": [ 3329 ], + "O": [ 1399 ] + } + }, + "LUT5_c5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24881.5-24887.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1434 ], + "I1": [ 1420 ], + "I2": [ 3010 ], + "I3": [ 3329 ], + "I4": [ 2778 ], + "O": [ 1415 ] + } + }, + "LUT5_c6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24891.5-24897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1418 ], + "I1": [ 1382 ], + "I2": [ 1002 ], + "I3": [ 1416 ], + "I4": [ 966 ], + "O": [ 3330 ] + } + }, + "LUT5_c7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24901.5-24907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1370 ], + "I1": [ 1364 ], + "I2": [ 966 ], + "I3": [ 1418 ], + "I4": [ 1410 ], + "O": [ 3015 ] + } + }, + "LUT5_c8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24911.5-24917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1340 ], + "I1": [ 1334 ], + "I2": [ 1376 ], + "I3": [ 3331 ], + "I4": [ 1332 ], + "O": [ 1369 ] + } + }, + "LUT5_c9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24921.5-24927.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1376 ], + "I1": [ 1354 ], + "I2": [ 1340 ], + "I3": [ 1334 ], + "I4": [ 1332 ], + "O": [ 3332 ] + } + }, + "LUT5_ca": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24931.5-24937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3018 ], + "I1": [ 3019 ], + "I2": [ 2785 ], + "I3": [ 1386 ], + "I4": [ 1358 ], + "O": [ 3333 ] + } + }, + "LUT5_cb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24941.5-24947.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1388 ], + "I1": [ 1386 ], + "I2": [ 3193 ], + "I3": [ 1384 ], + "I4": [ 1356 ], + "O": [ 1381 ] + } + }, + "LUT5_cc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24951.5-24957.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2790 ], + "I1": [ 3022 ], + "I2": [ 2791 ], + "I3": [ 3020 ], + "I4": [ 3021 ], + "O": [ 1037 ] + } + }, + "LUT5_cd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24961.5-24967.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3026 ], + "I1": [ 1296 ], + "I2": [ 1030 ], + "I3": [ 3027 ], + "I4": [ 1038 ], + "O": [ 1297 ] + } + }, + "LUT5_ce": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24971.5-24977.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3030 ], + "I1": [ 3334 ], + "I2": [ 2795 ], + "I3": [ 2794 ], + "I4": [ 2793 ], + "O": [ 1035 ] + } + }, + "LUT5_cf": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24981.5-24987.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1040 ], + "I1": [ 996 ], + "I2": [ 3029 ], + "I3": [ 1074 ], + "I4": [ 3028 ], + "O": [ 1041 ] + } + }, + "LUT5_d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:24991.5-24997.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 408 ], + "I1": [ 3039 ], + "I2": [ 442 ], + "I3": [ 1192 ], + "I4": [ 578 ], + "O": [ 3335 ] + } + }, + "LUT5_d0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25001.5-25007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2164 ], + "I1": [ 1032 ], + "I2": [ 1044 ], + "I3": [ 2162 ], + "I4": [ 1026 ], + "O": [ 3334 ] + } + }, + "LUT5_d1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25011.5-25017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1084 ], + "I1": [ 1082 ], + "I2": [ 2843 ], + "I3": [ 3336 ], + "I4": [ 3337 ], + "O": [ 1079 ] + } + }, + "LUT5_d2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25021.5-25027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 1922 ], + "I2": [ 1060 ], + "I3": [ 1076 ], + "I4": [ 1032 ], + "O": [ 3338 ] + } + }, + "LUT5_d3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25031.5-25037.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3200 ], + "I1": [ 1080 ], + "I2": [ 3201 ], + "I3": [ 3338 ], + "I4": [ 3339 ], + "O": [ 1057 ] + } + }, + "LUT5_d4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25041.5-25047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 2802 ], + "I2": [ 3200 ], + "I3": [ 2801 ], + "I4": [ 3201 ], + "O": [ 1087 ] + } + }, + "LUT5_d5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25051.5-25057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 446 ], + "I2": [ 1083 ], + "I3": [ 2251 ], + "I4": [ 2167 ], + "O": [ 3201 ] + } + }, + "LUT5_d6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25061.5-25067.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 2167 ], + "I2": [ 446 ], + "I3": [ 1083 ], + "I4": [ 2251 ], + "O": [ 3340 ] + } + }, + "LUT5_d7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25071.5-25077.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1834 ], + "I1": [ 1808 ], + "I2": [ 2813 ], + "I3": [ 2806 ], + "I4": [ 1822 ], + "O": [ 3208 ] + } + }, + "LUT5_d8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25081.5-25087.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1694 ], + "I1": [ 2806 ], + "I2": [ 1118 ], + "I3": [ 1808 ], + "I4": [ 1834 ], + "O": [ 3341 ] + } + }, + "LUT5_d9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25091.5-25097.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2810 ], + "I1": [ 3342 ], + "I2": [ 3036 ], + "I3": [ 3206 ], + "I4": [ 1828 ], + "O": [ 1691 ] + } + }, + "LUT5_da": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25101.5-25107.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1830 ], + "I1": [ 1834 ], + "I2": [ 1808 ], + "I3": [ 2806 ], + "I4": [ 1118 ], + "O": [ 3037 ] + } + }, + "LUT5_db": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25111.5-25117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2807 ], + "I1": [ 3038 ], + "I2": [ 3037 ], + "I3": [ 3207 ], + "I4": [ 3035 ], + "O": [ 1099 ] + } + }, + "LUT5_dc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25121.5-25127.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3343 ], + "I1": [ 2806 ], + "I2": [ 1118 ], + "I3": [ 3040 ], + "I4": [ 1678 ], + "O": [ 1809 ] + } + }, + "LUT5_dd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25131.5-25137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1118 ], + "I1": [ 2806 ], + "I2": [ 3040 ], + "I3": [ 1678 ], + "I4": [ 3343 ], + "O": [ 1831 ] + } + }, + "LUT5_de": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25141.5-25147.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1684 ], + "I1": [ 1784 ], + "I2": [ 1820 ], + "I3": [ 1810 ], + "I4": [ 1824 ], + "O": [ 2812 ] + } + }, + "LUT5_df": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25151.5-25157.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1710 ], + "I1": [ 1682 ], + "I2": [ 1102 ], + "I3": [ 1686 ], + "I4": [ 1730 ], + "O": [ 2815 ] + } + }, + "LUT5_e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25161.5-25167.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 850 ], + "I1": [ 782 ], + "I2": [ 544 ], + "I3": [ 2487 ], + "I4": [ 2181 ], + "O": [ 3344 ] + } + }, + "LUT5_e0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25171.5-25177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1726 ], + "I1": [ 1708 ], + "I2": [ 1102 ], + "I3": [ 1682 ], + "I4": [ 1710 ], + "O": [ 1723 ] + } + }, + "LUT5_e1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25181.5-25187.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3209 ], + "I1": [ 3042 ], + "I2": [ 3345 ], + "I3": [ 2816 ], + "I4": [ 3346 ], + "O": [ 1705 ] + } + }, + "LUT5_e2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25191.5-25197.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1788 ], + "I1": [ 2818 ], + "I2": [ 3043 ], + "I3": [ 1750 ], + "I4": [ 3044 ], + "O": [ 1799 ] + } + }, + "LUT5_e3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25201.5-25207.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1744 ], + "I1": [ 1756 ], + "I2": [ 1724 ], + "I3": [ 1752 ], + "I4": [ 1792 ], + "O": [ 3347 ] + } + }, + "LUT5_e4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25211.5-25217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1768 ], + "I1": [ 1712 ], + "I2": [ 1752 ], + "I3": [ 1744 ], + "I4": [ 1756 ], + "O": [ 3050 ] + } + }, + "LUT5_e5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25221.5-25227.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1746 ], + "I1": [ 1714 ], + "I2": [ 1748 ], + "I3": [ 1800 ], + "I4": [ 1776 ], + "O": [ 3348 ] + } + }, + "LUT5_e6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25231.5-25237.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1800 ], + "I1": [ 3048 ], + "I2": [ 2821 ], + "I3": [ 3211 ], + "I4": [ 1746 ], + "O": [ 1741 ] + } + }, + "LUT5_e7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25241.5-25247.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2822 ], + "I1": [ 3048 ], + "I2": [ 1748 ], + "I3": [ 3047 ], + "I4": [ 2821 ], + "O": [ 1787 ] + } + }, + "LUT5_e8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25251.5-25257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1800 ], + "I1": [ 2822 ], + "I2": [ 3348 ], + "I3": [ 3046 ], + "I4": [ 3211 ], + "O": [ 1789 ] + } + }, + "LUT5_e9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25261.5-25267.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1754 ], + "I1": [ 1794 ], + "I2": [ 1722 ], + "I3": [ 1786 ], + "I4": [ 1798 ], + "O": [ 3047 ] + } + }, + "LUT5_ea": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25271.5-25277.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3049 ], + "I1": [ 2820 ], + "I2": [ 2819 ], + "I3": [ 3050 ], + "I4": [ 3347 ], + "O": [ 1709 ] + } + }, + "LUT5_eb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25281.5-25287.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2819 ], + "I1": [ 3049 ], + "I2": [ 3050 ], + "I3": [ 2820 ], + "I4": [ 3347 ], + "O": [ 1761 ] + } + }, + "LUT5_ec": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25291.5-25297.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1066 ], + "I1": [ 1104 ], + "I2": [ 3051 ], + "I3": [ 2813 ], + "I4": [ 1064 ], + "O": [ 2827 ] + } + }, + "LUT5_ed": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25301.5-25307.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3213 ], + "I1": [ 3055 ], + "I2": [ 2827 ], + "I3": [ 2826 ], + "I4": [ 3349 ], + "O": [ 1113 ] + } + }, + "LUT5_ee": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25311.5-25317.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1812 ], + "I1": [ 446 ], + "I2": [ 1098 ], + "I3": [ 1080 ], + "I4": [ 1834 ], + "O": [ 3350 ] + } + }, + "LUT5_ef": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25321.5-25327.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1862 ], + "I1": [ 1900 ], + "I2": [ 1848 ], + "I3": [ 1904 ], + "I4": [ 1086 ], + "O": [ 2831 ] + } + }, + "LUT5_f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25331.5-25337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2475 ], + "I1": [ 1942 ], + "I2": [ 1056 ], + "I3": [ 2455 ], + "I4": [ 1396 ], + "O": [ 2877 ] + } + }, + "LUT5_f0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25341.5-25347.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1862 ], + "I1": [ 2831 ], + "I2": [ 446 ], + "I3": [ 3351 ], + "I4": [ 1900 ], + "O": [ 1877 ] + } + }, + "LUT5_f1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25351.5-25357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3056 ], + "I1": [ 1862 ], + "I2": [ 1864 ], + "I3": [ 1876 ], + "I4": [ 2833 ], + "O": [ 1897 ] + } + }, + "LUT5_f2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25361.5-25367.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2833 ], + "I1": [ 2831 ], + "I2": [ 2832 ], + "I3": [ 1848 ], + "I4": [ 1864 ], + "O": [ 1859 ] + } + }, + "LUT5_f3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25371.5-25377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1882 ], + "I1": [ 1088 ], + "I2": [ 1086 ], + "I3": [ 1878 ], + "I4": [ 1888 ], + "O": [ 3352 ] + } + }, + "LUT5_f4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25381.5-25387.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1088 ], + "I1": [ 1902 ], + "I2": [ 1086 ], + "I3": [ 1882 ], + "I4": [ 1878 ], + "O": [ 3353 ] + } + }, + "LUT5_f5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25391.5-25397.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 1818 ], + "I2": [ 1896 ], + "I3": [ 1906 ], + "I4": [ 1860 ], + "O": [ 2838 ] + } + }, + "LUT5_f6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25401.5-25407.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3061 ], + "I1": [ 1866 ], + "I2": [ 2839 ], + "I3": [ 1846 ], + "I4": [ 1064 ], + "O": [ 1863 ] + } + }, + "LUT5_f7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25411.5-25417.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1866 ], + "I1": [ 1846 ], + "I2": [ 2839 ], + "I3": [ 3061 ], + "I4": [ 1818 ], + "O": [ 1861 ] + } + }, + "LUT5_f8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25421.5-25427.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 490 ], + "I1": [ 448 ], + "I2": [ 200 ], + "I3": [ 462 ], + "I4": [ 2253 ], + "O": [ 2254 ] + } + }, + "LUT5_f9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25431.5-25437.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 462 ], + "I1": [ 448 ], + "I2": [ 490 ], + "I3": [ 200 ], + "I4": [ 2253 ], + "O": [ 459 ] + } + }, + "LUT5_fa": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25441.5-25447.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 2290 ], + "I2": [ 3354 ], + "I3": [ 456 ], + "I4": [ 3312 ], + "O": [ 409 ] + } + }, + "LUT5_fb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25451.5-25457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3354 ], + "I1": [ 448 ], + "I2": [ 2162 ], + "I3": [ 456 ], + "I4": [ 2290 ], + "O": [ 457 ] + } + }, + "LUT5_fc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25461.5-25467.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2168 ], + "I1": [ 448 ], + "I2": [ 456 ], + "I3": [ 2162 ], + "I4": [ 3354 ], + "O": [ 463 ] + } + }, + "LUT5_fd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25471.5-25477.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2293 ], + "I1": [ 454 ], + "I2": [ 3312 ], + "I3": [ 2295 ], + "I4": [ 2297 ], + "O": [ 2298 ] + } + }, + "LUT5_fe": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25481.5-25487.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2845 ], + "I1": [ 2172 ], + "I2": [ 2171 ], + "I3": [ 2301 ], + "I4": [ 2293 ], + "O": [ 3355 ] + } + }, + "LUT5_ff": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25491.5-25497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2845 ], + "I1": [ 2301 ], + "I2": [ 2295 ], + "I3": [ 2257 ], + "I4": [ 3312 ], + "O": [ 2849 ] + } + }, + "LUT6_0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25501.5-25508.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1072 ], + "I1": [ 474 ], + "I2": [ 234 ], + "I3": [ 302 ], + "I4": [ 2197 ], + "I5": [ 268 ], + "O": [ 3356 ] + } + }, + "LUT6_1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25512.5-25519.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 234 ], + "I1": [ 1464 ], + "I2": [ 2379 ], + "I3": [ 3356 ], + "I4": [ 2481 ], + "I5": [ 3354 ], + "O": [ 2324 ] + } + }, + "LUT6_10": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25523.5-25530.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2193 ], + "I1": [ 2116 ], + "I2": [ 2118 ], + "I3": [ 2992 ], + "I4": [ 2197 ], + "I5": [ 3272 ], + "O": [ 2336 ] + } + }, + "LUT6_100": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25534.5-25541.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2940 ], + "I1": [ 2941 ], + "I2": [ 2942 ], + "I3": [ 2690 ], + "I4": [ 2943 ], + "I5": [ 2688 ], + "O": [ 829 ] + } + }, + "LUT6_101": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25545.5-25552.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3136 ], + "I1": [ 788 ], + "I2": [ 3285 ], + "I3": [ 2944 ], + "I4": [ 2947 ], + "I5": [ 3357 ], + "O": [ 817 ] + } + }, + "LUT6_102": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25556.5-25563.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2947 ], + "I1": [ 3285 ], + "I2": [ 2946 ], + "I3": [ 2686 ], + "I4": [ 2945 ], + "I5": [ 3136 ], + "O": [ 841 ] + } + }, + "LUT6_103": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25567.5-25574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2946 ], + "I1": [ 2944 ], + "I2": [ 2686 ], + "I3": [ 2945 ], + "I4": [ 788 ], + "I5": [ 3137 ], + "O": [ 811 ] + } + }, + "LUT6_104": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25578.5-25585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2945 ], + "I1": [ 2944 ], + "I2": [ 2947 ], + "I3": [ 2946 ], + "I4": [ 788 ], + "I5": [ 2686 ], + "O": [ 867 ] + } + }, + "LUT6_105": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25589.5-25596.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2945 ], + "I1": [ 2944 ], + "I2": [ 788 ], + "I3": [ 2947 ], + "I4": [ 3285 ], + "I5": [ 2946 ], + "O": [ 813 ] + } + }, + "LUT6_106": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25600.5-25607.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2946 ], + "I1": [ 2947 ], + "I2": [ 2944 ], + "I3": [ 2945 ], + "I4": [ 3285 ], + "I5": [ 788 ], + "O": [ 827 ] + } + }, + "LUT6_107": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25611.5-25618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 826 ], + "I1": [ 786 ], + "I2": [ 796 ], + "I3": [ 834 ], + "I4": [ 846 ], + "I5": [ 864 ], + "O": [ 3357 ] + } + }, + "LUT6_108": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25622.5-25629.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3358 ], + "I1": [ 834 ], + "I2": [ 874 ], + "I3": [ 838 ], + "I4": [ 830 ], + "I5": [ 864 ], + "O": [ 835 ] + } + }, + "LUT6_109": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25633.5-25640.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 864 ], + "I1": [ 786 ], + "I2": [ 838 ], + "I3": [ 846 ], + "I4": [ 826 ], + "I5": [ 830 ], + "O": [ 3358 ] + } + }, + "LUT6_10a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25644.5-25651.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2691 ], + "I1": [ 2948 ], + "I2": [ 2949 ], + "I3": [ 3139 ], + "I4": [ 830 ], + "I5": [ 846 ], + "O": [ 823 ] + } + }, + "LUT6_10b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25655.5-25662.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 786 ], + "I1": [ 792 ], + "I2": [ 830 ], + "I3": [ 846 ], + "I4": [ 826 ], + "I5": [ 834 ], + "O": [ 3140 ] + } + }, + "LUT6_10c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25666.5-25673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 874 ], + "I1": [ 792 ], + "I2": [ 796 ], + "I3": [ 826 ], + "I4": [ 830 ], + "I5": [ 786 ], + "O": [ 3359 ] + } + }, + "LUT6_10d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25677.5-25684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 838 ], + "I1": [ 874 ], + "I2": [ 792 ], + "I3": [ 826 ], + "I4": [ 830 ], + "I5": [ 796 ], + "O": [ 3360 ] + } + }, + "LUT6_10e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25688.5-25695.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2693 ], + "I1": [ 2694 ], + "I2": [ 3140 ], + "I3": [ 2692 ], + "I4": [ 3360 ], + "I5": [ 3359 ], + "O": [ 847 ] + } + }, + "LUT6_10f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25699.5-25706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 402 ], + "I1": [ 400 ], + "I2": [ 804 ], + "I3": [ 3145 ], + "I4": [ 804 ], + "I5": [ 3145 ], + "O": [ 877 ] + } + }, + "LUT6_11": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25710.5-25717.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2535 ], + "I1": [ 426 ], + "I2": [ 578 ], + "I3": [ 2288 ], + "I4": [ 666 ], + "I5": [ 2339 ], + "O": [ 3361 ] + } + }, + "LUT6_110": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25721.5-25728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3144 ], + "I1": [ 2700 ], + "I2": [ 400 ], + "I3": [ 880 ], + "I4": [ 804 ], + "I5": [ 804 ], + "O": [ 881 ] + } + }, + "LUT6_111": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25732.5-25739.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 914 ], + "I1": [ 890 ], + "I2": [ 804 ], + "I3": [ 900 ], + "I4": [ 894 ], + "I5": [ 898 ], + "O": [ 3362 ] + } + }, + "LUT6_112": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25743.5-25750.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 896 ], + "I1": [ 906 ], + "I2": [ 904 ], + "I3": [ 3141 ], + "I4": [ 804 ], + "I5": [ 2698 ], + "O": [ 395 ] + } + }, + "LUT6_113": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25754.5-25761.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 902 ], + "I1": [ 2950 ], + "I2": [ 2697 ], + "I3": [ 3362 ], + "I4": [ 912 ], + "I5": [ 3296 ], + "O": [ 391 ] + } + }, + "LUT6_114": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25765.5-25772.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 900 ], + "I1": [ 3362 ], + "I2": [ 886 ], + "I3": [ 2696 ], + "I4": [ 2950 ], + "I5": [ 2695 ], + "O": [ 861 ] + } + }, + "LUT6_115": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25776.5-25783.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 886 ], + "I1": [ 2697 ], + "I2": [ 3362 ], + "I3": [ 2952 ], + "I4": [ 2951 ], + "I5": [ 2950 ], + "O": [ 393 ] + } + }, + "LUT6_116": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25787.5-25794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3295 ], + "I1": [ 886 ], + "I2": [ 2697 ], + "I3": [ 900 ], + "I4": [ 912 ], + "I5": [ 3362 ], + "O": [ 907 ] + } + }, + "LUT6_117": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25798.5-25805.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2952 ], + "I1": [ 900 ], + "I2": [ 3295 ], + "I3": [ 886 ], + "I4": [ 2697 ], + "I5": [ 2951 ], + "O": [ 891 ] + } + }, + "LUT6_118": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25809.5-25816.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3145 ], + "I1": [ 396 ], + "I2": [ 400 ], + "I3": [ 860 ], + "I4": [ 882 ], + "I5": [ 3145 ], + "O": [ 3296 ] + } + }, + "LUT6_119": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25820.5-25827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 878 ], + "I1": [ 394 ], + "I2": [ 882 ], + "I3": [ 400 ], + "I4": [ 3143 ], + "I5": [ 916 ], + "O": [ 913 ] + } + }, + "LUT6_11a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25831.5-25838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 402 ], + "I1": [ 858 ], + "I2": [ 892 ], + "I3": [ 862 ], + "I4": [ 398 ], + "I5": [ 390 ], + "O": [ 2953 ] + } + }, + "LUT6_11b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25842.5-25849.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3297 ], + "I1": [ 402 ], + "I2": [ 862 ], + "I3": [ 3146 ], + "I4": [ 2953 ], + "I5": [ 858 ], + "O": [ 893 ] + } + }, + "LUT6_11c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25853.5-25860.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 908 ], + "I1": [ 2704 ], + "I2": [ 402 ], + "I3": [ 3146 ], + "I4": [ 2953 ], + "I5": [ 3297 ], + "O": [ 401 ] + } + }, + "LUT6_11d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25864.5-25871.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2953 ], + "I1": [ 2701 ], + "I2": [ 892 ], + "I3": [ 3146 ], + "I4": [ 402 ], + "I5": [ 908 ], + "O": [ 397 ] + } + }, + "LUT6_11e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25875.5-25882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 892 ], + "I1": [ 862 ], + "I2": [ 402 ], + "I3": [ 2704 ], + "I4": [ 2953 ], + "I5": [ 2701 ], + "O": [ 879 ] + } + }, + "LUT6_11f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25886.5-25893.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 754 ], + "I1": [ 766 ], + "I2": [ 3363 ], + "I3": [ 726 ], + "I4": [ 774 ], + "I5": [ 768 ], + "O": [ 763 ] + } + }, + "LUT6_12": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25897.5-25904.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 3244 ], + "I2": [ 2328 ], + "I3": [ 435 ], + "I4": [ 2341 ], + "I5": [ 3361 ], + "O": [ 2342 ] + } + }, + "LUT6_120": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25908.5-25915.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 772 ], + "I1": [ 774 ], + "I2": [ 766 ], + "I3": [ 752 ], + "I4": [ 754 ], + "I5": [ 3363 ], + "O": [ 795 ] + } + }, + "LUT6_121": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25919.5-25926.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 772 ], + "I1": [ 766 ], + "I2": [ 776 ], + "I3": [ 774 ], + "I4": [ 754 ], + "I5": [ 752 ], + "O": [ 3291 ] + } + }, + "LUT6_122": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25930.5-25937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 754 ], + "I1": [ 766 ], + "I2": [ 774 ], + "I3": [ 776 ], + "I4": [ 772 ], + "I5": [ 750 ], + "O": [ 2956 ] + } + }, + "LUT6_123": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25941.5-25948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 754 ], + "I1": [ 776 ], + "I2": [ 774 ], + "I3": [ 772 ], + "I4": [ 750 ], + "I5": [ 766 ], + "O": [ 799 ] + } + }, + "LUT6_124": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25952.5-25959.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2705 ], + "I1": [ 664 ], + "I2": [ 611 ], + "I3": [ 336 ], + "I4": [ 2956 ], + "I5": [ 386 ], + "O": [ 2957 ] + } + }, + "LUT6_125": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25963.5-25970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 336 ], + "I1": [ 3364 ], + "I2": [ 764 ], + "I3": [ 384 ], + "I4": [ 2674 ], + "I5": [ 2103 ], + "O": [ 3365 ] + } + }, + "LUT6_126": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25974.5-25981.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 404 ], + "I1": [ 380 ], + "I2": [ 2674 ], + "I3": [ 623 ], + "I4": [ 336 ], + "I5": [ 3364 ], + "O": [ 2955 ] + } + }, + "LUT6_127": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25985.5-25992.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2957 ], + "I1": [ 2707 ], + "I2": [ 378 ], + "I3": [ 2956 ], + "I4": [ 623 ], + "I5": [ 3365 ], + "O": [ 777 ] + } + }, + "LUT6_128": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:25996.5-26003.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2957 ], + "I1": [ 2674 ], + "I2": [ 2955 ], + "I3": [ 2956 ], + "I4": [ 336 ], + "I5": [ 764 ], + "O": [ 775 ] + } + }, + "LUT6_129": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26007.5-26014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 424 ], + "I1": [ 3366 ], + "I2": [ 661 ], + "I3": [ 664 ], + "I4": [ 382 ], + "I5": [ 267 ], + "O": [ 2960 ] + } + }, + "LUT6_12a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26018.5-26025.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 661 ], + "I1": [ 3366 ], + "I2": [ 376 ], + "I3": [ 611 ], + "I4": [ 382 ], + "I5": [ 422 ], + "O": [ 3367 ] + } + }, + "LUT6_12b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26029.5-26036.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2674 ], + "I1": [ 406 ], + "I2": [ 661 ], + "I3": [ 382 ], + "I4": [ 664 ], + "I5": [ 424 ], + "O": [ 2710 ] + } + }, + "LUT6_12c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26040.5-26047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2959 ], + "I1": [ 406 ], + "I2": [ 2960 ], + "I3": [ 664 ], + "I4": [ 661 ], + "I5": [ 3366 ], + "O": [ 751 ] + } + }, + "LUT6_12d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26051.5-26058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2711 ], + "I1": [ 406 ], + "I2": [ 2710 ], + "I3": [ 2706 ], + "I4": [ 661 ], + "I5": [ 2709 ], + "O": [ 773 ] + } + }, + "LUT6_12e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26062.5-26069.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 406 ], + "I1": [ 2711 ], + "I2": [ 2960 ], + "I3": [ 2710 ], + "I4": [ 376 ], + "I5": [ 2959 ], + "O": [ 723 ] + } + }, + "LUT6_12f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26073.5-26080.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2960 ], + "I1": [ 406 ], + "I2": [ 664 ], + "I3": [ 2706 ], + "I4": [ 2711 ], + "I5": [ 661 ], + "O": [ 379 ] + } + }, + "LUT6_13": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26084.5-26091.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 714 ], + "I1": [ 748 ], + "I2": [ 680 ], + "I3": [ 646 ], + "I4": [ 612 ], + "I5": [ 624 ], + "O": [ 301 ] + } + }, + "LUT6_130": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26095.5-26102.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2710 ], + "I1": [ 2960 ], + "I2": [ 406 ], + "I3": [ 664 ], + "I4": [ 2711 ], + "I5": [ 2706 ], + "O": [ 403 ] + } + }, + "LUT6_131": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26106.5-26113.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3300 ], + "I1": [ 2716 ], + "I2": [ 3152 ], + "I3": [ 2969 ], + "I4": [ 3301 ], + "I5": [ 3151 ], + "O": [ 2368 ] + } + }, + "LUT6_132": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26117.5-26124.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3368 ], + "I1": [ 444 ], + "I2": [ 454 ], + "I3": [ 414 ], + "I4": [ 2177 ], + "I5": [ 2843 ], + "O": [ 2378 ] + } + }, + "LUT6_133": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26128.5-26135.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2120 ], + "I1": [ 2713 ], + "I2": [ 2177 ], + "I3": [ 444 ], + "I4": [ 3312 ], + "I5": [ 440 ], + "O": [ 3368 ] + } + }, + "LUT6_134": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26139.5-26146.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2714 ], + "I1": [ 2966 ], + "I2": [ 2965 ], + "I3": [ 2715 ], + "I4": [ 2967 ], + "I5": [ 2177 ], + "O": [ 2370 ] + } + }, + "LUT6_135": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26150.5-26157.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 414 ], + "I1": [ 2373 ], + "I2": [ 2120 ], + "I3": [ 454 ], + "I4": [ 2843 ], + "I5": [ 464 ], + "O": [ 3369 ] + } + }, + "LUT6_136": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26161.5-26168.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 440 ], + "I1": [ 3370 ], + "I2": [ 2120 ], + "I3": [ 2963 ], + "I4": [ 3150 ], + "I5": [ 2373 ], + "O": [ 369 ] + } + }, + "LUT6_137": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26172.5-26179.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2964 ], + "I1": [ 2120 ], + "I2": [ 2712 ], + "I3": [ 3371 ], + "I4": [ 2961 ], + "I5": [ 440 ], + "O": [ 2480 ] + } + }, + "LUT6_138": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26183.5-26190.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2843 ], + "I1": [ 2712 ], + "I2": [ 2201 ], + "I3": [ 2120 ], + "I4": [ 2962 ], + "I5": [ 3371 ], + "O": [ 411 ] + } + }, + "LUT6_139": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26194.5-26201.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3369 ], + "I1": [ 2961 ], + "I2": [ 2962 ], + "I3": [ 2201 ], + "I4": [ 2843 ], + "I5": [ 3370 ], + "O": [ 2374 ] + } + }, + "LUT6_13a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26205.5-26212.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2961 ], + "I1": [ 2120 ], + "I2": [ 2712 ], + "I3": [ 2962 ], + "I4": [ 2843 ], + "I5": [ 3369 ], + "O": [ 2376 ] + } + }, + "LUT6_13b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26216.5-26223.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2844 ], + "I1": [ 470 ], + "I2": [ 3312 ], + "I3": [ 444 ], + "I4": [ 2207 ], + "I5": [ 2347 ], + "O": [ 2963 ] + } + }, + "LUT6_13c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26227.5-26234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2177 ], + "I1": [ 444 ], + "I2": [ 2207 ], + "I3": [ 470 ], + "I4": [ 458 ], + "I5": [ 468 ], + "O": [ 3371 ] + } + }, + "LUT6_13d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26238.5-26245.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3062 ], + "I1": [ 2217 ], + "I2": [ 2179 ], + "I3": [ 2717 ], + "I4": [ 1296 ], + "I5": [ 472 ], + "O": [ 3372 ] + } + }, + "LUT6_13e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26249.5-26256.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2179 ], + "I1": [ 3062 ], + "I2": [ 416 ], + "I3": [ 2217 ], + "I4": [ 1296 ], + "I5": [ 420 ], + "O": [ 3373 ] + } + }, + "LUT6_13f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26260.5-26267.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 2179 ], + "I2": [ 446 ], + "I3": [ 1296 ], + "I4": [ 2217 ], + "I5": [ 2349 ], + "O": [ 2842 ] + } + }, + "LUT6_14": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26271.5-26278.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 3335 ], + "I2": [ 816 ], + "I3": [ 431 ], + "I4": [ 714 ], + "I5": [ 748 ], + "O": [ 679 ] + } + }, + "LUT6_140": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26282.5-26289.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2179 ], + "I1": [ 2717 ], + "I2": [ 416 ], + "I3": [ 456 ], + "I4": [ 3372 ], + "I5": [ 3062 ], + "O": [ 2350 ] + } + }, + "LUT6_141": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26293.5-26300.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 490 ], + "I1": [ 3372 ], + "I2": [ 446 ], + "I3": [ 1296 ], + "I4": [ 2275 ], + "I5": [ 3373 ], + "O": [ 2352 ] + } + }, + "LUT6_142": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26304.5-26311.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1072 ], + "I1": [ 450 ], + "I2": [ 1032 ], + "I3": [ 454 ], + "I4": [ 490 ], + "I5": [ 1296 ], + "O": [ 2713 ] + } + }, + "LUT6_143": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26315.5-26322.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 454 ], + "I1": [ 412 ], + "I2": [ 450 ], + "I3": [ 2355 ], + "I4": [ 458 ], + "I5": [ 1076 ], + "O": [ 2162 ] + } + }, + "LUT6_144": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26326.5-26333.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1296 ], + "I1": [ 470 ], + "I2": [ 2357 ], + "I3": [ 2447 ], + "I4": [ 372 ], + "I5": [ 2026 ], + "O": [ 2977 ] + } + }, + "LUT6_145": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26337.5-26344.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 454 ], + "I1": [ 1296 ], + "I2": [ 1032 ], + "I3": [ 490 ], + "I4": [ 452 ], + "I5": [ 1074 ], + "O": [ 449 ] + } + }, + "LUT6_146": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26348.5-26355.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 490 ], + "I1": [ 454 ], + "I2": [ 454 ], + "I3": [ 2249 ], + "I4": [ 464 ], + "I5": [ 468 ], + "O": [ 3312 ] + } + }, + "LUT6_147": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26359.5-26366.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3374 ], + "I1": [ 3306 ], + "I2": [ 1326 ], + "I3": [ 482 ], + "I4": [ 454 ], + "I5": [ 504 ], + "O": [ 495 ] + } + }, + "LUT6_148": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26370.5-26377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3156 ], + "I1": [ 478 ], + "I2": [ 482 ], + "I3": [ 3374 ], + "I4": [ 3306 ], + "I5": [ 2978 ], + "O": [ 489 ] + } + }, + "LUT6_149": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26381.5-26388.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1326 ], + "I1": [ 2978 ], + "I2": [ 3157 ], + "I3": [ 3306 ], + "I4": [ 504 ], + "I5": [ 454 ], + "O": [ 1323 ] + } + }, + "LUT6_14a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26392.5-26399.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3157 ], + "I1": [ 3156 ], + "I2": [ 482 ], + "I3": [ 504 ], + "I4": [ 3306 ], + "I5": [ 478 ], + "O": [ 499 ] + } + }, + "LUT6_14b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26403.5-26410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 454 ], + "I1": [ 478 ], + "I2": [ 3374 ], + "I3": [ 3156 ], + "I4": [ 3306 ], + "I5": [ 3157 ], + "O": [ 501 ] + } + }, + "LUT6_14c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26414.5-26421.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 512 ], + "I1": [ 2971 ], + "I2": [ 1182 ], + "I3": [ 1324 ], + "I4": [ 542 ], + "I5": [ 480 ], + "O": [ 505 ] + } + }, + "LUT6_14d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26425.5-26432.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 538 ], + "I1": [ 532 ], + "I2": [ 542 ], + "I3": [ 508 ], + "I4": [ 1324 ], + "I5": [ 540 ], + "O": [ 3304 ] + } + }, + "LUT6_14e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26436.5-26443.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1182 ], + "I1": [ 536 ], + "I2": [ 512 ], + "I3": [ 1324 ], + "I4": [ 532 ], + "I5": [ 540 ], + "O": [ 3375 ] + } + }, + "LUT6_14f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26447.5-26454.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 482 ], + "I1": [ 1182 ], + "I2": [ 2971 ], + "I3": [ 1324 ], + "I4": [ 536 ], + "I5": [ 512 ], + "O": [ 525 ] + } + }, + "LUT6_15": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26458.5-26465.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 816 ], + "I1": [ 3335 ], + "I2": [ 645 ], + "I3": [ 2103 ], + "I4": [ 578 ], + "I5": [ 2105 ], + "O": [ 781 ] + } + }, + "LUT6_150": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26469.5-26476.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1180 ], + "I1": [ 1182 ], + "I2": [ 482 ], + "I3": [ 480 ], + "I4": [ 2971 ], + "I5": [ 2970 ], + "O": [ 1181 ] + } + }, + "LUT6_151": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26480.5-26487.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1146 ], + "I1": [ 514 ], + "I2": [ 524 ], + "I3": [ 550 ], + "I4": [ 564 ], + "I5": [ 548 ], + "O": [ 3376 ] + } + }, + "LUT6_152": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26491.5-26498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2726 ], + "I1": [ 2725 ], + "I2": [ 1146 ], + "I3": [ 556 ], + "I4": [ 522 ], + "I5": [ 3376 ], + "O": [ 541 ] + } + }, + "LUT6_153": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26502.5-26509.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 526 ], + "I1": [ 2727 ], + "I2": [ 566 ], + "I3": [ 1174 ], + "I4": [ 520 ], + "I5": [ 2974 ], + "O": [ 539 ] + } + }, + "LUT6_154": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26513.5-26520.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3377 ], + "I1": [ 3378 ], + "I2": [ 576 ], + "I3": [ 526 ], + "I4": [ 518 ], + "I5": [ 574 ], + "O": [ 1175 ] + } + }, + "LUT6_155": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26524.5-26531.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 528 ], + "I1": [ 1176 ], + "I2": [ 1146 ], + "I3": [ 558 ], + "I4": [ 566 ], + "I5": [ 568 ], + "O": [ 2972 ] + } + }, + "LUT6_156": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26535.5-26542.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1176 ], + "I1": [ 2731 ], + "I2": [ 2733 ], + "I3": [ 558 ], + "I4": [ 570 ], + "I5": [ 576 ], + "O": [ 537 ] + } + }, + "LUT6_157": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26546.5-26553.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2733 ], + "I1": [ 566 ], + "I2": [ 2732 ], + "I3": [ 2972 ], + "I4": [ 1172 ], + "I5": [ 1146 ], + "O": [ 511 ] + } + }, + "LUT6_158": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26557.5-26564.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2732 ], + "I1": [ 2733 ], + "I2": [ 2972 ], + "I3": [ 566 ], + "I4": [ 1172 ], + "I5": [ 1146 ], + "O": [ 1143 ] + } + }, + "LUT6_159": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26568.5-26575.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 522 ], + "I1": [ 2728 ], + "I2": [ 2730 ], + "I3": [ 2729 ], + "I4": [ 1136 ], + "I5": [ 552 ], + "O": [ 1153 ] + } + }, + "LUT6_15a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26579.5-26586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1178 ], + "I1": [ 1128 ], + "I2": [ 1134 ], + "I3": [ 1156 ], + "I4": [ 1130 ], + "I5": [ 562 ], + "O": [ 3311 ] + } + }, + "LUT6_15b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26590.5-26597.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2736 ], + "I1": [ 2740 ], + "I2": [ 2975 ], + "I3": [ 2976 ], + "I4": [ 3310 ], + "I5": [ 3309 ], + "O": [ 565 ] + } + }, + "LUT6_15c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26601.5-26608.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1144 ], + "I1": [ 1132 ], + "I2": [ 1130 ], + "I3": [ 486 ], + "I4": [ 1156 ], + "I5": [ 1126 ], + "O": [ 2738 ] + } + }, + "LUT6_15d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26612.5-26619.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1132 ], + "I1": [ 516 ], + "I2": [ 1144 ], + "I3": [ 449 ], + "I4": [ 1154 ], + "I5": [ 1134 ], + "O": [ 2737 ] + } + }, + "LUT6_15e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26623.5-26630.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 516 ], + "I1": [ 486 ], + "I2": [ 1152 ], + "I3": [ 1178 ], + "I4": [ 1128 ], + "I5": [ 1142 ], + "O": [ 3308 ] + } + }, + "LUT6_15f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26634.5-26641.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1148 ], + "I1": [ 1152 ], + "I2": [ 560 ], + "I3": [ 2724 ], + "I4": [ 1130 ], + "I5": [ 1126 ], + "O": [ 557 ] + } + }, + "LUT6_16": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26645.5-26652.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3379 ], + "I1": [ 301 ], + "I2": [ 3203 ], + "I3": [ 433 ], + "I4": [ 431 ], + "I5": [ 427 ], + "O": [ 713 ] + } + }, + "LUT6_160": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26656.5-26663.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 560 ], + "I1": [ 3311 ], + "I2": [ 3155 ], + "I3": [ 1134 ], + "I4": [ 1152 ], + "I5": [ 1132 ], + "O": [ 573 ] + } + }, + "LUT6_161": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26667.5-26674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2735 ], + "I1": [ 546 ], + "I2": [ 2741 ], + "I3": [ 1134 ], + "I4": [ 3155 ], + "I5": [ 3311 ], + "O": [ 567 ] + } + }, + "LUT6_162": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26678.5-26685.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1148 ], + "I1": [ 3155 ], + "I2": [ 2735 ], + "I3": [ 546 ], + "I4": [ 2724 ], + "I5": [ 1134 ], + "O": [ 575 ] + } + }, + "LUT6_163": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26689.5-26696.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3155 ], + "I1": [ 1148 ], + "I2": [ 2735 ], + "I3": [ 546 ], + "I4": [ 1140 ], + "I5": [ 2724 ], + "O": [ 571 ] + } + }, + "LUT6_164": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26700.5-26707.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3302 ], + "I1": [ 3312 ], + "I2": [ 3380 ], + "I3": [ 3304 ], + "I4": [ 2747 ], + "I5": [ 478 ], + "O": [ 485 ] + } + }, + "LUT6_165": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26711.5-26718.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3302 ], + "I1": [ 3312 ], + "I2": [ 3304 ], + "I3": [ 2721 ], + "I4": [ 449 ], + "I5": [ 3380 ], + "O": [ 481 ] + } + }, + "LUT6_166": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26722.5-26729.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2747 ], + "I1": [ 502 ], + "I2": [ 3312 ], + "I3": [ 2977 ], + "I4": [ 506 ], + "I5": [ 2721 ], + "O": [ 483 ] + } + }, + "LUT6_167": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26733.5-26740.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 506 ], + "I1": [ 502 ], + "I2": [ 3312 ], + "I3": [ 2747 ], + "I4": [ 2977 ], + "I5": [ 449 ], + "O": [ 487 ] + } + }, + "LUT6_168": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26744.5-26751.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 502 ], + "I1": [ 2977 ], + "I2": [ 506 ], + "I3": [ 449 ], + "I4": [ 2747 ], + "I5": [ 3312 ], + "O": [ 3306 ] + } + }, + "LUT6_169": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26755.5-26762.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1256 ], + "I1": [ 1230 ], + "I2": [ 500 ], + "I3": [ 1228 ], + "I4": [ 492 ], + "I5": [ 1184 ], + "O": [ 1249 ] + } + }, + "LUT6_16a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26766.5-26773.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 498 ], + "I1": [ 1184 ], + "I2": [ 2247 ], + "I3": [ 1256 ], + "I4": [ 492 ], + "I5": [ 1248 ], + "O": [ 2742 ] + } + }, + "LUT6_16b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26777.5-26784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1188 ], + "I1": [ 1258 ], + "I2": [ 494 ], + "I3": [ 2249 ], + "I4": [ 1234 ], + "I5": [ 1256 ], + "O": [ 2980 ] + } + }, + "LUT6_16c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26788.5-26795.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1188 ], + "I1": [ 1184 ], + "I2": [ 1230 ], + "I3": [ 1228 ], + "I4": [ 1258 ], + "I5": [ 500 ], + "O": [ 3160 ] + } + }, + "LUT6_16d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26799.5-26806.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3159 ], + "I1": [ 2979 ], + "I2": [ 1184 ], + "I3": [ 1230 ], + "I4": [ 498 ], + "I5": [ 2249 ], + "O": [ 3374 ] + } + }, + "LUT6_16e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26810.5-26817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1228 ], + "I1": [ 2980 ], + "I2": [ 498 ], + "I3": [ 3159 ], + "I4": [ 1184 ], + "I5": [ 1230 ], + "O": [ 1273 ] + } + }, + "LUT6_16f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26821.5-26828.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2742 ], + "I1": [ 1184 ], + "I2": [ 3159 ], + "I3": [ 2980 ], + "I4": [ 498 ], + "I5": [ 494 ], + "O": [ 1209 ] + } + }, + "LUT6_17": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26832.5-26839.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3381 ], + "I1": [ 3382 ], + "I2": [ 2595 ], + "I3": [ 3025 ], + "I4": [ 3383 ], + "I5": [ 3104 ], + "O": [ 2484 ] + } + }, + "LUT6_170": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26843.5-26850.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2980 ], + "I1": [ 1184 ], + "I2": [ 2979 ], + "I3": [ 1228 ], + "I4": [ 3159 ], + "I5": [ 3160 ], + "O": [ 1213 ] + } + }, + "LUT6_171": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26854.5-26861.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 498 ], + "I1": [ 1228 ], + "I2": [ 2742 ], + "I3": [ 2979 ], + "I4": [ 2980 ], + "I5": [ 3159 ], + "O": [ 1211 ] + } + }, + "LUT6_172": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26865.5-26872.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2247 ], + "I1": [ 1252 ], + "I2": [ 1254 ], + "I3": [ 1194 ], + "I4": [ 1248 ], + "I5": [ 1186 ], + "O": [ 3384 ] + } + }, + "LUT6_173": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26876.5-26883.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2982 ], + "I1": [ 3161 ], + "I2": [ 3385 ], + "I3": [ 3386 ], + "I4": [ 3387 ], + "I5": [ 3388 ], + "O": [ 1241 ] + } + }, + "LUT6_174": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26887.5-26894.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1254 ], + "I1": [ 1252 ], + "I2": [ 1164 ], + "I3": [ 1244 ], + "I4": [ 1186 ], + "I5": [ 2743 ], + "O": [ 1165 ] + } + }, + "LUT6_175": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26898.5-26905.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 494 ], + "I1": [ 1236 ], + "I2": [ 1252 ], + "I3": [ 2722 ], + "I4": [ 1204 ], + "I5": [ 1186 ], + "O": [ 3313 ] + } + }, + "LUT6_176": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26909.5-26916.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1168 ], + "I1": [ 1254 ], + "I2": [ 494 ], + "I3": [ 1164 ], + "I4": [ 1216 ], + "I5": [ 2722 ], + "O": [ 3389 ] + } + }, + "LUT6_177": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26920.5-26927.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1190 ], + "I1": [ 1248 ], + "I2": [ 1252 ], + "I3": [ 1236 ], + "I4": [ 494 ], + "I5": [ 1168 ], + "O": [ 3387 ] + } + }, + "LUT6_178": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26931.5-26938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1248 ], + "I1": [ 1254 ], + "I2": [ 494 ], + "I3": [ 1190 ], + "I4": [ 1252 ], + "I5": [ 1236 ], + "O": [ 3388 ] + } + }, + "LUT6_179": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26942.5-26949.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1204 ], + "I1": [ 1252 ], + "I2": [ 1190 ], + "I3": [ 1244 ], + "I4": [ 494 ], + "I5": [ 1248 ], + "O": [ 2745 ] + } + }, + "LUT6_17a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26953.5-26960.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2745 ], + "I1": [ 3389 ], + "I2": [ 2746 ], + "I3": [ 2744 ], + "I4": [ 2983 ], + "I5": [ 3163 ], + "O": [ 1159 ] + } + }, + "LUT6_17b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26964.5-26971.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2746 ], + "I1": [ 3313 ], + "I2": [ 2744 ], + "I3": [ 2745 ], + "I4": [ 2983 ], + "I5": [ 3389 ], + "O": [ 1221 ] + } + }, + "LUT6_17c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26975.5-26982.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3390 ], + "I1": [ 2748 ], + "I2": [ 3315 ], + "I3": [ 3391 ], + "I4": [ 3314 ], + "I5": [ 3392 ], + "O": [ 1203 ] + } + }, + "LUT6_17d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26986.5-26993.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1214 ], + "I1": [ 1240 ], + "I2": [ 1210 ], + "I3": [ 1250 ], + "I4": [ 1198 ], + "I5": [ 1274 ], + "O": [ 1243 ] + } + }, + "LUT6_17e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:26997.5-27004.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1214 ], + "I1": [ 1210 ], + "I2": [ 1250 ], + "I3": [ 1238 ], + "I4": [ 1198 ], + "I5": [ 1242 ], + "O": [ 3392 ] + } + }, + "LUT6_17f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27008.5-27015.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1198 ], + "I1": [ 1240 ], + "I2": [ 1210 ], + "I3": [ 1250 ], + "I4": [ 1238 ], + "I5": [ 1214 ], + "O": [ 3391 ] + } + }, + "LUT6_18": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27019.5-27026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 2103 ], + "I2": [ 578 ], + "I3": [ 1192 ], + "I4": [ 1124 ], + "I5": [ 3069 ], + "O": [ 3379 ] + } + }, + "LUT6_180": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27030.5-27037.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1198 ], + "I1": [ 1240 ], + "I2": [ 1250 ], + "I3": [ 1210 ], + "I4": [ 1238 ], + "I5": [ 1214 ], + "O": [ 3390 ] + } + }, + "LUT6_181": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27041.5-27048.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3393 ], + "I1": [ 1202 ], + "I2": [ 1206 ], + "I3": [ 1926 ], + "I4": [ 1222 ], + "I5": [ 1170 ], + "O": [ 1257 ] + } + }, + "LUT6_182": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27052.5-27059.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1196 ], + "I1": [ 1200 ], + "I2": [ 1162 ], + "I3": [ 1208 ], + "I4": [ 496 ], + "I5": [ 1206 ], + "O": [ 2985 ] + } + }, + "LUT6_183": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27063.5-27070.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2986 ], + "I1": [ 3164 ], + "I2": [ 2752 ], + "I3": [ 2750 ], + "I4": [ 2987 ], + "I5": [ 1200 ], + "O": [ 1215 ] + } + }, + "LUT6_184": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27074.5-27081.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2750 ], + "I1": [ 1196 ], + "I2": [ 2749 ], + "I3": [ 3394 ], + "I4": [ 2752 ], + "I5": [ 496 ], + "O": [ 1255 ] + } + }, + "LUT6_185": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27085.5-27092.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 496 ], + "I1": [ 2749 ], + "I2": [ 1196 ], + "I3": [ 2750 ], + "I4": [ 2752 ], + "I5": [ 3394 ], + "O": [ 1185 ] + } + }, + "LUT6_186": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27096.5-27103.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1220 ], + "I1": [ 1170 ], + "I2": [ 1202 ], + "I3": [ 1222 ], + "I4": [ 1246 ], + "I5": [ 3395 ], + "O": [ 1189 ] + } + }, + "LUT6_187": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27107.5-27114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1202 ], + "I2": [ 1170 ], + "I3": [ 1220 ], + "I4": [ 1224 ], + "I5": [ 1222 ], + "O": [ 3394 ] + } + }, + "LUT6_188": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27118.5-27125.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1202 ], + "I1": [ 1170 ], + "I2": [ 1218 ], + "I3": [ 1220 ], + "I4": [ 1246 ], + "I5": [ 1224 ], + "O": [ 2987 ] + } + }, + "LUT6_189": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27129.5-27136.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3396 ], + "I1": [ 1278 ], + "I2": [ 2751 ], + "I3": [ 1276 ], + "I4": [ 2977 ], + "I5": [ 1326 ], + "O": [ 491 ] + } + }, + "LUT6_18a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27140.5-27147.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2247 ], + "I1": [ 500 ], + "I2": [ 1312 ], + "I3": [ 1282 ], + "I4": [ 1310 ], + "I5": [ 1292 ], + "O": [ 3168 ] + } + }, + "LUT6_18b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27151.5-27158.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1324 ], + "I1": [ 1310 ], + "I2": [ 2247 ], + "I3": [ 1312 ], + "I4": [ 1282 ], + "I5": [ 500 ], + "O": [ 3167 ] + } + }, + "LUT6_18c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27162.5-27169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1310 ], + "I1": [ 500 ], + "I2": [ 1282 ], + "I3": [ 1312 ], + "I4": [ 1324 ], + "I5": [ 2247 ], + "O": [ 2755 ] + } + }, + "LUT6_18d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27173.5-27180.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3166 ], + "I1": [ 3317 ], + "I2": [ 2989 ], + "I3": [ 3165 ], + "I4": [ 3168 ], + "I5": [ 2753 ], + "O": [ 1267 ] + } + }, + "LUT6_18e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27184.5-27191.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3317 ], + "I1": [ 2989 ], + "I2": [ 2988 ], + "I3": [ 3316 ], + "I4": [ 2756 ], + "I5": [ 3166 ], + "O": [ 1315 ] + } + }, + "LUT6_18f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27195.5-27202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3165 ], + "I1": [ 2755 ], + "I2": [ 3317 ], + "I3": [ 3316 ], + "I4": [ 2756 ], + "I5": [ 3167 ], + "O": [ 1285 ] + } + }, + "LUT6_19": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27206.5-27213.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2493 ], + "I1": [ 2491 ], + "I2": [ 1192 ], + "I3": [ 624 ], + "I4": [ 2103 ], + "I5": [ 1124 ], + "O": [ 2577 ] + } + }, + "LUT6_190": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27217.5-27224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2755 ], + "I1": [ 2756 ], + "I2": [ 2989 ], + "I3": [ 2988 ], + "I4": [ 3316 ], + "I5": [ 3317 ], + "O": [ 1277 ] + } + }, + "LUT6_191": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27228.5-27235.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1272 ], + "I1": [ 1288 ], + "I2": [ 1320 ], + "I3": [ 1264 ], + "I4": [ 2713 ], + "I5": [ 454 ], + "O": [ 3170 ] + } + }, + "LUT6_192": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27239.5-27246.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1320 ], + "I1": [ 1264 ], + "I2": [ 1266 ], + "I3": [ 1322 ], + "I4": [ 2713 ], + "I5": [ 1288 ], + "O": [ 2757 ] + } + }, + "LUT6_193": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27250.5-27257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1272 ], + "I1": [ 2713 ], + "I2": [ 1320 ], + "I3": [ 1322 ], + "I4": [ 1264 ], + "I5": [ 1266 ], + "O": [ 2988 ] + } + }, + "LUT6_194": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27261.5-27268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1322 ], + "I1": [ 2720 ], + "I2": [ 1272 ], + "I3": [ 2713 ], + "I4": [ 1264 ], + "I5": [ 1320 ], + "O": [ 1279 ] + } + }, + "LUT6_195": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27272.5-27279.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1272 ], + "I1": [ 1320 ], + "I2": [ 1322 ], + "I3": [ 2713 ], + "I4": [ 1264 ], + "I5": [ 2720 ], + "O": [ 3165 ] + } + }, + "LUT6_196": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27283.5-27290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3397 ], + "I1": [ 3173 ], + "I2": [ 2759 ], + "I3": [ 1290 ], + "I4": [ 1262 ], + "I5": [ 1268 ], + "O": [ 1265 ] + } + }, + "LUT6_197": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27294.5-27301.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1268 ], + "I1": [ 1280 ], + "I2": [ 1318 ], + "I3": [ 1270 ], + "I4": [ 1290 ], + "I5": [ 3171 ], + "O": [ 453 ] + } + }, + "LUT6_198": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27305.5-27312.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2720 ], + "I1": [ 1318 ], + "I2": [ 1284 ], + "I3": [ 1316 ], + "I4": [ 1270 ], + "I5": [ 1262 ], + "O": [ 2995 ] + } + }, + "LUT6_199": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27316.5-27323.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1280 ], + "I1": [ 1284 ], + "I2": [ 1316 ], + "I3": [ 2720 ], + "I4": [ 1268 ], + "I5": [ 1308 ], + "O": [ 3398 ] + } + }, + "LUT6_19a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27327.5-27334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1318 ], + "I1": [ 1314 ], + "I2": [ 1284 ], + "I3": [ 1280 ], + "I4": [ 1316 ], + "I5": [ 2720 ], + "O": [ 2997 ] + } + }, + "LUT6_19b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27338.5-27345.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1318 ], + "I1": [ 1314 ], + "I2": [ 1284 ], + "I3": [ 1316 ], + "I4": [ 1268 ], + "I5": [ 1280 ], + "O": [ 3397 ] + } + }, + "LUT6_19c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27349.5-27356.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1280 ], + "I1": [ 1268 ], + "I2": [ 1284 ], + "I3": [ 1314 ], + "I4": [ 1316 ], + "I5": [ 1318 ], + "O": [ 2994 ] + } + }, + "LUT6_19d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27360.5-27367.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2993 ], + "I1": [ 3318 ], + "I2": [ 2997 ], + "I3": [ 2991 ], + "I4": [ 3398 ], + "I5": [ 3174 ], + "O": [ 1287 ] + } + }, + "LUT6_19e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27371.5-27378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2994 ], + "I1": [ 2993 ], + "I2": [ 2991 ], + "I3": [ 2996 ], + "I4": [ 2995 ], + "I5": [ 3318 ], + "O": [ 1309 ] + } + }, + "LUT6_19f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27382.5-27389.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1350 ], + "I1": [ 1344 ], + "I2": [ 992 ], + "I3": [ 1300 ], + "I4": [ 1014 ], + "I5": [ 3177 ], + "O": [ 2998 ] + } + }, + "LUT6_1a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27393.5-27400.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 680 ], + "I1": [ 2493 ], + "I2": [ 2485 ], + "I3": [ 578 ], + "I4": [ 2105 ], + "I5": [ 544 ], + "O": [ 3023 ] + } + }, + "LUT6_1a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27404.5-27411.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3177 ], + "I1": [ 1008 ], + "I2": [ 1304 ], + "I3": [ 1300 ], + "I4": [ 1016 ], + "I5": [ 1342 ], + "O": [ 3323 ] + } + }, + "LUT6_1a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27415.5-27422.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3003 ], + "I1": [ 2761 ], + "I2": [ 2765 ], + "I3": [ 3322 ], + "I4": [ 3178 ], + "I5": [ 3323 ], + "O": [ 1329 ] + } + }, + "LUT6_1a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27426.5-27433.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3175 ], + "I1": [ 3322 ], + "I2": [ 2999 ], + "I3": [ 1014 ], + "I4": [ 2159 ], + "I5": [ 2998 ], + "O": [ 1375 ] + } + }, + "LUT6_1a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27437.5-27444.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3003 ], + "I1": [ 3000 ], + "I2": [ 1014 ], + "I3": [ 3175 ], + "I4": [ 3323 ], + "I5": [ 3322 ], + "O": [ 1357 ] + } + }, + "LUT6_1a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27448.5-27455.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2998 ], + "I1": [ 3003 ], + "I2": [ 2159 ], + "I3": [ 2761 ], + "I4": [ 2999 ], + "I5": [ 3319 ], + "O": [ 1333 ] + } + }, + "LUT6_1a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27459.5-27466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1348 ], + "I1": [ 994 ], + "I2": [ 992 ], + "I3": [ 1304 ], + "I4": [ 1296 ], + "I5": [ 1016 ], + "O": [ 3325 ] + } + }, + "LUT6_1a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27470.5-27477.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2763 ], + "I1": [ 3399 ], + "I2": [ 1014 ], + "I3": [ 994 ], + "I4": [ 1350 ], + "I5": [ 1012 ], + "O": [ 3027 ] + } + }, + "LUT6_1a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27481.5-27488.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1020 ], + "I1": [ 996 ], + "I2": [ 3002 ], + "I3": [ 1350 ], + "I4": [ 1010 ], + "I5": [ 2764 ], + "O": [ 1015 ] + } + }, + "LUT6_1a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27492.5-27499.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1010 ], + "I1": [ 3002 ], + "I2": [ 2764 ], + "I3": [ 1020 ], + "I4": [ 1350 ], + "I5": [ 996 ], + "O": [ 1335 ] + } + }, + "LUT6_1a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27503.5-27510.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1344 ], + "I1": [ 1300 ], + "I2": [ 994 ], + "I3": [ 990 ], + "I4": [ 1008 ], + "I5": [ 1348 ], + "O": [ 3399 ] + } + }, + "LUT6_1aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27514.5-27521.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 990 ], + "I1": [ 1008 ], + "I2": [ 3176 ], + "I3": [ 1014 ], + "I4": [ 1300 ], + "I5": [ 1348 ], + "O": [ 1331 ] + } + }, + "LUT6_1ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27525.5-27532.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 990 ], + "I1": [ 1014 ], + "I2": [ 992 ], + "I3": [ 1300 ], + "I4": [ 3176 ], + "I5": [ 1008 ], + "O": [ 1013 ] + } + }, + "LUT6_1ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27536.5-27543.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3176 ], + "I1": [ 992 ], + "I2": [ 1014 ], + "I3": [ 1008 ], + "I4": [ 990 ], + "I5": [ 1300 ], + "O": [ 1019 ] + } + }, + "LUT6_1ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27547.5-27554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2159 ], + "I1": [ 1018 ], + "I2": [ 1352 ], + "I3": [ 1344 ], + "I4": [ 3177 ], + "I5": [ 1342 ], + "O": [ 3320 ] + } + }, + "LUT6_1ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27558.5-27565.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3177 ], + "I1": [ 1302 ], + "I2": [ 1344 ], + "I3": [ 1018 ], + "I4": [ 2159 ], + "I5": [ 1352 ], + "O": [ 2761 ] + } + }, + "LUT6_1af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27569.5-27576.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1352 ], + "I1": [ 2159 ], + "I2": [ 3177 ], + "I3": [ 1018 ], + "I4": [ 1302 ], + "I5": [ 1344 ], + "O": [ 3000 ] + } + }, + "LUT6_1b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27580.5-27587.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2577 ], + "I1": [ 2548 ], + "I2": [ 2808 ], + "I3": [ 2562 ], + "I4": [ 3324 ], + "I5": [ 3024 ], + "O": [ 645 ] + } + }, + "LUT6_1b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27591.5-27598.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1374 ], + "I1": [ 3333 ], + "I2": [ 3400 ], + "I3": [ 3401 ], + "I4": [ 3402 ], + "I5": [ 1412 ], + "O": [ 1409 ] + } + }, + "LUT6_1b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27602.5-27609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3179 ], + "I1": [ 946 ], + "I2": [ 972 ], + "I3": [ 974 ], + "I4": [ 930 ], + "I5": [ 982 ], + "O": [ 975 ] + } + }, + "LUT6_1b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27613.5-27620.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 978 ], + "I1": [ 968 ], + "I2": [ 3179 ], + "I3": [ 946 ], + "I4": [ 930 ], + "I5": [ 984 ], + "O": [ 931 ] + } + }, + "LUT6_1b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27624.5-27631.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 978 ], + "I1": [ 968 ], + "I2": [ 926 ], + "I3": [ 3179 ], + "I4": [ 944 ], + "I5": [ 982 ], + "O": [ 927 ] + } + }, + "LUT6_1b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27635.5-27642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 922 ], + "I1": [ 950 ], + "I2": [ 1454 ], + "I3": [ 952 ], + "I4": [ 924 ], + "I5": [ 938 ], + "O": [ 3326 ] + } + }, + "LUT6_1b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27646.5-27653.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1400 ], + "I1": [ 2769 ], + "I2": [ 2768 ], + "I3": [ 1408 ], + "I4": [ 924 ], + "I5": [ 1456 ], + "O": [ 971 ] + } + }, + "LUT6_1b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27657.5-27664.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 956 ], + "I1": [ 1398 ], + "I2": [ 1402 ], + "I3": [ 924 ], + "I4": [ 1408 ], + "I5": [ 948 ], + "O": [ 3181 ] + } + }, + "LUT6_1b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27668.5-27675.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1398 ], + "I1": [ 924 ], + "I2": [ 1408 ], + "I3": [ 3007 ], + "I4": [ 956 ], + "I5": [ 970 ], + "O": [ 979 ] + } + }, + "LUT6_1b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27679.5-27686.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 956 ], + "I1": [ 922 ], + "I2": [ 1402 ], + "I3": [ 924 ], + "I4": [ 1398 ], + "I5": [ 1408 ], + "O": [ 2772 ] + } + }, + "LUT6_1b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27690.5-27697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2771 ], + "I1": [ 3180 ], + "I2": [ 2772 ], + "I3": [ 3005 ], + "I4": [ 2767 ], + "I5": [ 2766 ], + "O": [ 1449 ] + } + }, + "LUT6_1ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27701.5-27708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 962 ], + "I1": [ 1448 ], + "I2": [ 1436 ], + "I3": [ 934 ], + "I4": [ 1456 ], + "I5": [ 1366 ], + "O": [ 3403 ] + } + }, + "LUT6_1bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27712.5-27719.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 932 ], + "I1": [ 962 ], + "I2": [ 1436 ], + "I3": [ 936 ], + "I4": [ 928 ], + "I5": [ 976 ], + "O": [ 3404 ] + } + }, + "LUT6_1bc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27723.5-27730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 936 ], + "I1": [ 962 ], + "I2": [ 1436 ], + "I3": [ 1448 ], + "I4": [ 932 ], + "I5": [ 928 ], + "O": [ 2775 ] + } + }, + "LUT6_1bd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27734.5-27741.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 936 ], + "I1": [ 1448 ], + "I2": [ 962 ], + "I3": [ 932 ], + "I4": [ 1442 ], + "I5": [ 1436 ], + "O": [ 2776 ] + } + }, + "LUT6_1be": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27745.5-27752.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2773 ], + "I1": [ 3008 ], + "I2": [ 3403 ], + "I3": [ 3404 ], + "I4": [ 2774 ], + "I5": [ 3327 ], + "O": [ 1461 ] + } + }, + "LUT6_1bf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27756.5-27763.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3008 ], + "I1": [ 2775 ], + "I2": [ 3403 ], + "I3": [ 3182 ], + "I4": [ 2774 ], + "I5": [ 2773 ], + "O": [ 967 ] + } + }, + "LUT6_1c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27767.5-27774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3024 ], + "I1": [ 2593 ], + "I2": [ 2548 ], + "I3": [ 2576 ], + "I4": [ 3324 ], + "I5": [ 2808 ], + "O": [ 3383 ] + } + }, + "LUT6_1c0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27778.5-27785.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2776 ], + "I1": [ 2774 ], + "I2": [ 2775 ], + "I3": [ 3008 ], + "I4": [ 3182 ], + "I5": [ 3403 ], + "O": [ 1443 ] + } + }, + "LUT6_1c1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27789.5-27796.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3182 ], + "I1": [ 2775 ], + "I2": [ 2774 ], + "I3": [ 3008 ], + "I4": [ 2776 ], + "I5": [ 3404 ], + "O": [ 1431 ] + } + }, + "LUT6_1c2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27800.5-27807.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3405 ], + "I1": [ 3406 ], + "I2": [ 3407 ], + "I3": [ 3009 ], + "I4": [ 3184 ], + "I5": [ 2777 ], + "O": [ 1397 ] + } + }, + "LUT6_1c3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27811.5-27818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1460 ], + "I1": [ 960 ], + "I2": [ 1432 ], + "I3": [ 1452 ], + "I4": [ 1404 ], + "I5": [ 1424 ], + "O": [ 3407 ] + } + }, + "LUT6_1c4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27822.5-27829.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 960 ], + "I1": [ 1452 ], + "I2": [ 1406 ], + "I3": [ 1438 ], + "I4": [ 1368 ], + "I5": [ 1390 ], + "O": [ 3405 ] + } + }, + "LUT6_1c5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27833.5-27840.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1460 ], + "I1": [ 960 ], + "I2": [ 1452 ], + "I3": [ 1406 ], + "I4": [ 1450 ], + "I5": [ 1432 ], + "O": [ 3408 ] + } + }, + "LUT6_1c6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27844.5-27851.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1452 ], + "I1": [ 1406 ], + "I2": [ 1450 ], + "I3": [ 1460 ], + "I4": [ 960 ], + "I5": [ 1404 ], + "O": [ 1457 ] + } + }, + "LUT6_1c7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27855.5-27862.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 960 ], + "I1": [ 1452 ], + "I2": [ 1450 ], + "I3": [ 1368 ], + "I4": [ 1460 ], + "I5": [ 1406 ], + "O": [ 3406 ] + } + }, + "LUT6_1c8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27866.5-27873.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1434 ], + "I1": [ 1414 ], + "I2": [ 1462 ], + "I3": [ 1420 ], + "I4": [ 1330 ], + "I5": [ 3408 ], + "O": [ 1441 ] + } + }, + "LUT6_1c9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27877.5-27884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2779 ], + "I1": [ 2780 ], + "I2": [ 2781 ], + "I3": [ 1426 ], + "I4": [ 1414 ], + "I5": [ 1330 ], + "O": [ 1417 ] + } + }, + "LUT6_1ca": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27888.5-27895.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 964 ], + "I1": [ 1420 ], + "I2": [ 1428 ], + "I3": [ 1414 ], + "I4": [ 1426 ], + "I5": [ 1444 ], + "O": [ 3329 ] + } + }, + "LUT6_1cb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27899.5-27906.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1462 ], + "I1": [ 1446 ], + "I2": [ 1414 ], + "I3": [ 1426 ], + "I4": [ 1330 ], + "I5": [ 1422 ], + "O": [ 3010 ] + } + }, + "LUT6_1cc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27910.5-27917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3409 ], + "I1": [ 1428 ], + "I2": [ 3010 ], + "I3": [ 3329 ], + "I4": [ 986 ], + "I5": [ 3185 ], + "O": [ 963 ] + } + }, + "LUT6_1cd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27921.5-27928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1420 ], + "I1": [ 3329 ], + "I2": [ 3410 ], + "I3": [ 986 ], + "I4": [ 2778 ], + "I5": [ 1428 ], + "O": [ 933 ] + } + }, + "LUT6_1ce": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27932.5-27939.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1434 ], + "I1": [ 3010 ], + "I2": [ 1420 ], + "I3": [ 2778 ], + "I4": [ 3329 ], + "I5": [ 3410 ], + "O": [ 1453 ] + } + }, + "LUT6_1cf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27943.5-27950.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1420 ], + "I1": [ 2778 ], + "I2": [ 1434 ], + "I3": [ 3329 ], + "I4": [ 3010 ], + "I5": [ 3328 ], + "O": [ 935 ] + } + }, + "LUT6_1d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27954.5-27961.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2562 ], + "I1": [ 3024 ], + "I2": [ 2576 ], + "I3": [ 3023 ], + "I4": [ 2593 ], + "I5": [ 2548 ], + "O": [ 475 ] + } + }, + "LUT6_1d0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27965.5-27972.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3330 ], + "I1": [ 966 ], + "I2": [ 1370 ], + "I3": [ 1392 ], + "I4": [ 1372 ], + "I5": [ 1002 ], + "O": [ 1001 ] + } + }, + "LUT6_1d1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27976.5-27983.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1418 ], + "I1": [ 1364 ], + "I2": [ 1370 ], + "I3": [ 1410 ], + "I4": [ 966 ], + "I5": [ 1358 ], + "O": [ 3411 ] + } + }, + "LUT6_1d2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27987.5-27994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 966 ], + "I1": [ 1002 ], + "I2": [ 1364 ], + "I3": [ 1410 ], + "I4": [ 1418 ], + "I5": [ 3187 ], + "O": [ 1411 ] + } + }, + "LUT6_1d3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:27998.5-28005.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1364 ], + "I1": [ 1410 ], + "I2": [ 1370 ], + "I3": [ 966 ], + "I4": [ 1418 ], + "I5": [ 1382 ], + "O": [ 3016 ] + } + }, + "LUT6_1d4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28009.5-28016.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3191 ], + "I1": [ 3190 ], + "I2": [ 3017 ], + "I3": [ 1360 ], + "I4": [ 1376 ], + "I5": [ 1336 ], + "O": [ 1391 ] + } + }, + "LUT6_1d5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28020.5-28027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1006 ], + "I1": [ 1380 ], + "I2": [ 1376 ], + "I3": [ 1334 ], + "I4": [ 1354 ], + "I5": [ 1360 ], + "O": [ 3331 ] + } + }, + "LUT6_1d6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28031.5-28038.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1376 ], + "I1": [ 1334 ], + "I2": [ 1332 ], + "I3": [ 3331 ], + "I4": [ 1354 ], + "I5": [ 1380 ], + "O": [ 1371 ] + } + }, + "LUT6_1d7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28042.5-28049.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1332 ], + "I1": [ 1340 ], + "I2": [ 1354 ], + "I3": [ 1334 ], + "I4": [ 1376 ], + "I5": [ 3331 ], + "O": [ 3321 ] + } + }, + "LUT6_1d8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28053.5-28060.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1004 ], + "I1": [ 1378 ], + "I2": [ 1330 ], + "I3": [ 1006 ], + "I4": [ 1388 ], + "I5": [ 990 ], + "O": [ 3412 ] + } + }, + "LUT6_1d9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28064.5-28071.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1330 ], + "I1": [ 1356 ], + "I2": [ 990 ], + "I3": [ 1336 ], + "I4": [ 1334 ], + "I5": [ 1378 ], + "O": [ 2786 ] + } + }, + "LUT6_1da": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28075.5-28082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1336 ], + "I1": [ 1358 ], + "I2": [ 1006 ], + "I3": [ 1386 ], + "I4": [ 1356 ], + "I5": [ 1338 ], + "O": [ 3193 ] + } + }, + "LUT6_1db": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28086.5-28093.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1006 ], + "I1": [ 3193 ], + "I2": [ 2787 ], + "I3": [ 1356 ], + "I4": [ 3412 ], + "I5": [ 2784 ], + "O": [ 3402 ] + } + }, + "LUT6_1dc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28097.5-28104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1358 ], + "I1": [ 1006 ], + "I2": [ 2787 ], + "I3": [ 1388 ], + "I4": [ 1384 ], + "I5": [ 990 ], + "O": [ 1367 ] + } + }, + "LUT6_1dd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28108.5-28115.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1388 ], + "I1": [ 2787 ], + "I2": [ 3193 ], + "I3": [ 3412 ], + "I4": [ 1384 ], + "I5": [ 1336 ], + "O": [ 1393 ] + } + }, + "LUT6_1de": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28119.5-28126.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2787 ], + "I1": [ 2786 ], + "I2": [ 1006 ], + "I3": [ 3193 ], + "I4": [ 1388 ], + "I5": [ 3412 ], + "O": [ 1363 ] + } + }, + "LUT6_1df": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28130.5-28137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1358 ], + "I1": [ 2786 ], + "I2": [ 1384 ], + "I3": [ 2787 ], + "I4": [ 3193 ], + "I5": [ 1006 ], + "O": [ 1365 ] + } + }, + "LUT6_1e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28141.5-28148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2487 ], + "I1": [ 612 ], + "I2": [ 408 ], + "I3": [ 782 ], + "I4": [ 442 ], + "I5": [ 2483 ], + "O": [ 3413 ] + } + }, + "LUT6_1e0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28152.5-28159.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1388 ], + "I1": [ 1356 ], + "I2": [ 3193 ], + "I3": [ 1386 ], + "I4": [ 1384 ], + "I5": [ 2787 ], + "O": [ 3401 ] + } + }, + "LUT6_1e1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28163.5-28170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1054 ], + "I1": [ 1036 ], + "I2": [ 1052 ], + "I3": [ 2719 ], + "I4": [ 1048 ], + "I5": [ 1024 ], + "O": [ 3414 ] + } + }, + "LUT6_1e2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28174.5-28181.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 998 ], + "I1": [ 1042 ], + "I2": [ 1054 ], + "I3": [ 1050 ], + "I4": [ 1028 ], + "I5": [ 3414 ], + "O": [ 1343 ] + } + }, + "LUT6_1e3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28185.5-28192.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1052 ], + "I1": [ 2719 ], + "I2": [ 998 ], + "I3": [ 1028 ], + "I4": [ 1042 ], + "I5": [ 1054 ], + "O": [ 3415 ] + } + }, + "LUT6_1e4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28196.5-28203.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2719 ], + "I1": [ 1036 ], + "I2": [ 1042 ], + "I3": [ 1052 ], + "I4": [ 1028 ], + "I5": [ 3415 ], + "O": [ 1043 ] + } + }, + "LUT6_1e5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28207.5-28214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3194 ], + "I1": [ 1050 ], + "I2": [ 1052 ], + "I3": [ 1042 ], + "I4": [ 1028 ], + "I5": [ 998 ], + "O": [ 1295 ] + } + }, + "LUT6_1e6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28218.5-28225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1042 ], + "I1": [ 1048 ], + "I2": [ 998 ], + "I3": [ 1028 ], + "I4": [ 1036 ], + "I5": [ 2719 ], + "O": [ 2790 ] + } + }, + "LUT6_1e7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28229.5-28236.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3020 ], + "I1": [ 2788 ], + "I2": [ 2790 ], + "I3": [ 2791 ], + "I4": [ 3022 ], + "I5": [ 2789 ], + "O": [ 1345 ] + } + }, + "LUT6_1e8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28240.5-28247.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3020 ], + "I1": [ 2790 ], + "I2": [ 3022 ], + "I3": [ 3021 ], + "I4": [ 2791 ], + "I5": [ 2788 ], + "O": [ 1029 ] + } + }, + "LUT6_1e9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28251.5-28258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1296 ], + "I1": [ 996 ], + "I2": [ 1030 ], + "I3": [ 2159 ], + "I4": [ 1034 ], + "I5": [ 1000 ], + "O": [ 3195 ] + } + }, + "LUT6_1ea": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28262.5-28269.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2028 ], + "I1": [ 1296 ], + "I2": [ 1070 ], + "I3": [ 1026 ], + "I4": [ 1038 ], + "I5": [ 996 ], + "O": [ 3026 ] + } + }, + "LUT6_1eb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28273.5-28280.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 1026 ], + "I2": [ 2159 ], + "I3": [ 1038 ], + "I4": [ 1296 ], + "I5": [ 2030 ], + "O": [ 2799 ] + } + }, + "LUT6_1ec": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28284.5-28291.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1034 ], + "I1": [ 3195 ], + "I2": [ 1070 ], + "I3": [ 2159 ], + "I4": [ 1306 ], + "I5": [ 2028 ], + "O": [ 1299 ] + } + }, + "LUT6_1ed": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28295.5-28302.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1026 ], + "I1": [ 1034 ], + "I2": [ 2159 ], + "I3": [ 1038 ], + "I4": [ 3195 ], + "I5": [ 1070 ], + "O": [ 1047 ] + } + }, + "LUT6_1ee": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28306.5-28313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1026 ], + "I1": [ 2159 ], + "I2": [ 1306 ], + "I3": [ 1034 ], + "I4": [ 1038 ], + "I5": [ 1030 ], + "O": [ 3177 ] + } + }, + "LUT6_1ef": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28317.5-28324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1026 ], + "I1": [ 2159 ], + "I2": [ 1306 ], + "I3": [ 3195 ], + "I4": [ 1038 ], + "I5": [ 1034 ], + "O": [ 451 ] + } + }, + "LUT6_1f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28328.5-28335.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3416 ], + "I1": [ 2599 ], + "I2": [ 2600 ], + "I3": [ 2601 ], + "I4": [ 3344 ], + "I5": [ 3417 ], + "O": [ 2482 ] + } + }, + "LUT6_1f0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28339.5-28346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3196 ], + "I1": [ 1016 ], + "I2": [ 996 ], + "I3": [ 1032 ], + "I4": [ 2162 ], + "I5": [ 3028 ], + "O": [ 1347 ] + } + }, + "LUT6_1f1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28350.5-28357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1026 ], + "I1": [ 1046 ], + "I2": [ 1016 ], + "I3": [ 1020 ], + "I4": [ 474 ], + "I5": [ 2162 ], + "O": [ 3418 ] + } + }, + "LUT6_1f2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28361.5-28368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1026 ], + "I2": [ 1040 ], + "I3": [ 474 ], + "I4": [ 2162 ], + "I5": [ 1020 ], + "O": [ 3029 ] + } + }, + "LUT6_1f3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28372.5-28379.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1016 ], + "I1": [ 3418 ], + "I2": [ 3028 ], + "I3": [ 1046 ], + "I4": [ 1026 ], + "I5": [ 474 ], + "O": [ 1049 ] + } + }, + "LUT6_1f4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28383.5-28390.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1026 ], + "I1": [ 2162 ], + "I2": [ 3029 ], + "I3": [ 1044 ], + "I4": [ 1040 ], + "I5": [ 3418 ], + "O": [ 1301 ] + } + }, + "LUT6_1f5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28394.5-28401.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1040 ], + "I1": [ 996 ], + "I2": [ 1032 ], + "I3": [ 2164 ], + "I4": [ 2162 ], + "I5": [ 1044 ], + "O": [ 3197 ] + } + }, + "LUT6_1f6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28405.5-28412.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 1044 ], + "I2": [ 2164 ], + "I3": [ 996 ], + "I4": [ 1032 ], + "I5": [ 1040 ], + "O": [ 3031 ] + } + }, + "LUT6_1f7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28416.5-28423.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 2360 ], + "I2": [ 472 ], + "I3": [ 2032 ], + "I4": [ 2977 ], + "I5": [ 2034 ], + "O": [ 3337 ] + } + }, + "LUT6_1f8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28427.5-28434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2968 ], + "I1": [ 1078 ], + "I2": [ 2164 ], + "I3": [ 3354 ], + "I4": [ 3062 ], + "I5": [ 2841 ], + "O": [ 3336 ] + } + }, + "LUT6_1f9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28438.5-28445.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 2251 ], + "I2": [ 1080 ], + "I3": [ 1076 ], + "I4": [ 450 ], + "I5": [ 2167 ], + "O": [ 1083 ] + } + }, + "LUT6_1fa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28449.5-28456.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1060 ], + "I1": [ 446 ], + "I2": [ 1922 ], + "I3": [ 1818 ], + "I4": [ 2167 ], + "I5": [ 2168 ], + "O": [ 3419 ] + } + }, + "LUT6_1fb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28460.5-28467.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 1922 ], + "I2": [ 2168 ], + "I3": [ 3205 ], + "I4": [ 3419 ], + "I5": [ 3204 ], + "O": [ 447 ] + } + }, + "LUT6_1fc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28471.5-28478.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1076 ], + "I1": [ 2167 ], + "I2": [ 1080 ], + "I3": [ 1924 ], + "I4": [ 1818 ], + "I5": [ 1032 ], + "O": [ 3339 ] + } + }, + "LUT6_1fd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28482.5-28489.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2167 ], + "I1": [ 2254 ], + "I2": [ 1083 ], + "I3": [ 2221 ], + "I4": [ 1924 ], + "I5": [ 1076 ], + "O": [ 3420 ] + } + }, + "LUT6_1fe": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28493.5-28500.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2254 ], + "I1": [ 1922 ], + "I2": [ 1080 ], + "I3": [ 2221 ], + "I4": [ 2167 ], + "I5": [ 1083 ], + "O": [ 3421 ] + } + }, + "LUT6_1ff": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28504.5-28511.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1032 ], + "I1": [ 1060 ], + "I2": [ 1818 ], + "I3": [ 2221 ], + "I4": [ 1922 ], + "I5": [ 2254 ], + "O": [ 2801 ] + } + }, + "LUT6_2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28515.5-28522.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 165 ], + "I1": [ 2241 ], + "I2": [ 2137 ], + "I3": [ 1930 ], + "I4": [ 2313 ], + "I5": [ 2197 ], + "O": [ 3273 ] + } + }, + "LUT6_20": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28526.5-28533.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 1260 ], + "I2": [ 2103 ], + "I3": [ 782 ], + "I4": [ 850 ], + "I5": [ 1192 ], + "O": [ 3417 ] + } + }, + "LUT6_200": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28537.5-28544.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1076 ], + "I2": [ 1060 ], + "I3": [ 1922 ], + "I4": [ 1924 ], + "I5": [ 2221 ], + "O": [ 2800 ] + } + }, + "LUT6_201": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28548.5-28555.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3200 ], + "I1": [ 1080 ], + "I2": [ 2802 ], + "I3": [ 3338 ], + "I4": [ 3340 ], + "I5": [ 3199 ], + "O": [ 1923 ] + } + }, + "LUT6_202": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28559.5-28566.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3339 ], + "I1": [ 3198 ], + "I2": [ 3201 ], + "I3": [ 2800 ], + "I4": [ 3338 ], + "I5": [ 1080 ], + "O": [ 1103 ] + } + }, + "LUT6_203": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28570.5-28577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3338 ], + "I1": [ 2802 ], + "I2": [ 1080 ], + "I3": [ 3421 ], + "I4": [ 3340 ], + "I5": [ 3033 ], + "O": [ 455 ] + } + }, + "LUT6_204": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28581.5-28588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3420 ], + "I1": [ 2803 ], + "I2": [ 3421 ], + "I3": [ 3034 ], + "I4": [ 3340 ], + "I5": [ 3198 ], + "O": [ 1105 ] + } + }, + "LUT6_205": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28592.5-28599.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3200 ], + "I1": [ 3338 ], + "I2": [ 2800 ], + "I3": [ 3339 ], + "I4": [ 2802 ], + "I5": [ 1080 ], + "O": [ 1885 ] + } + }, + "LUT6_206": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28603.5-28610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 3201 ], + "I2": [ 2802 ], + "I3": [ 2800 ], + "I4": [ 3339 ], + "I5": [ 3338 ], + "O": [ 1061 ] + } + }, + "LUT6_207": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28614.5-28621.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3201 ], + "I1": [ 1080 ], + "I2": [ 3033 ], + "I3": [ 2800 ], + "I4": [ 2801 ], + "I5": [ 3420 ], + "O": [ 1065 ] + } + }, + "LUT6_208": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28625.5-28632.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2167 ], + "I1": [ 446 ], + "I2": [ 2251 ], + "I3": [ 1083 ], + "I4": [ 1108 ], + "I5": [ 2168 ], + "O": [ 3200 ] + } + }, + "LUT6_209": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28636.5-28643.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1098 ], + "I1": [ 1780 ], + "I2": [ 1834 ], + "I3": [ 1096 ], + "I4": [ 1068 ], + "I5": [ 1122 ], + "O": [ 2813 ] + } + }, + "LUT6_20a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28647.5-28654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1114 ], + "I1": [ 1106 ], + "I2": [ 1086 ], + "I3": [ 1088 ], + "I4": [ 1818 ], + "I5": [ 1094 ], + "O": [ 2806 ] + } + }, + "LUT6_20b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28658.5-28665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1116 ], + "I1": [ 1120 ], + "I2": [ 1098 ], + "I3": [ 1092 ], + "I4": [ 1068 ], + "I5": [ 1884 ], + "O": [ 1095 ] + } + }, + "LUT6_20c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28669.5-28676.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1694 ], + "I1": [ 1834 ], + "I2": [ 2806 ], + "I3": [ 1118 ], + "I4": [ 1828 ], + "I5": [ 2813 ], + "O": [ 2811 ] + } + }, + "LUT6_20d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28680.5-28687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3341 ], + "I1": [ 2813 ], + "I2": [ 1834 ], + "I3": [ 1678 ], + "I4": [ 1808 ], + "I5": [ 1828 ], + "O": [ 1825 ] + } + }, + "LUT6_20e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28691.5-28698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1808 ], + "I1": [ 2813 ], + "I2": [ 2806 ], + "I3": [ 1678 ], + "I4": [ 1830 ], + "I5": [ 2806 ], + "O": [ 3342 ] + } + }, + "LUT6_20f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28702.5-28709.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1694 ], + "I1": [ 1828 ], + "I2": [ 1678 ], + "I3": [ 1830 ], + "I4": [ 2806 ], + "I5": [ 2813 ], + "O": [ 3038 ] + } + }, + "LUT6_21": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28713.5-28720.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 578 ], + "I2": [ 1260 ], + "I3": [ 2103 ], + "I4": [ 612 ], + "I5": [ 1226 ], + "O": [ 3416 ] + } + }, + "LUT6_210": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28724.5-28731.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2806 ], + "I1": [ 1118 ], + "I2": [ 1808 ], + "I3": [ 1834 ], + "I4": [ 1830 ], + "I5": [ 1678 ], + "O": [ 3207 ] + } + }, + "LUT6_211": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28735.5-28742.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3038 ], + "I1": [ 3035 ], + "I2": [ 3037 ], + "I3": [ 3207 ], + "I4": [ 2807 ], + "I5": [ 2809 ], + "O": [ 1821 ] + } + }, + "LUT6_212": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28746.5-28753.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2806 ], + "I1": [ 1784 ], + "I2": [ 2813 ], + "I3": [ 1838 ], + "I4": [ 2813 ], + "I5": [ 1780 ], + "O": [ 3422 ] + } + }, + "LUT6_213": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28757.5-28764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1684 ], + "I1": [ 3422 ], + "I2": [ 1820 ], + "I3": [ 3423 ], + "I4": [ 1784 ], + "I5": [ 3424 ], + "O": [ 1779 ] + } + }, + "LUT6_214": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28768.5-28775.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2806 ], + "I1": [ 2813 ], + "I2": [ 1782 ], + "I3": [ 2812 ], + "I4": [ 1820 ], + "I5": [ 2813 ], + "O": [ 1699 ] + } + }, + "LUT6_215": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28779.5-28786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1784 ], + "I1": [ 2813 ], + "I2": [ 1838 ], + "I3": [ 1820 ], + "I4": [ 2812 ], + "I5": [ 3423 ], + "O": [ 1697 ] + } + }, + "LUT6_216": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28790.5-28797.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2812 ], + "I1": [ 1782 ], + "I2": [ 3422 ], + "I3": [ 1684 ], + "I4": [ 2813 ], + "I5": [ 1820 ], + "O": [ 1781 ] + } + }, + "LUT6_217": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28801.5-28808.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1684 ], + "I1": [ 2813 ], + "I2": [ 1832 ], + "I3": [ 2806 ], + "I4": [ 1782 ], + "I5": [ 3422 ], + "O": [ 1833 ] + } + }, + "LUT6_218": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28812.5-28819.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1680 ], + "I1": [ 1672 ], + "I2": [ 1690 ], + "I3": [ 1686 ], + "I4": [ 1700 ], + "I5": [ 1674 ], + "O": [ 1693 ] + } + }, + "LUT6_219": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28823.5-28830.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1682 ], + "I1": [ 1680 ], + "I2": [ 1678 ], + "I3": [ 1700 ], + "I4": [ 1690 ], + "I5": [ 1702 ], + "O": [ 3425 ] + } + }, + "LUT6_21a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28834.5-28841.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1718 ], + "I1": [ 3424 ], + "I2": [ 1720 ], + "I3": [ 3425 ], + "I4": [ 1693 ], + "I5": [ 3424 ], + "O": [ 1681 ] + } + }, + "LUT6_21b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28845.5-28852.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1730 ], + "I1": [ 1804 ], + "I2": [ 1682 ], + "I3": [ 1726 ], + "I4": [ 1686 ], + "I5": [ 1672 ], + "O": [ 3426 ] + } + }, + "LUT6_21c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28856.5-28863.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1710 ], + "I1": [ 1708 ], + "I2": [ 1736 ], + "I3": [ 1702 ], + "I4": [ 1726 ], + "I5": [ 1690 ], + "O": [ 3209 ] + } + }, + "LUT6_21d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28867.5-28874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1686 ], + "I1": [ 1736 ], + "I2": [ 1726 ], + "I3": [ 1710 ], + "I4": [ 1702 ], + "I5": [ 1804 ], + "O": [ 3424 ] + } + }, + "LUT6_21e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28878.5-28885.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1682 ], + "I1": [ 1102 ], + "I2": [ 1686 ], + "I3": [ 1710 ], + "I4": [ 1702 ], + "I5": [ 1736 ], + "O": [ 3042 ] + } + }, + "LUT6_21f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28889.5-28896.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1102 ], + "I1": [ 1710 ], + "I2": [ 1726 ], + "I3": [ 1682 ], + "I4": [ 1702 ], + "I5": [ 1686 ], + "O": [ 3345 ] + } + }, + "LUT6_22": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28900.5-28907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3413 ], + "I1": [ 3039 ], + "I2": [ 408 ], + "I3": [ 2181 ], + "I4": [ 442 ], + "I5": [ 782 ], + "O": [ 373 ] + } + }, + "LUT6_220": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28911.5-28918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1726 ], + "I1": [ 1102 ], + "I2": [ 1702 ], + "I3": [ 1682 ], + "I4": [ 1708 ], + "I5": [ 1710 ], + "O": [ 1773 ] + } + }, + "LUT6_221": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28922.5-28929.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3209 ], + "I1": [ 3346 ], + "I2": [ 3041 ], + "I3": [ 3426 ], + "I4": [ 3042 ], + "I5": [ 2814 ], + "O": [ 1713 ] + } + }, + "LUT6_222": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28933.5-28940.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2816 ], + "I1": [ 3345 ], + "I2": [ 3209 ], + "I3": [ 2815 ], + "I4": [ 3042 ], + "I5": [ 3041 ], + "O": [ 1803 ] + } + }, + "LUT6_223": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28944.5-28951.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3209 ], + "I1": [ 3426 ], + "I2": [ 2816 ], + "I3": [ 3345 ], + "I4": [ 3042 ], + "I5": [ 2815 ], + "O": [ 1751 ] + } + }, + "LUT6_224": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28955.5-28962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2815 ], + "I1": [ 3209 ], + "I2": [ 3042 ], + "I3": [ 2816 ], + "I4": [ 3426 ], + "I5": [ 3345 ], + "O": [ 1717 ] + } + }, + "LUT6_225": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28966.5-28973.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3427 ], + "I1": [ 1766 ], + "I2": [ 1776 ], + "I3": [ 1740 ], + "I4": [ 1770 ], + "I5": [ 1742 ], + "O": [ 1767 ] + } + }, + "LUT6_226": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28977.5-28984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3043 ], + "I1": [ 2818 ], + "I2": [ 3044 ], + "I3": [ 2817 ], + "I4": [ 1788 ], + "I5": [ 3428 ], + "O": [ 1745 ] + } + }, + "LUT6_227": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28988.5-28995.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3043 ], + "I1": [ 2818 ], + "I2": [ 1796 ], + "I3": [ 3044 ], + "I4": [ 2817 ], + "I5": [ 1790 ], + "O": [ 1797 ] + } + }, + "LUT6_228": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:28999.5-29006.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1712 ], + "I1": [ 1732 ], + "I2": [ 1700 ], + "I3": [ 1744 ], + "I4": [ 1728 ], + "I5": [ 1676 ], + "O": [ 3429 ] + } + }, + "LUT6_229": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29010.5-29017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1768 ], + "I1": [ 1712 ], + "I2": [ 1744 ], + "I3": [ 1756 ], + "I4": [ 1752 ], + "I5": [ 1728 ], + "O": [ 3043 ] + } + }, + "LUT6_22a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29021.5-29028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1716 ], + "I1": [ 1798 ], + "I2": [ 1706 ], + "I3": [ 1786 ], + "I4": [ 1776 ], + "I5": [ 3210 ], + "O": [ 1749 ] + } + }, + "LUT6_22b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29032.5-29039.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1800 ], + "I1": [ 1716 ], + "I2": [ 1798 ], + "I3": [ 1714 ], + "I4": [ 1706 ], + "I5": [ 1678 ], + "O": [ 2824 ] + } + }, + "LUT6_22c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29043.5-29050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3347 ], + "I1": [ 2820 ], + "I2": [ 2819 ], + "I3": [ 3049 ], + "I4": [ 3050 ], + "I5": [ 3429 ], + "O": [ 1777 ] + } + }, + "LUT6_22d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29054.5-29061.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1104 ], + "I1": [ 1058 ], + "I2": [ 1066 ], + "I3": [ 1812 ], + "I4": [ 1098 ], + "I5": [ 1108 ], + "O": [ 1121 ] + } + }, + "LUT6_22e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29065.5-29072.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1096 ], + "I1": [ 1780 ], + "I2": [ 1066 ], + "I3": [ 2813 ], + "I4": [ 3051 ], + "I5": [ 1108 ], + "O": [ 3213 ] + } + }, + "LUT6_22f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29076.5-29083.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1076 ], + "I1": [ 2813 ], + "I2": [ 3051 ], + "I3": [ 1064 ], + "I4": [ 1780 ], + "I5": [ 1058 ], + "O": [ 3430 ] + } + }, + "LUT6_23": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29087.5-29094.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 408 ], + "I1": [ 578 ], + "I2": [ 1192 ], + "I3": [ 442 ], + "I4": [ 3039 ], + "I5": [ 3431 ], + "O": [ 883 ] + } + }, + "LUT6_230": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29098.5-29105.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1066 ], + "I1": [ 2813 ], + "I2": [ 3051 ], + "I3": [ 1064 ], + "I4": [ 1076 ], + "I5": [ 1780 ], + "O": [ 3432 ] + } + }, + "LUT6_231": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29109.5-29116.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2813 ], + "I1": [ 1104 ], + "I2": [ 1066 ], + "I3": [ 1064 ], + "I4": [ 3051 ], + "I5": [ 1076 ], + "O": [ 3349 ] + } + }, + "LUT6_232": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29120.5-29127.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3349 ], + "I1": [ 3053 ], + "I2": [ 3052 ], + "I3": [ 3432 ], + "I4": [ 3054 ], + "I5": [ 3212 ], + "O": [ 1081 ] + } + }, + "LUT6_233": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29131.5-29138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3432 ], + "I1": [ 2826 ], + "I2": [ 3055 ], + "I3": [ 2827 ], + "I4": [ 3213 ], + "I5": [ 3430 ], + "O": [ 1091 ] + } + }, + "LUT6_234": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29142.5-29149.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2826 ], + "I1": [ 3213 ], + "I2": [ 3055 ], + "I3": [ 3054 ], + "I4": [ 3349 ], + "I5": [ 3053 ], + "O": [ 1093 ] + } + }, + "LUT6_235": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29153.5-29160.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3055 ], + "I1": [ 3213 ], + "I2": [ 3052 ], + "I3": [ 3054 ], + "I4": [ 2826 ], + "I5": [ 2825 ], + "O": [ 1111 ] + } + }, + "LUT6_236": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29164.5-29171.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3349 ], + "I1": [ 3055 ], + "I2": [ 3432 ], + "I3": [ 2827 ], + "I4": [ 3213 ], + "I5": [ 3052 ], + "O": [ 1115 ] + } + }, + "LUT6_237": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29175.5-29182.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2826 ], + "I1": [ 3054 ], + "I2": [ 3349 ], + "I3": [ 3055 ], + "I4": [ 2827 ], + "I5": [ 3432 ], + "O": [ 1883 ] + } + }, + "LUT6_238": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29186.5-29193.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3055 ], + "I1": [ 2826 ], + "I2": [ 3213 ], + "I3": [ 3054 ], + "I4": [ 2827 ], + "I5": [ 3349 ], + "O": [ 1811 ] + } + }, + "LUT6_239": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29197.5-29204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3055 ], + "I1": [ 2826 ], + "I2": [ 3213 ], + "I3": [ 3349 ], + "I4": [ 2827 ], + "I5": [ 3054 ], + "O": [ 1107 ] + } + }, + "LUT6_23a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29208.5-29215.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1112 ], + "I2": [ 1106 ], + "I3": [ 1108 ], + "I4": [ 1110 ], + "I5": [ 1080 ], + "O": [ 3051 ] + } + }, + "LUT6_23b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29219.5-29226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3433 ], + "I1": [ 1814 ], + "I2": [ 1816 ], + "I3": [ 1062 ], + "I4": [ 3051 ], + "I5": [ 1112 ], + "O": [ 1817 ] + } + }, + "LUT6_23c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29230.5-29237.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1088 ], + "I1": [ 1858 ], + "I2": [ 1854 ], + "I3": [ 446 ], + "I4": [ 1818 ], + "I5": [ 1856 ], + "O": [ 3433 ] + } + }, + "LUT6_23d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29241.5-29248.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2830 ], + "I1": [ 2829 ], + "I2": [ 3434 ], + "I3": [ 1894 ], + "I4": [ 1862 ], + "I5": [ 1904 ], + "O": [ 1865 ] + } + }, + "LUT6_23e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29252.5-29259.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1872 ], + "I1": [ 1864 ], + "I2": [ 1870 ], + "I3": [ 446 ], + "I4": [ 1876 ], + "I5": [ 1868 ], + "O": [ 3351 ] + } + }, + "LUT6_23f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29263.5-29270.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1862 ], + "I1": [ 1086 ], + "I2": [ 1864 ], + "I3": [ 1872 ], + "I4": [ 1870 ], + "I5": [ 1892 ], + "O": [ 2833 ] + } + }, + "LUT6_24": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29274.5-29281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 782 ], + "I1": [ 544 ], + "I2": [ 850 ], + "I3": [ 2181 ], + "I4": [ 2487 ], + "I5": [ 3413 ], + "O": [ 509 ] + } + }, + "LUT6_240": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29285.5-29292.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1862 ], + "I1": [ 1848 ], + "I2": [ 1904 ], + "I3": [ 1900 ], + "I4": [ 1876 ], + "I5": [ 1872 ], + "O": [ 2832 ] + } + }, + "LUT6_241": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29296.5-29303.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1086 ], + "I1": [ 1862 ], + "I2": [ 1864 ], + "I3": [ 1876 ], + "I4": [ 1894 ], + "I5": [ 1870 ], + "O": [ 3056 ] + } + }, + "LUT6_242": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29307.5-29314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1904 ], + "I1": [ 1848 ], + "I2": [ 3351 ], + "I3": [ 2831 ], + "I4": [ 1862 ], + "I5": [ 3435 ], + "O": [ 1843 ] + } + }, + "LUT6_243": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29318.5-29325.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 446 ], + "I1": [ 1904 ], + "I2": [ 1894 ], + "I3": [ 2832 ], + "I4": [ 2831 ], + "I5": [ 3351 ], + "O": [ 1905 ] + } + }, + "LUT6_244": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29329.5-29336.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1848 ], + "I1": [ 446 ], + "I2": [ 2831 ], + "I3": [ 1904 ], + "I4": [ 2832 ], + "I5": [ 1894 ], + "O": [ 1887 ] + } + }, + "LUT6_245": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29340.5-29347.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2831 ], + "I1": [ 1864 ], + "I2": [ 1848 ], + "I3": [ 2832 ], + "I4": [ 2833 ], + "I5": [ 1904 ], + "O": [ 1879 ] + } + }, + "LUT6_246": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29351.5-29358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3436 ], + "I1": [ 1104 ], + "I2": [ 1062 ], + "I3": [ 1902 ], + "I4": [ 1108 ], + "I5": [ 1086 ], + "O": [ 1899 ] + } + }, + "LUT6_247": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29362.5-29369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1086 ], + "I1": [ 1866 ], + "I2": [ 1860 ], + "I3": [ 1104 ], + "I4": [ 1896 ], + "I5": [ 1880 ], + "O": [ 3436 ] + } + }, + "LUT6_248": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29373.5-29380.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3352 ], + "I1": [ 1062 ], + "I2": [ 1866 ], + "I3": [ 1844 ], + "I4": [ 1086 ], + "I5": [ 1882 ], + "O": [ 1857 ] + } + }, + "LUT6_249": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29384.5-29391.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1088 ], + "I1": [ 1108 ], + "I2": [ 1906 ], + "I3": [ 1818 ], + "I4": [ 1846 ], + "I5": [ 1880 ], + "O": [ 3437 ] + } + }, + "LUT6_24a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29395.5-29402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1086 ], + "I1": [ 1878 ], + "I2": [ 1888 ], + "I3": [ 1088 ], + "I4": [ 1902 ], + "I5": [ 1890 ], + "O": [ 3438 ] + } + }, + "LUT6_24b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29406.5-29413.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1088 ], + "I1": [ 1878 ], + "I2": [ 1882 ], + "I3": [ 1086 ], + "I4": [ 1902 ], + "I5": [ 3437 ], + "O": [ 1855 ] + } + }, + "LUT6_24c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29417.5-29424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1062 ], + "I1": [ 1898 ], + "I2": [ 1108 ], + "I3": [ 1866 ], + "I4": [ 1818 ], + "I5": [ 3438 ], + "O": [ 1881 ] + } + }, + "LUT6_24d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29428.5-29435.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 3439 ], + "I2": [ 1860 ], + "I3": [ 1818 ], + "I4": [ 1896 ], + "I5": [ 3440 ], + "O": [ 1875 ] + } + }, + "LUT6_24e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29439.5-29446.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1108 ], + "I1": [ 1906 ], + "I2": [ 1818 ], + "I3": [ 3439 ], + "I4": [ 1896 ], + "I5": [ 1860 ], + "O": [ 1891 ] + } + }, + "LUT6_24f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29450.5-29457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1906 ], + "I1": [ 1896 ], + "I2": [ 1860 ], + "I3": [ 1108 ], + "I4": [ 1818 ], + "I5": [ 3439 ], + "O": [ 1841 ] + } + }, + "LUT6_25": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29461.5-29468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2453 ], + "I1": [ 2455 ], + "I2": [ 1874 ], + "I3": [ 2475 ], + "I4": [ 2477 ], + "I5": [ 2479 ], + "O": [ 3441 ] + } + }, + "LUT6_250": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29472.5-29479.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3061 ], + "I1": [ 1898 ], + "I2": [ 1866 ], + "I3": [ 1064 ], + "I4": [ 1062 ], + "I5": [ 3059 ], + "O": [ 1853 ] + } + }, + "LUT6_251": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29483.5-29490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1866 ], + "I1": [ 2839 ], + "I2": [ 3061 ], + "I3": [ 1818 ], + "I4": [ 1846 ], + "I5": [ 1064 ], + "O": [ 1867 ] + } + }, + "LUT6_252": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29494.5-29501.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2359 ], + "I1": [ 2253 ], + "I2": [ 462 ], + "I3": [ 490 ], + "I4": [ 2036 ], + "I5": [ 2038 ], + "O": [ 2172 ] + } + }, + "LUT6_253": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29505.5-29512.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 448 ], + "I1": [ 2253 ], + "I2": [ 2040 ], + "I3": [ 2323 ], + "I4": [ 2042 ], + "I5": [ 2036 ], + "O": [ 2043 ] + } + }, + "LUT6_254": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29516.5-29523.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 462 ], + "I1": [ 2253 ], + "I2": [ 448 ], + "I3": [ 490 ], + "I4": [ 2359 ], + "I5": [ 2040 ], + "O": [ 2290 ] + } + }, + "LUT6_255": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29527.5-29534.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 490 ], + "I1": [ 2253 ], + "I2": [ 200 ], + "I3": [ 462 ], + "I4": [ 448 ], + "I5": [ 2042 ], + "O": [ 2013 ] + } + }, + "LUT6_256": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29538.5-29545.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 460 ], + "I1": [ 2168 ], + "I2": [ 448 ], + "I3": [ 1296 ], + "I4": [ 2713 ], + "I5": [ 456 ], + "O": [ 471 ] + } + }, + "LUT6_257": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29549.5-29556.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2016 ], + "I1": [ 2295 ], + "I2": [ 3312 ], + "I3": [ 2301 ], + "I4": [ 2293 ], + "I5": [ 2717 ], + "O": [ 3442 ] + } + }, + "LUT6_258": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29560.5-29567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2185 ], + "I1": [ 3312 ], + "I2": [ 2187 ], + "I3": [ 2171 ], + "I4": [ 2257 ], + "I5": [ 3442 ], + "O": [ 415 ] + } + }, + "LUT6_259": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29571.5-29578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2549 ], + "I1": [ 2295 ], + "I2": [ 2171 ], + "I3": [ 2257 ], + "I4": [ 454 ], + "I5": [ 2845 ], + "O": [ 419 ] + } + }, + "LUT6_25a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29582.5-29589.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2293 ], + "I1": [ 2301 ], + "I2": [ 2185 ], + "I3": [ 454 ], + "I4": [ 2187 ], + "I5": [ 2016 ], + "O": [ 3443 ] + } + }, + "LUT6_25b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29593.5-29600.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 454 ], + "I1": [ 2295 ], + "I2": [ 2171 ], + "I3": [ 2257 ], + "I4": [ 2172 ], + "I5": [ 2301 ], + "O": [ 3218 ] + } + }, + "LUT6_25c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29604.5-29611.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2187 ], + "I2": [ 454 ], + "I3": [ 2257 ], + "I4": [ 2172 ], + "I5": [ 2295 ], + "O": [ 2551 ] + } + }, + "LUT6_25d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29615.5-29622.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2849 ], + "I1": [ 3218 ], + "I2": [ 3355 ], + "I3": [ 2550 ], + "I4": [ 2848 ], + "I5": [ 3443 ], + "O": [ 1463 ] + } + }, + "LUT6_25e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29626.5-29633.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 2551 ], + "I2": [ 2849 ], + "I3": [ 2847 ], + "I4": [ 3217 ], + "I5": [ 3355 ], + "O": [ 2017 ] + } + }, + "LUT6_25f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29637.5-29644.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2849 ], + "I1": [ 2848 ], + "I2": [ 3218 ], + "I3": [ 2847 ], + "I4": [ 2550 ], + "I5": [ 3217 ], + "O": [ 917 ] + } + }, + "LUT6_26": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29648.5-29655.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3444 ], + "I1": [ 3445 ], + "I2": [ 3238 ], + "I3": [ 3446 ], + "I4": [ 3096 ], + "I5": [ 3441 ], + "O": [ 1293 ] + } + }, + "LUT6_260": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29659.5-29666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2849 ], + "I1": [ 2847 ], + "I2": [ 2550 ], + "I3": [ 2551 ], + "I4": [ 2848 ], + "I5": [ 3218 ], + "O": [ 413 ] + } + }, + "LUT6_261": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29670.5-29677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1956 ], + "I1": [ 1916 ], + "I2": [ 2279 ], + "I3": [ 268 ], + "I4": [ 2145 ], + "I5": [ 1934 ], + "O": [ 2851 ] + } + }, + "LUT6_262": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29681.5-29688.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 2845 ], + "I2": [ 1956 ], + "I3": [ 1919 ], + "I4": [ 1934 ], + "I5": [ 2365 ], + "O": [ 2366 ] + } + }, + "LUT6_263": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29692.5-29699.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1956 ], + "I1": [ 1958 ], + "I2": [ 268 ], + "I3": [ 1934 ], + "I4": [ 1938 ], + "I5": [ 1910 ], + "O": [ 1919 ] + } + }, + "LUT6_264": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29703.5-29710.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 2845 ], + "I2": [ 1915 ], + "I3": [ 2145 ], + "I4": [ 2147 ], + "I5": [ 268 ], + "O": [ 1955 ] + } + }, + "LUT6_265": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29714.5-29721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2070 ], + "I1": [ 1966 ], + "I2": [ 1964 ], + "I3": [ 1960 ], + "I4": [ 2102 ], + "I5": [ 2020 ], + "O": [ 3447 ] + } + }, + "LUT6_266": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29725.5-29732.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 268 ], + "I1": [ 2145 ], + "I2": [ 1994 ], + "I3": [ 2000 ], + "I4": [ 1910 ], + "I5": [ 2070 ], + "O": [ 3448 ] + } + }, + "LUT6_267": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29736.5-29743.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2850 ], + "I1": [ 1910 ], + "I2": [ 2554 ], + "I3": [ 2852 ], + "I4": [ 1954 ], + "I5": [ 1917 ], + "O": [ 1943 ] + } + }, + "LUT6_268": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29747.5-29754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2852 ], + "I1": [ 2553 ], + "I2": [ 1917 ], + "I3": [ 2850 ], + "I4": [ 1910 ], + "I5": [ 2554 ], + "O": [ 1969 ] + } + }, + "LUT6_269": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29758.5-29765.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3449 ], + "I1": [ 2853 ], + "I2": [ 2855 ], + "I3": [ 3450 ], + "I4": [ 3220 ], + "I5": [ 2854 ], + "O": [ 2069 ] + } + }, + "LUT6_26a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29769.5-29776.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2070 ], + "I1": [ 268 ], + "I2": [ 1982 ], + "I3": [ 1962 ], + "I4": [ 2224 ], + "I5": [ 1963 ], + "O": [ 3450 ] + } + }, + "LUT6_26b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29780.5-29787.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2000 ], + "I1": [ 2006 ], + "I2": [ 1594 ], + "I3": [ 1962 ], + "I4": [ 2070 ], + "I5": [ 1982 ], + "O": [ 2850 ] + } + }, + "LUT6_26c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29791.5-29798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1982 ], + "I1": [ 1962 ], + "I2": [ 2000 ], + "I3": [ 2070 ], + "I4": [ 1919 ], + "I5": [ 2006 ], + "O": [ 3449 ] + } + }, + "LUT6_26d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29802.5-29809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 1594 ], + "I2": [ 2008 ], + "I3": [ 2145 ], + "I4": [ 1934 ], + "I5": [ 2000 ], + "O": [ 2559 ] + } + }, + "LUT6_26e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29813.5-29820.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3065 ], + "I1": [ 2068 ], + "I2": [ 1570 ], + "I3": [ 3066 ], + "I4": [ 3448 ], + "I5": [ 2860 ], + "O": [ 1949 ] + } + }, + "LUT6_26f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29824.5-29831.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2068 ], + "I1": [ 1588 ], + "I2": [ 1570 ], + "I3": [ 3065 ], + "I4": [ 3448 ], + "I5": [ 1994 ], + "O": [ 1995 ] + } + }, + "LUT6_27": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29835.5-29842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2451 ], + "I1": [ 988 ], + "I2": [ 2473 ], + "I3": [ 2475 ], + "I4": [ 2479 ], + "I5": [ 2455 ], + "O": [ 3444 ] + } + }, + "LUT6_270": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29846.5-29853.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1570 ], + "I1": [ 1588 ], + "I2": [ 1994 ], + "I3": [ 2068 ], + "I4": [ 3448 ], + "I5": [ 3065 ], + "O": [ 1997 ] + } + }, + "LUT6_271": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29857.5-29864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1978 ], + "I1": [ 2145 ], + "I2": [ 3068 ], + "I3": [ 1998 ], + "I4": [ 1992 ], + "I5": [ 1910 ], + "O": [ 2005 ] + } + }, + "LUT6_272": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29868.5-29875.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1980 ], + "I1": [ 1996 ], + "I2": [ 2004 ], + "I3": [ 2002 ], + "I4": [ 3067 ], + "I5": [ 2859 ], + "O": [ 1953 ] + } + }, + "LUT6_273": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29879.5-29886.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1996 ], + "I1": [ 1948 ], + "I2": [ 3067 ], + "I3": [ 1980 ], + "I4": [ 1978 ], + "I5": [ 1950 ], + "O": [ 1999 ] + } + }, + "LUT6_274": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29890.5-29897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3225 ], + "I1": [ 3451 ], + "I2": [ 3071 ], + "I3": [ 3452 ], + "I4": [ 3070 ], + "I5": [ 3226 ], + "O": [ 1987 ] + } + }, + "LUT6_275": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29901.5-29908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2100 ], + "I1": [ 1588 ], + "I2": [ 1538 ], + "I3": [ 1984 ], + "I4": [ 1540 ], + "I5": [ 1536 ], + "O": [ 3453 ] + } + }, + "LUT6_276": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29912.5-29919.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2100 ], + "I1": [ 1480 ], + "I2": [ 1538 ], + "I3": [ 1588 ], + "I4": [ 1536 ], + "I5": [ 1566 ], + "O": [ 2565 ] + } + }, + "LUT6_277": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29923.5-29930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1540 ], + "I1": [ 1566 ], + "I2": [ 1984 ], + "I3": [ 1974 ], + "I4": [ 268 ], + "I5": [ 1484 ], + "O": [ 3454 ] + } + }, + "LUT6_278": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29934.5-29941.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1486 ], + "I1": [ 1540 ], + "I2": [ 1974 ], + "I3": [ 2102 ], + "I4": [ 1984 ], + "I5": [ 1480 ], + "O": [ 3452 ] + } + }, + "LUT6_279": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29945.5-29952.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1536 ], + "I1": [ 2068 ], + "I2": [ 3453 ], + "I3": [ 3454 ], + "I4": [ 1570 ], + "I5": [ 2102 ], + "O": [ 3455 ] + } + }, + "LUT6_27a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29956.5-29963.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2100 ], + "I1": [ 1986 ], + "I2": [ 3454 ], + "I3": [ 1566 ], + "I4": [ 1538 ], + "I5": [ 1540 ], + "O": [ 1537 ] + } + }, + "LUT6_27b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29967.5-29974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1974 ], + "I1": [ 2068 ], + "I2": [ 1536 ], + "I3": [ 1570 ], + "I4": [ 1486 ], + "I5": [ 3453 ], + "O": [ 1535 ] + } + }, + "LUT6_27c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29978.5-29985.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1536 ], + "I1": [ 2100 ], + "I2": [ 1486 ], + "I3": [ 1570 ], + "I4": [ 1974 ], + "I5": [ 2068 ], + "O": [ 3451 ] + } + }, + "LUT6_27d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:29989.5-29996.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 1486 ], + "I2": [ 1484 ], + "I3": [ 1594 ], + "I4": [ 2099 ], + "I5": [ 1542 ], + "O": [ 1479 ] + } + }, + "LUT6_27e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30000.5-30007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1508 ], + "I1": [ 1520 ], + "I2": [ 1532 ], + "I3": [ 1524 ], + "I4": [ 1472 ], + "I5": [ 1526 ], + "O": [ 3072 ] + } + }, + "LUT6_27f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30011.5-30018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1520 ], + "I1": [ 1518 ], + "I2": [ 3456 ], + "I3": [ 1508 ], + "I4": [ 1516 ], + "I5": [ 1468 ], + "O": [ 1477 ] + } + }, + "LUT6_28": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30022.5-30029.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3457 ], + "I1": [ 3458 ], + "I2": [ 2876 ], + "I3": [ 1840 ], + "I4": [ 988 ], + "I5": [ 2477 ], + "O": [ 2472 ] + } + }, + "LUT6_280": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30033.5-30040.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1520 ], + "I1": [ 1532 ], + "I2": [ 1502 ], + "I3": [ 1508 ], + "I4": [ 3456 ], + "I5": [ 1472 ], + "O": [ 1529 ] + } + }, + "LUT6_281": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30044.5-30051.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1532 ], + "I1": [ 1524 ], + "I2": [ 1516 ], + "I3": [ 1504 ], + "I4": [ 2566 ], + "I5": [ 1518 ], + "O": [ 1547 ] + } + }, + "LUT6_282": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30055.5-30062.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1532 ], + "I1": [ 3072 ], + "I2": [ 1506 ], + "I3": [ 1508 ], + "I4": [ 1516 ], + "I5": [ 1524 ], + "O": [ 1485 ] + } + }, + "LUT6_283": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30066.5-30073.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1506 ], + "I1": [ 1508 ], + "I2": [ 1532 ], + "I3": [ 3456 ], + "I4": [ 1520 ], + "I5": [ 1504 ], + "O": [ 1469 ] + } + }, + "LUT6_284": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30077.5-30084.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1532 ], + "I1": [ 3072 ], + "I2": [ 2566 ], + "I3": [ 1508 ], + "I4": [ 1506 ], + "I5": [ 3456 ], + "O": [ 1521 ] + } + }, + "LUT6_285": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30088.5-30095.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1516 ], + "I1": [ 1502 ], + "I2": [ 1508 ], + "I3": [ 1506 ], + "I4": [ 2566 ], + "I5": [ 1532 ], + "O": [ 1511 ] + } + }, + "LUT6_286": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30099.5-30106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2566 ], + "I1": [ 1502 ], + "I2": [ 1516 ], + "I3": [ 1532 ], + "I4": [ 1506 ], + "I5": [ 1508 ], + "O": [ 1549 ] + } + }, + "LUT6_287": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30110.5-30117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1514 ], + "I1": [ 1478 ], + "I2": [ 1550 ], + "I3": [ 1562 ], + "I4": [ 1510 ], + "I5": [ 1988 ], + "O": [ 2567 ] + } + }, + "LUT6_288": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30121.5-30128.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2568 ], + "I1": [ 2570 ], + "I2": [ 1550 ], + "I3": [ 3229 ], + "I4": [ 1564 ], + "I5": [ 1478 ], + "O": [ 1561 ] + } + }, + "LUT6_289": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30132.5-30139.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2567 ], + "I1": [ 1550 ], + "I2": [ 3229 ], + "I3": [ 1530 ], + "I4": [ 1510 ], + "I5": [ 2568 ], + "O": [ 1563 ] + } + }, + "LUT6_28a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30143.5-30150.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 1564 ], + "I2": [ 2862 ], + "I3": [ 1562 ], + "I4": [ 2567 ], + "I5": [ 2570 ], + "O": [ 1501 ] + } + }, + "LUT6_28b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30154.5-30161.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1564 ], + "I1": [ 1530 ], + "I2": [ 2567 ], + "I3": [ 1562 ], + "I4": [ 3229 ], + "I5": [ 2862 ], + "O": [ 1517 ] + } + }, + "LUT6_28c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30165.5-30172.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1564 ], + "I1": [ 1530 ], + "I2": [ 1562 ], + "I3": [ 1550 ], + "I4": [ 2567 ], + "I5": [ 3229 ], + "O": [ 1531 ] + } + }, + "LUT6_28d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30176.5-30183.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 1988 ], + "I2": [ 1556 ], + "I3": [ 1512 ], + "I4": [ 1988 ], + "I5": [ 1488 ], + "O": [ 3459 ] + } + }, + "LUT6_28e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30187.5-30194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 1512 ], + "I2": [ 1988 ], + "I3": [ 1988 ], + "I4": [ 1476 ], + "I5": [ 1482 ], + "O": [ 3075 ] + } + }, + "LUT6_28f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30198.5-30205.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 1988 ], + "I2": [ 1556 ], + "I3": [ 1552 ], + "I4": [ 1522 ], + "I5": [ 1548 ], + "O": [ 2865 ] + } + }, + "LUT6_29": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30209.5-30216.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1942 ], + "I1": [ 1056 ], + "I2": [ 1090 ], + "I3": [ 1840 ], + "I4": [ 2479 ], + "I5": [ 988 ], + "O": [ 2857 ] + } + }, + "LUT6_290": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30220.5-30227.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 3075 ], + "I2": [ 2865 ], + "I3": [ 1476 ], + "I4": [ 1470 ], + "I5": [ 3459 ], + "O": [ 1557 ] + } + }, + "LUT6_291": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30231.5-30238.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1470 ], + "I1": [ 2865 ], + "I2": [ 1988 ], + "I3": [ 3075 ], + "I4": [ 1512 ], + "I5": [ 1476 ], + "O": [ 1559 ] + } + }, + "LUT6_292": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30242.5-30249.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 1988 ], + "I2": [ 2865 ], + "I3": [ 1988 ], + "I4": [ 1556 ], + "I5": [ 1522 ], + "O": [ 1505 ] + } + }, + "LUT6_293": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30253.5-30260.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 1554 ], + "I2": [ 1512 ], + "I3": [ 1988 ], + "I4": [ 1470 ], + "I5": [ 1556 ], + "O": [ 3229 ] + } + }, + "LUT6_294": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30264.5-30271.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1544 ], + "I1": [ 2066 ], + "I2": [ 3455 ], + "I3": [ 3460 ], + "I4": [ 3224 ], + "I5": [ 3223 ], + "O": [ 1545 ] + } + }, + "LUT6_295": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30275.5-30282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 1622 ], + "I2": [ 2147 ], + "I3": [ 1604 ], + "I4": [ 1630 ], + "I5": [ 1620 ], + "O": [ 3230 ] + } + }, + "LUT6_296": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30286.5-30293.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2870 ], + "I1": [ 2575 ], + "I2": [ 3076 ], + "I3": [ 2869 ], + "I4": [ 2868 ], + "I5": [ 3461 ], + "O": [ 1651 ] + } + }, + "LUT6_297": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30297.5-30304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2572 ], + "I1": [ 2870 ], + "I2": [ 3076 ], + "I3": [ 2571 ], + "I4": [ 2868 ], + "I5": [ 2869 ], + "O": [ 1573 ] + } + }, + "LUT6_298": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30308.5-30315.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 1624 ], + "I2": [ 1626 ], + "I3": [ 1628 ], + "I4": [ 1616 ], + "I5": [ 1630 ], + "O": [ 3077 ] + } + }, + "LUT6_299": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30319.5-30326.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 1578 ], + "I2": [ 1620 ], + "I3": [ 1632 ], + "I4": [ 1592 ], + "I5": [ 1616 ], + "O": [ 3462 ] + } + }, + "LUT6_29a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30330.5-30337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1626 ], + "I1": [ 3230 ], + "I2": [ 1576 ], + "I3": [ 1620 ], + "I4": [ 1632 ], + "I5": [ 3462 ], + "O": [ 1607 ] + } + }, + "LUT6_29b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30341.5-30348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1634 ], + "I1": [ 1582 ], + "I2": [ 1586 ], + "I3": [ 1610 ], + "I4": [ 1614 ], + "I5": [ 1972 ], + "O": [ 3463 ] + } + }, + "LUT6_29c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30352.5-30359.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3080 ], + "I1": [ 3079 ], + "I2": [ 3464 ], + "I3": [ 3232 ], + "I4": [ 3465 ], + "I5": [ 2872 ], + "O": [ 1629 ] + } + }, + "LUT6_29d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30363.5-30370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1614 ], + "I1": [ 1608 ], + "I2": [ 2066 ], + "I3": [ 1656 ], + "I4": [ 1612 ], + "I5": [ 2582 ], + "O": [ 3466 ] + } + }, + "LUT6_29e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30374.5-30381.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1614 ], + "I1": [ 1612 ], + "I2": [ 1608 ], + "I3": [ 1586 ], + "I4": [ 1660 ], + "I5": [ 1658 ], + "O": [ 2871 ] + } + }, + "LUT6_29f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30385.5-30392.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2066 ], + "I1": [ 3078 ], + "I2": [ 1608 ], + "I3": [ 2580 ], + "I4": [ 1656 ], + "I5": [ 2578 ], + "O": [ 1655 ] + } + }, + "LUT6_2_0": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30396.5-30404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 1072 ], + "I2": [ 2241 ], + "I3": [ 2319 ], + "I4": [ 302 ], + "I5": [ 474 ], + "O5": [ 2770 ], + "O6": [ 3272 ] + } + }, + "LUT6_2_1": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30408.5-30416.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2489 ], + "I1": [ 1226 ], + "I2": [ 1260 ], + "I3": [ 442 ], + "I4": [ 612 ], + "I5": [ 544 ], + "O5": [ 3382 ], + "O6": [ 3381 ] + } + }, + "LUT6_2_10": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30420.5-30428.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 296 ], + "I1": [ 262 ], + "I2": [ 278 ], + "I3": [ 2525 ], + "I4": [ 242 ], + "I5": [ 292 ], + "O5": [ 3467 ], + "O6": [ 3468 ] + } + }, + "LUT6_2_11": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30432.5-30440.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2663 ], + "I1": [ 3115 ], + "I2": [ 2920 ], + "I3": [ 3469 ], + "I4": [ 2660 ], + "I5": [ 2919 ], + "O5": [ 243 ], + "O6": [ 315 ] + } + }, + "LUT6_2_12": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30444.5-30452.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3470 ], + "I1": [ 366 ], + "I2": [ 2103 ], + "I3": [ 368 ], + "I4": [ 618 ], + "I5": [ 3471 ], + "O5": [ 3472 ], + "O6": [ 2516 ] + } + }, + "LUT6_2_13": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30456.5-30464.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 346 ], + "I1": [ 342 ], + "I2": [ 360 ], + "I3": [ 600 ], + "I4": [ 642 ], + "I5": [ 344 ], + "O5": [ 3120 ], + "O6": [ 2669 ] + } + }, + "LUT6_2_14": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30468.5-30476.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2926 ], + "I1": [ 3120 ], + "I2": [ 2668 ], + "I3": [ 2669 ], + "I4": [ 640 ], + "I5": [ 3473 ], + "O5": [ 367 ], + "O6": [ 337 ] + } + }, + "LUT6_2_15": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30480.5-30488.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 630 ], + "I1": [ 628 ], + "I2": [ 604 ], + "I3": [ 596 ], + "I4": [ 608 ], + "I5": [ 580 ], + "O5": [ 2927 ], + "O6": [ 3474 ] + } + }, + "LUT6_2_16": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30492.5-30500.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 666 ], + "I1": [ 432 ], + "I2": [ 2529 ], + "I3": [ 624 ], + "I4": [ 2315 ], + "I5": [ 428 ], + "O5": [ 267 ], + "O6": [ 611 ] + } + }, + "LUT6_2_17": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30504.5-30512.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 668 ], + "I1": [ 660 ], + "I2": [ 2315 ], + "I3": [ 432 ], + "I4": [ 434 ], + "I5": [ 428 ], + "O5": [ 3279 ], + "O6": [ 3366 ] + } + }, + "LUT6_2_18": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30516.5-30524.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 336 ], + "I1": [ 3280 ], + "I2": [ 652 ], + "I3": [ 668 ], + "I4": [ 710 ], + "I5": [ 2674 ], + "O5": [ 647 ], + "O6": [ 705 ] + } + }, + "LUT6_2_19": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30528.5-30536.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 684 ], + "I1": [ 670 ], + "I2": [ 688 ], + "I3": [ 730 ], + "I4": [ 686 ], + "I5": [ 656 ], + "O5": [ 731 ], + "O6": [ 689 ] + } + }, + "LUT6_2_1a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30540.5-30548.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 876 ], + "I1": [ 760 ], + "I2": [ 758 ], + "I3": [ 800 ], + "I4": [ 762 ], + "I5": [ 802 ], + "O5": [ 3292 ], + "O6": [ 3363 ] + } + }, + "LUT6_2_1b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30552.5-30560.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 866 ], + "I1": [ 848 ], + "I2": [ 840 ], + "I3": [ 868 ], + "I4": [ 3299 ], + "I5": [ 3149 ], + "O5": [ 2943 ], + "O6": [ 3475 ] + } + }, + "LUT6_2_1c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30564.5-30572.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 868 ], + "I1": [ 840 ], + "I2": [ 2705 ], + "I3": [ 870 ], + "I4": [ 794 ], + "I5": [ 866 ], + "O5": [ 2690 ], + "O6": [ 3288 ] + } + }, + "LUT6_2_1d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30576.5-30584.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2685 ], + "I1": [ 2940 ], + "I2": [ 3288 ], + "I3": [ 3476 ], + "I4": [ 3475 ], + "I5": [ 3477 ], + "O5": [ 753 ], + "O6": [ 805 ] + } + }, + "LUT6_2_1e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30588.5-30596.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3289 ], + "I1": [ 792 ], + "I2": [ 796 ], + "I3": [ 846 ], + "I4": [ 826 ], + "I5": [ 834 ], + "O5": [ 807 ], + "O6": [ 865 ] + } + }, + "LUT6_2_1f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30600.5-30608.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 886 ], + "I1": [ 906 ], + "I2": [ 894 ], + "I3": [ 902 ], + "I4": [ 890 ], + "I5": [ 888 ], + "O5": [ 3295 ], + "O6": [ 2952 ] + } + }, + "LUT6_2_2": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30612.5-30620.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 408 ], + "I1": [ 850 ], + "I2": [ 1226 ], + "I3": [ 782 ], + "I4": [ 2483 ], + "I5": [ 2489 ], + "O5": [ 3039 ], + "O6": [ 3431 ] + } + }, + "LUT6_2_20": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30624.5-30632.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 394 ], + "I1": [ 910 ], + "I2": [ 916 ], + "I3": [ 878 ], + "I4": [ 396 ], + "I5": [ 3145 ], + "O5": [ 3146 ], + "O6": [ 901 ] + } + }, + "LUT6_2_21": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30636.5-30644.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 661 ], + "I1": [ 2711 ], + "I2": [ 3366 ], + "I3": [ 406 ], + "I4": [ 611 ], + "I5": [ 3367 ], + "O5": [ 385 ], + "O6": [ 421 ] + } + }, + "LUT6_2_22": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30648.5-30656.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 468 ], + "I1": [ 2713 ], + "I2": [ 458 ], + "I3": [ 444 ], + "I4": [ 3312 ], + "I5": [ 2844 ], + "O5": [ 3370 ], + "O6": [ 3150 ] + } + }, + "LUT6_2_23": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30660.5-30668.22" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2275 ], + "I1": [ 456 ], + "I2": [ 490 ], + "I3": [ 2179 ], + "I4": [ 416 ], + "I5": [ 3372 ], + "O5": [ 2009 ], + "O6": [ 2320 ] + } + }, + "LUT6_2_24": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30672.5-30680.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1182 ], + "I1": [ 2970 ], + "I2": [ 1324 ], + "I3": [ 542 ], + "I4": [ 3375 ], + "I5": [ 508 ], + "O5": [ 533 ], + "O6": [ 527 ] + } + }, + "LUT6_2_25": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30684.5-30692.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 530 ], + "I1": [ 572 ], + "I2": [ 1172 ], + "I3": [ 522 ], + "I4": [ 556 ], + "I5": [ 570 ], + "O5": [ 3378 ], + "O6": [ 3377 ] + } + }, + "LUT6_2_26": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30696.5-30704.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2724 ], + "I1": [ 1148 ], + "I2": [ 546 ], + "I3": [ 3311 ], + "I4": [ 1130 ], + "I5": [ 1152 ], + "O5": [ 553 ], + "O6": [ 551 ] + } + }, + "LUT6_2_27": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30708.5-30716.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 502 ], + "I2": [ 2977 ], + "I3": [ 506 ], + "I4": [ 3302 ], + "I5": [ 3304 ], + "O5": [ 3396 ], + "O6": [ 523 ] + } + }, + "LUT6_2_28": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30720.5-30728.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1236 ], + "I1": [ 2722 ], + "I2": [ 1216 ], + "I3": [ 1168 ], + "I4": [ 1254 ], + "I5": [ 1204 ], + "O5": [ 1233 ], + "O6": [ 1199 ] + } + }, + "LUT6_2_29": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30732.5-30740.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3162 ], + "I1": [ 1168 ], + "I2": [ 1216 ], + "I3": [ 1252 ], + "I4": [ 1248 ], + "I5": [ 3384 ], + "O5": [ 1219 ], + "O6": [ 3380 ] + } + }, + "LUT6_2_2a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30744.5-30752.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1204 ], + "I1": [ 1190 ], + "I2": [ 1252 ], + "I3": [ 494 ], + "I4": [ 1216 ], + "I5": [ 1164 ], + "O5": [ 3386 ], + "O6": [ 3385 ] + } + }, + "LUT6_2_2b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30756.5-30764.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1196 ], + "I1": [ 1926 ], + "I2": [ 1220 ], + "I3": [ 1218 ], + "I4": [ 1212 ], + "I5": [ 1160 ], + "O5": [ 3395 ], + "O6": [ 3393 ] + } + }, + "LUT6_2_2c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30768.5-30776.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 454 ], + "I1": [ 1272 ], + "I2": [ 1264 ], + "I3": [ 1322 ], + "I4": [ 1072 ], + "I5": [ 2718 ], + "O5": [ 2990 ], + "O6": [ 3317 ] + } + }, + "LUT6_2_2d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30780.5-30788.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2995 ], + "I1": [ 3318 ], + "I2": [ 2996 ], + "I3": [ 2994 ], + "I4": [ 2760 ], + "I5": [ 3398 ], + "O5": [ 1271 ], + "O6": [ 1281 ] + } + }, + "LUT6_2_2e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30792.5-30800.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 982 ], + "I1": [ 980 ], + "I2": [ 968 ], + "I3": [ 926 ], + "I4": [ 974 ], + "I5": [ 972 ], + "O5": [ 951 ], + "O6": [ 941 ] + } + }, + "LUT6_2_2f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30804.5-30812.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 970 ], + "I1": [ 1458 ], + "I2": [ 1398 ], + "I3": [ 924 ], + "I4": [ 1454 ], + "I5": [ 1400 ], + "O5": [ 3179 ], + "O6": [ 3180 ] + } + }, + "LUT6_2_3": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30816.5-30824.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 1874 ], + "I2": [ 2477 ], + "I3": [ 1090 ], + "I4": [ 988 ], + "I5": [ 1022 ], + "O5": [ 3446 ], + "O6": [ 3445 ] + } + }, + "LUT6_2_30": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30828.5-30836.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 948 ], + "I1": [ 924 ], + "I2": [ 1398 ], + "I3": [ 922 ], + "I4": [ 2768 ], + "I5": [ 2769 ], + "O5": [ 1427 ], + "O6": [ 1425 ] + } + }, + "LUT6_2_31": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30840.5-30848.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1408 ], + "I1": [ 924 ], + "I2": [ 956 ], + "I3": [ 922 ], + "I4": [ 1458 ], + "I5": [ 3007 ], + "O5": [ 1403 ], + "O6": [ 929 ] + } + }, + "LUT6_2_32": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30852.5-30860.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 964 ], + "I1": [ 1434 ], + "I2": [ 986 ], + "I3": [ 1446 ], + "I4": [ 1444 ], + "I5": [ 1330 ], + "O5": [ 3410 ], + "O6": [ 3409 ] + } + }, + "LUT6_2_33": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30864.5-30872.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3329 ], + "I1": [ 3185 ], + "I2": [ 1394 ], + "I3": [ 3328 ], + "I4": [ 964 ], + "I5": [ 3186 ], + "O5": [ 1447 ], + "O6": [ 955 ] + } + }, + "LUT6_2_34": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30876.5-30884.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3328 ], + "I1": [ 3410 ], + "I2": [ 1420 ], + "I3": [ 2778 ], + "I4": [ 1330 ], + "I5": [ 3409 ], + "O5": [ 1407 ], + "O6": [ 1435 ] + } + }, + "LUT6_2_35": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30888.5-30896.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3012 ], + "I1": [ 1002 ], + "I2": [ 1416 ], + "I3": [ 1392 ], + "I4": [ 966 ], + "I5": [ 1358 ], + "O5": [ 1419 ], + "O6": [ 1385 ] + } + }, + "LUT6_2_36": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30900.5-30908.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2782 ], + "I1": [ 3188 ], + "I2": [ 3011 ], + "I3": [ 3189 ], + "I4": [ 2783 ], + "I5": [ 3411 ], + "O5": [ 1413 ], + "O6": [ 1383 ] + } + }, + "LUT6_2_37": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30912.5-30920.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2786 ], + "I1": [ 1358 ], + "I2": [ 1386 ], + "I3": [ 2787 ], + "I4": [ 3332 ], + "I5": [ 3192 ], + "O5": [ 3400 ], + "O6": [ 1005 ] + } + }, + "LUT6_2_38": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30924.5-30932.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1834 ], + "I1": [ 1830 ], + "I2": [ 2813 ], + "I3": [ 1062 ], + "I4": [ 1118 ], + "I5": [ 1095 ], + "O5": [ 3040 ], + "O6": [ 3343 ] + } + }, + "LUT6_2_39": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30936.5-30944.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1680 ], + "I1": [ 3424 ], + "I2": [ 1688 ], + "I3": [ 1692 ], + "I4": [ 1100 ], + "I5": [ 1698 ], + "O5": [ 1677 ], + "O6": [ 1683 ] + } + }, + "LUT6_2_3a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30948.5-30956.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1682 ], + "I1": [ 1686 ], + "I2": [ 1804 ], + "I3": [ 1730 ], + "I4": [ 1734 ], + "I5": [ 1696 ], + "O5": [ 3346 ], + "O6": [ 2816 ] + } + }, + "LUT6_2_3b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30960.5-30968.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1758 ], + "I1": [ 1776 ], + "I2": [ 1716 ], + "I3": [ 1760 ], + "I4": [ 1764 ], + "I5": [ 1762 ], + "O5": [ 1753 ], + "O6": [ 1755 ] + } + }, + "LUT6_2_3c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30972.5-30980.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1768 ], + "I1": [ 1728 ], + "I2": [ 1744 ], + "I3": [ 1752 ], + "I4": [ 1724 ], + "I5": [ 1732 ], + "O5": [ 3427 ], + "O6": [ 3428 ] + } + }, + "LUT6_2_3d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30984.5-30992.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3350 ], + "I1": [ 2828 ], + "I2": [ 3214 ], + "I3": [ 3051 ], + "I4": [ 1812 ], + "I5": [ 1076 ], + "O5": [ 3423 ], + "O6": [ 1117 ] + } + }, + "LUT6_2_3e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:30996.5-31004.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1872 ], + "I1": [ 1894 ], + "I2": [ 1904 ], + "I3": [ 446 ], + "I4": [ 1842 ], + "I5": [ 1852 ], + "O5": [ 3435 ], + "O6": [ 3434 ] + } + }, + "LUT6_2_3f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31008.5-31016.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2835 ], + "I1": [ 3058 ], + "I2": [ 3353 ], + "I3": [ 2836 ], + "I4": [ 2834 ], + "I5": [ 3057 ], + "O5": [ 1847 ], + "O6": [ 1903 ] + } + }, + "LUT6_2_4": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31020.5-31028.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1670 ], + "I1": [ 2453 ], + "I2": [ 2451 ], + "I3": [ 1874 ], + "I4": [ 3106 ], + "I5": [ 1022 ], + "O5": [ 3457 ], + "O6": [ 3216 ] + } + }, + "LUT6_2_40": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31032.5-31040.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1860 ], + "I2": [ 1896 ], + "I3": [ 1906 ], + "I4": [ 1844 ], + "I5": [ 1850 ], + "O5": [ 3061 ], + "O6": [ 2839 ] + } + }, + "LUT6_2_41": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31044.5-31052.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1064 ], + "I2": [ 1866 ], + "I3": [ 1846 ], + "I4": [ 1898 ], + "I5": [ 1062 ], + "O5": [ 3440 ], + "O6": [ 3439 ] + } + }, + "LUT6_2_42": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31056.5-31064.22" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 448 ], + "I1": [ 200 ], + "I2": [ 490 ], + "I3": [ 462 ], + "I4": [ 2323 ], + "I5": [ 2359 ], + "O5": [ 3354 ], + "O6": [ 2360 ] + } + }, + "LUT6_2_43": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31068.5-31076.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 460 ], + "I2": [ 2290 ], + "I3": [ 2162 ], + "I4": [ 2844 ], + "I5": [ 3062 ], + "O5": [ 465 ], + "O6": [ 445 ] + } + }, + "LUT6_2_44": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31080.5-31088.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1938 ], + "I1": [ 1912 ], + "I2": [ 2363 ], + "I3": [ 3447 ], + "I4": [ 3219 ], + "I5": [ 2365 ], + "O5": [ 3478 ], + "O6": [ 1961 ] + } + }, + "LUT6_2_45": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31092.5-31100.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2100 ], + "I1": [ 2366 ], + "I2": [ 1968 ], + "I3": [ 3478 ], + "I4": [ 1915 ], + "I5": [ 1936 ], + "O5": [ 1959 ], + "O6": [ 1957 ] + } + }, + "LUT6_2_46": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31104.5-31112.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1948 ], + "I1": [ 1978 ], + "I2": [ 2004 ], + "I3": [ 1990 ], + "I4": [ 2002 ], + "I5": [ 3067 ], + "O5": [ 1951 ], + "O6": [ 1965 ] + } + }, + "LUT6_2_47": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31116.5-31124.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1486 ], + "I1": [ 1538 ], + "I2": [ 1540 ], + "I3": [ 2565 ], + "I4": [ 1536 ], + "I5": [ 2068 ], + "O5": [ 3460 ], + "O6": [ 1541 ] + } + }, + "LUT6_2_48": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31128.5-31136.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1492 ], + "I1": [ 2861 ], + "I2": [ 1554 ], + "I3": [ 1494 ], + "I4": [ 1498 ], + "I5": [ 1496 ], + "O5": [ 1487 ], + "O6": [ 1489 ] + } + }, + "LUT6_2_49": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31140.5-31148.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1526 ], + "I1": [ 1472 ], + "I2": [ 1532 ], + "I3": [ 1516 ], + "I4": [ 1474 ], + "I5": [ 1988 ], + "O5": [ 3456 ], + "O6": [ 2566 ] + } + }, + "LUT6_2_4a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31152.5-31160.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1560 ], + "I1": [ 1562 ], + "I2": [ 1558 ], + "I3": [ 1550 ], + "I4": [ 1988 ], + "I5": [ 1490 ], + "O5": [ 3073 ], + "O6": [ 3074 ] + } + }, + "LUT6_2_4b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31164.5-31172.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1512 ], + "I1": [ 2865 ], + "I2": [ 1554 ], + "I3": [ 1556 ], + "I4": [ 3075 ], + "I5": [ 1988 ], + "O5": [ 1495 ], + "O6": [ 1471 ] + } + }, + "LUT6_2_4c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31176.5-31184.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1604 ], + "I1": [ 1624 ], + "I2": [ 2147 ], + "I3": [ 1622 ], + "I4": [ 1576 ], + "I5": [ 1628 ], + "O5": [ 3461 ], + "O6": [ 2574 ] + } + }, + "LUT6_2_4d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31188.5-31196.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2587 ], + "I1": [ 2583 ], + "I2": [ 3085 ], + "I3": [ 2584 ], + "I4": [ 2586 ], + "I5": [ 3083 ], + "O5": [ 1665 ], + "O6": [ 2075 ] + } + }, + "LUT6_2_4e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31200.5-31208.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1600 ], + "I1": [ 2060 ], + "I2": [ 1646 ], + "I3": [ 1546 ], + "I4": [ 2086 ], + "I5": [ 2090 ], + "O5": [ 3235 ], + "O6": [ 3479 ] + } + }, + "LUT6_2_4f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31212.5-31220.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3087 ], + "I1": [ 3086 ], + "I2": [ 3235 ], + "I3": [ 2590 ], + "I4": [ 3479 ], + "I5": [ 2588 ], + "O5": [ 1983 ], + "O6": [ 1595 ] + } + }, + "LUT6_2_5": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31224.5-31232.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3236 ], + "I1": [ 3089 ], + "I2": [ 2607 ], + "I3": [ 2114 ], + "I4": [ 1908 ], + "I5": [ 1466 ], + "O5": [ 1839 ], + "O6": [ 1873 ] + } + }, + "LUT6_2_6": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31236.5-31244.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2114 ], + "I1": [ 2092 ], + "I2": [ 1738 ], + "I3": [ 1466 ], + "I4": [ 1636 ], + "I5": [ 2467 ], + "O5": [ 3480 ], + "O6": [ 3481 ] + } + }, + "LUT6_2_7": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31248.5-31256.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2441 ], + "I2": [ 2383 ], + "I3": [ 2419 ], + "I4": [ 2433 ], + "I5": [ 2435 ], + "O5": [ 3482 ], + "O6": [ 2615 ] + } + }, + "LUT6_2_8": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31260.5-31268.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2415 ], + "I1": [ 2046 ], + "I2": [ 2463 ], + "I3": [ 2429 ], + "I4": [ 2461 ], + "I5": [ 2441 ], + "O5": [ 3483 ], + "O6": [ 3484 ] + } + }, + "LUT6_2_9": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31272.5-31280.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2409 ], + "I1": [ 2385 ], + "I2": [ 2443 ], + "I3": [ 2445 ], + "I4": [ 2395 ], + "I5": [ 2381 ], + "O5": [ 3485 ], + "O6": [ 2890 ] + } + }, + "LUT6_2_a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31284.5-31292.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2467 ], + "I1": [ 1976 ], + "I2": [ 2465 ], + "I3": [ 2277 ], + "I4": [ 3249 ], + "I5": [ 1602 ], + "O5": [ 2466 ], + "O6": [ 1533 ] + } + }, + "LUT6_2_b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31296.5-31304.22" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 624 ], + "I1": [ 2103 ], + "I2": [ 2535 ], + "I3": [ 666 ], + "I4": [ 2105 ], + "I5": [ 2533 ], + "O5": [ 2218 ], + "O6": [ 2288 ] + } + }, + "LUT6_2_c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31308.5-31316.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2638 ], + "I1": [ 198 ], + "I2": [ 2640 ], + "I3": [ 2908 ], + "I4": [ 2639 ], + "I5": [ 2911 ], + "O5": [ 169 ], + "O6": [ 3486 ] + } + }, + "LUT6_2_d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31320.5-31328.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 252 ], + "I1": [ 2645 ], + "I2": [ 210 ], + "I3": [ 2912 ], + "I4": [ 254 ], + "I5": [ 248 ], + "O5": [ 207 ], + "O6": [ 249 ] + } + }, + "LUT6_2_e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31332.5-31340.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 260 ], + "I1": [ 300 ], + "I2": [ 236 ], + "I3": [ 274 ], + "I4": [ 244 ], + "I5": [ 294 ], + "O5": [ 3260 ], + "O6": [ 2916 ] + } + }, + "LUT6_2_f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31344.5-31352.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 286 ], + "I1": [ 236 ], + "I2": [ 2655 ], + "I3": [ 2917 ], + "I4": [ 3260 ], + "I5": [ 264 ], + "O5": [ 265 ], + "O6": [ 325 ] + } + }, + "LUT6_2a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31356.5-31363.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1090 ], + "I1": [ 988 ], + "I2": [ 2475 ], + "I3": [ 1396 ], + "I4": [ 1056 ], + "I5": [ 2473 ], + "O": [ 3088 ] + } + }, + "LUT6_2a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31367.5-31374.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2066 ], + "I1": [ 2581 ], + "I2": [ 3078 ], + "I3": [ 2580 ], + "I4": [ 1608 ], + "I5": [ 3231 ], + "O": [ 1657 ] + } + }, + "LUT6_2a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31378.5-31385.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2581 ], + "I1": [ 2580 ], + "I2": [ 1608 ], + "I3": [ 1656 ], + "I4": [ 3078 ], + "I5": [ 3466 ], + "O": [ 1603 ] + } + }, + "LUT6_2a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31389.5-31396.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2581 ], + "I1": [ 2580 ], + "I2": [ 3078 ], + "I3": [ 1586 ], + "I4": [ 1608 ], + "I5": [ 1656 ], + "O": [ 1627 ] + } + }, + "LUT6_2a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31400.5-31407.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1972 ], + "I1": [ 1634 ], + "I2": [ 1598 ], + "I3": [ 1606 ], + "I4": [ 1652 ], + "I5": [ 3463 ], + "O": [ 1615 ] + } + }, + "LUT6_2a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31411.5-31418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1606 ], + "I1": [ 1650 ], + "I2": [ 1596 ], + "I3": [ 1972 ], + "I4": [ 1598 ], + "I5": [ 1654 ], + "O": [ 3464 ] + } + }, + "LUT6_2a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31422.5-31429.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1606 ], + "I1": [ 1596 ], + "I2": [ 1610 ], + "I3": [ 1652 ], + "I4": [ 1598 ], + "I5": [ 1634 ], + "O": [ 3078 ] + } + }, + "LUT6_2a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31433.5-31440.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1610 ], + "I1": [ 1972 ], + "I2": [ 1596 ], + "I3": [ 1606 ], + "I4": [ 1650 ], + "I5": [ 1598 ], + "O": [ 3465 ] + } + }, + "LUT6_2a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31444.5-31451.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1650 ], + "I1": [ 1610 ], + "I2": [ 1972 ], + "I3": [ 1606 ], + "I4": [ 1596 ], + "I5": [ 1652 ], + "O": [ 1621 ] + } + }, + "LUT6_2a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31455.5-31462.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1668 ], + "I1": [ 1664 ], + "I2": [ 1666 ], + "I3": [ 1638 ], + "I4": [ 1662 ], + "I5": [ 1970 ], + "O": [ 3233 ] + } + }, + "LUT6_2a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31466.5-31473.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1946 ], + "I1": [ 2074 ], + "I2": [ 2062 ], + "I3": [ 1590 ], + "I4": [ 2078 ], + "I5": [ 2068 ], + "O": [ 3487 ] + } + }, + "LUT6_2aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31477.5-31484.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3233 ], + "I1": [ 1640 ], + "I2": [ 2147 ], + "I3": [ 1970 ], + "I4": [ 2084 ], + "I5": [ 2873 ], + "O": [ 2063 ] + } + }, + "LUT6_2ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31488.5-31495.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2062 ], + "I1": [ 3487 ], + "I2": [ 1946 ], + "I3": [ 1640 ], + "I4": [ 1648 ], + "I5": [ 3233 ], + "O": [ 1667 ] + } + }, + "LUT6_2ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31499.5-31506.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 1648 ], + "I2": [ 1970 ], + "I3": [ 1946 ], + "I4": [ 2084 ], + "I5": [ 3487 ], + "O": [ 1591 ] + } + }, + "LUT6_2ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31510.5-31517.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2084 ], + "I1": [ 1648 ], + "I2": [ 2066 ], + "I3": [ 1946 ], + "I4": [ 2062 ], + "I5": [ 1640 ], + "O": [ 2071 ] + } + }, + "LUT6_2ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31521.5-31528.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1946 ], + "I1": [ 2084 ], + "I2": [ 1648 ], + "I3": [ 2147 ], + "I4": [ 1970 ], + "I5": [ 2066 ], + "O": [ 3488 ] + } + }, + "LUT6_2af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31532.5-31539.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2874 ], + "I1": [ 2585 ], + "I2": [ 1570 ], + "I3": [ 2080 ], + "I4": [ 2074 ], + "I5": [ 3082 ], + "O": [ 2065 ] + } + }, + "LUT6_2b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31543.5-31550.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2475 ], + "I1": [ 3088 ], + "I2": [ 2857 ], + "I3": [ 2479 ], + "I4": [ 1396 ], + "I5": [ 1840 ], + "O": [ 919 ] + } + }, + "LUT6_2b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31554.5-31561.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2080 ], + "I1": [ 1570 ], + "I2": [ 2074 ], + "I3": [ 2582 ], + "I4": [ 1588 ], + "I5": [ 2088 ], + "O": [ 3085 ] + } + }, + "LUT6_2b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31565.5-31572.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2584 ], + "I1": [ 3084 ], + "I2": [ 2874 ], + "I3": [ 3085 ], + "I4": [ 2586 ], + "I5": [ 2583 ], + "O": [ 1643 ] + } + }, + "LUT6_2b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31576.5-31583.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2586 ], + "I1": [ 2587 ], + "I2": [ 3085 ], + "I3": [ 2874 ], + "I4": [ 2584 ], + "I5": [ 3084 ], + "O": [ 2089 ] + } + }, + "LUT6_2b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31587.5-31594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2090 ], + "I1": [ 1646 ], + "I2": [ 2076 ], + "I3": [ 2060 ], + "I4": [ 2064 ], + "I5": [ 3488 ], + "O": [ 1647 ] + } + }, + "LUT6_2b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31598.5-31605.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1972 ], + "I1": [ 1642 ], + "I2": [ 2072 ], + "I3": [ 2086 ], + "I4": [ 1646 ], + "I5": [ 2064 ], + "O": [ 3489 ] + } + }, + "LUT6_2b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31609.5-31616.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1600 ], + "I1": [ 1644 ], + "I2": [ 2060 ], + "I3": [ 2072 ], + "I4": [ 1972 ], + "I5": [ 1646 ], + "O": [ 2589 ] + } + }, + "LUT6_2b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31620.5-31627.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1972 ], + "I1": [ 1600 ], + "I2": [ 2072 ], + "I3": [ 1546 ], + "I4": [ 2076 ], + "I5": [ 1644 ], + "O": [ 3490 ] + } + }, + "LUT6_2b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31631.5-31638.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2072 ], + "I1": [ 2060 ], + "I2": [ 1642 ], + "I3": [ 2076 ], + "I4": [ 1600 ], + "I5": [ 1972 ], + "O": [ 3491 ] + } + }, + "LUT6_2b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31642.5-31649.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1546 ], + "I1": [ 1600 ], + "I2": [ 2072 ], + "I3": [ 2076 ], + "I4": [ 1642 ], + "I5": [ 2060 ], + "O": [ 2590 ] + } + }, + "LUT6_2b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31653.5-31660.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1642 ], + "I1": [ 1600 ], + "I2": [ 2060 ], + "I3": [ 1546 ], + "I4": [ 2072 ], + "I5": [ 2076 ], + "O": [ 3086 ] + } + }, + "LUT6_2ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31664.5-31671.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3490 ], + "I1": [ 3235 ], + "I2": [ 3086 ], + "I3": [ 2588 ], + "I4": [ 2589 ], + "I5": [ 3234 ], + "O": [ 2079 ] + } + }, + "LUT6_2bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31675.5-31682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2590 ], + "I1": [ 3086 ], + "I2": [ 3491 ], + "I3": [ 3235 ], + "I4": [ 2588 ], + "I5": [ 3489 ], + "O": [ 2077 ] + } + }, + "LUT6_2bc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31686.5-31693.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3086 ], + "I1": [ 3491 ], + "I2": [ 2591 ], + "I3": [ 2590 ], + "I4": [ 2588 ], + "I5": [ 3490 ], + "O": [ 1543 ] + } + }, + "LUT6_2bd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31697.5-31704.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2589 ], + "I1": [ 3086 ], + "I2": [ 2591 ], + "I3": [ 3479 ], + "I4": [ 3087 ], + "I5": [ 3491 ], + "O": [ 2087 ] + } + }, + "LUT6_2be": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31708.5-31715.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 2366 ], + "I2": [ 2845 ], + "I3": [ 2851 ], + "I4": [ 2224 ], + "I5": [ 2365 ], + "O": [ 1939 ] + } + }, + "LUT6_2bf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31719.5-31726.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2147 ], + "I1": [ 1928 ], + "I2": [ 2875 ], + "I3": [ 2366 ], + "I4": [ 2851 ], + "I5": [ 2845 ], + "O": [ 1911 ] + } + }, + "LUT6_2c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31730.5-31737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2477 ], + "I1": [ 2455 ], + "I2": [ 2475 ], + "I3": [ 1396 ], + "I4": [ 1056 ], + "I5": [ 2857 ], + "O": [ 1737 ] + } + }, + "LUT6_2d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31741.5-31748.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2455 ], + "I1": [ 2477 ], + "I2": [ 2475 ], + "I3": [ 1942 ], + "I4": [ 1090 ], + "I5": [ 1396 ], + "O": [ 2604 ] + } + }, + "LUT6_2e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31752.5-31759.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2475 ], + "I1": [ 1396 ], + "I2": [ 2477 ], + "I3": [ 2455 ], + "I4": [ 1942 ], + "I5": [ 1090 ], + "O": [ 3458 ] + } + }, + "LUT6_2f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31763.5-31770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2603 ], + "I1": [ 2451 ], + "I2": [ 2453 ], + "I3": [ 2877 ], + "I4": [ 2604 ], + "I5": [ 1670 ], + "O": [ 3492 ] + } + }, + "LUT6_3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31774.5-31781.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 302 ], + "I1": [ 2139 ], + "I2": [ 1930 ], + "I3": [ 2135 ], + "I4": [ 165 ], + "I5": [ 2151 ], + "O": [ 2899 ] + } + }, + "LUT6_30": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31785.5-31792.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2451 ], + "I1": [ 2453 ], + "I2": [ 2603 ], + "I3": [ 1670 ], + "I4": [ 2877 ], + "I5": [ 2604 ], + "O": [ 1361 ] + } + }, + "LUT6_31": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31796.5-31803.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3493 ], + "I1": [ 1466 ], + "I2": [ 2092 ], + "I3": [ 2471 ], + "I4": [ 1636 ], + "I5": [ 2058 ], + "O": [ 1669 ] + } + }, + "LUT6_32": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31807.5-31814.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2469 ], + "I1": [ 2114 ], + "I2": [ 2471 ], + "I3": [ 2092 ], + "I4": [ 1738 ], + "I5": [ 3106 ], + "O": [ 3493 ] + } + }, + "LUT6_33": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31818.5-31825.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3494 ], + "I1": [ 1534 ], + "I2": [ 1636 ], + "I3": [ 1806 ], + "I4": [ 2114 ], + "I5": [ 1738 ], + "O": [ 1601 ] + } + }, + "LUT6_34": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31829.5-31836.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2058 ], + "I1": [ 2469 ], + "I2": [ 2114 ], + "I3": [ 3106 ], + "I4": [ 2092 ], + "I5": [ 1806 ], + "O": [ 3494 ] + } + }, + "LUT6_35": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31840.5-31847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1636 ], + "I1": [ 1738 ], + "I2": [ 2092 ], + "I3": [ 1466 ], + "I4": [ 1908 ], + "I5": [ 2058 ], + "O": [ 3237 ] + } + }, + "LUT6_36": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31851.5-31858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3480 ], + "I1": [ 3481 ], + "I2": [ 2092 ], + "I3": [ 1534 ], + "I4": [ 1466 ], + "I5": [ 2471 ], + "O": [ 1941 ] + } + }, + "LUT6_37": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31862.5-31869.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3495 ], + "I1": [ 3240 ], + "I2": [ 2878 ], + "I3": [ 3496 ], + "I4": [ 3497 ], + "I5": [ 2092 ], + "O": [ 2450 ] + } + }, + "LUT6_38": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31873.5-31880.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1534 ], + "I1": [ 2092 ], + "I2": [ 2471 ], + "I3": [ 2058 ], + "I4": [ 1738 ], + "I5": [ 1908 ], + "O": [ 3090 ] + } + }, + "LUT6_39": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31884.5-31891.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 1636 ], + "I2": [ 2467 ], + "I3": [ 2058 ], + "I4": [ 1534 ], + "I5": [ 1738 ], + "O": [ 3496 ] + } + }, + "LUT6_3a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31895.5-31902.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3106 ], + "I1": [ 2467 ], + "I2": [ 2092 ], + "I3": [ 1466 ], + "I4": [ 2471 ], + "I5": [ 1534 ], + "O": [ 3497 ] + } + }, + "LUT6_3b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31906.5-31913.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2467 ], + "I1": [ 2471 ], + "I2": [ 2092 ], + "I3": [ 1466 ], + "I4": [ 1806 ], + "I5": [ 2058 ], + "O": [ 3495 ] + } + }, + "LUT6_3c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31917.5-31924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1568 ], + "I1": [ 2046 ], + "I2": [ 2429 ], + "I3": [ 2437 ], + "I4": [ 2463 ], + "I5": [ 2425 ], + "O": [ 3498 ] + } + }, + "LUT6_3d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31928.5-31935.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2411 ], + "I1": [ 2046 ], + "I2": [ 2403 ], + "I3": [ 2415 ], + "I4": [ 2311 ], + "I5": [ 2429 ], + "O": [ 3241 ] + } + }, + "LUT6_3e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31939.5-31946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2387 ], + "I1": [ 2423 ], + "I2": [ 2415 ], + "I3": [ 2411 ], + "I4": [ 3241 ], + "I5": [ 3483 ], + "O": [ 2384 ] + } + }, + "LUT6_3f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31950.5-31957.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2415 ], + "I1": [ 2311 ], + "I2": [ 2463 ], + "I3": [ 2387 ], + "I4": [ 2419 ], + "I5": [ 2888 ], + "O": [ 2460 ] + } + }, + "LUT6_4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31961.5-31968.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2842 ], + "I1": [ 2137 ], + "I2": [ 165 ], + "I3": [ 2197 ], + "I4": [ 2151 ], + "I5": [ 2319 ], + "O": [ 2924 ] + } + }, + "LUT6_40": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31972.5-31979.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3241 ], + "I1": [ 2046 ], + "I2": [ 2403 ], + "I3": [ 2387 ], + "I4": [ 2463 ], + "I5": [ 3484 ], + "O": [ 2380 ] + } + }, + "LUT6_41": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31983.5-31990.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2415 ], + "I1": [ 2403 ], + "I2": [ 2411 ], + "I3": [ 2046 ], + "I4": [ 3241 ], + "I5": [ 2387 ], + "O": [ 2430 ] + } + }, + "LUT6_42": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:31994.5-32001.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2387 ], + "I1": [ 2046 ], + "I2": [ 2403 ], + "I3": [ 3241 ], + "I4": [ 2415 ], + "I5": [ 2411 ], + "O": [ 2140 ] + } + }, + "LUT6_43": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32005.5-32012.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2611 ], + "I2": [ 2613 ], + "I3": [ 2885 ], + "I4": [ 2887 ], + "I5": [ 2884 ], + "O": [ 2442 ] + } + }, + "LUT6_44": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32016.5-32023.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2887 ], + "I1": [ 2383 ], + "I2": [ 2613 ], + "I3": [ 2612 ], + "I4": [ 2092 ], + "I5": [ 2050 ], + "O": [ 2344 ] + } + }, + "LUT6_45": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32027.5-32034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3246 ], + "I1": [ 2417 ], + "I2": [ 3482 ], + "I3": [ 3092 ], + "I4": [ 2883 ], + "I5": [ 3094 ], + "O": [ 2390 ] + } + }, + "LUT6_46": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32038.5-32045.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3246 ], + "I1": [ 2417 ], + "I2": [ 2614 ], + "I3": [ 2437 ], + "I4": [ 3092 ], + "I5": [ 3498 ], + "O": [ 2053 ] + } + }, + "LUT6_47": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32049.5-32056.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2393 ], + "I1": [ 2417 ], + "I2": [ 3482 ], + "I3": [ 2614 ], + "I4": [ 2619 ], + "I5": [ 3246 ], + "O": [ 2404 ] + } + }, + "LUT6_48": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32060.5-32067.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3092 ], + "I1": [ 2619 ], + "I2": [ 2883 ], + "I3": [ 2614 ], + "I4": [ 2393 ], + "I5": [ 3482 ], + "O": [ 2420 ] + } + }, + "LUT6_49": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32071.5-32078.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2437 ], + "I1": [ 2614 ], + "I2": [ 2619 ], + "I3": [ 2417 ], + "I4": [ 2393 ], + "I5": [ 3092 ], + "O": [ 2398 ] + } + }, + "LUT6_4a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32082.5-32089.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2615 ], + "I1": [ 2437 ], + "I2": [ 2393 ], + "I3": [ 2619 ], + "I4": [ 2417 ], + "I5": [ 2883 ], + "O": [ 2396 ] + } + }, + "LUT6_4b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32093.5-32100.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2615 ], + "I1": [ 2614 ], + "I2": [ 2393 ], + "I3": [ 2417 ], + "I4": [ 2619 ], + "I5": [ 2437 ], + "O": [ 2414 ] + } + }, + "LUT6_4c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32104.5-32111.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2895 ], + "I1": [ 2896 ], + "I2": [ 3243 ], + "I3": [ 3245 ], + "I4": [ 3247 ], + "I5": [ 3499 ], + "O": [ 2386 ] + } + }, + "LUT6_4d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32115.5-32122.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2893 ], + "I1": [ 2892 ], + "I2": [ 2618 ], + "I3": [ 2409 ], + "I4": [ 2465 ], + "I5": [ 2054 ], + "O": [ 2440 ] + } + }, + "LUT6_4e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32126.5-32133.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2617 ], + "I1": [ 3500 ], + "I2": [ 2616 ], + "I3": [ 2894 ], + "I4": [ 2891 ], + "I5": [ 3242 ], + "O": [ 2402 ] + } + }, + "LUT6_4f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32137.5-32144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2391 ], + "I1": [ 2385 ], + "I2": [ 2395 ], + "I3": [ 2389 ], + "I4": [ 2397 ], + "I5": [ 2409 ], + "O": [ 2889 ] + } + }, + "LUT6_5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32148.5-32155.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2010 ], + "I1": [ 918 ], + "I2": [ 2195 ], + "I3": [ 2137 ], + "I4": [ 2842 ], + "I5": [ 2313 ], + "O": [ 2900 ] + } + }, + "LUT6_50": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32159.5-32166.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2054 ], + "I1": [ 2445 ], + "I2": [ 2889 ], + "I3": [ 3485 ], + "I4": [ 2890 ], + "I5": [ 2397 ], + "O": [ 2416 ] + } + }, + "LUT6_51": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32170.5-32177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2889 ], + "I1": [ 2445 ], + "I2": [ 2054 ], + "I3": [ 2381 ], + "I4": [ 2890 ], + "I5": [ 3485 ], + "O": [ 2392 ] + } + }, + "LUT6_52": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32181.5-32188.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2385 ], + "I1": [ 2445 ], + "I2": [ 2391 ], + "I3": [ 2399 ], + "I4": [ 2389 ], + "I5": [ 2465 ], + "O": [ 3500 ] + } + }, + "LUT6_53": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32192.5-32199.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2052 ], + "I1": [ 2431 ], + "I2": [ 2439 ], + "I3": [ 2389 ], + "I4": [ 2056 ], + "I5": [ 2407 ], + "O": [ 3499 ] + } + }, + "LUT6_54": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32203.5-32210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2056 ], + "I2": [ 2445 ], + "I3": [ 2052 ], + "I4": [ 2401 ], + "I5": [ 2439 ], + "O": [ 2436 ] + } + }, + "LUT6_55": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32214.5-32221.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2445 ], + "I1": [ 2427 ], + "I2": [ 2401 ], + "I3": [ 2405 ], + "I4": [ 2431 ], + "I5": [ 2054 ], + "O": [ 2614 ] + } + }, + "LUT6_56": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32225.5-32232.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1568 ], + "I1": [ 2463 ], + "I2": [ 2897 ], + "I3": [ 2175 ], + "I4": [ 2465 ], + "I5": [ 3095 ], + "O": [ 2310 ] + } + }, + "LUT6_57": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32236.5-32243.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2012 ], + "I1": [ 2897 ], + "I2": [ 2209 ], + "I3": [ 1568 ], + "I4": [ 2175 ], + "I5": [ 2092 ], + "O": [ 2462 ] + } + }, + "LUT6_58": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32247.5-32254.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2175 ], + "I1": [ 2209 ], + "I2": [ 2345 ], + "I3": [ 2463 ], + "I4": [ 2243 ], + "I5": [ 1568 ], + "O": [ 2276 ] + } + }, + "LUT6_59": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32258.5-32265.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3100 ], + "I1": [ 2620 ], + "I2": [ 3250 ], + "I3": [ 3501 ], + "I4": [ 3099 ], + "I5": [ 3098 ], + "O": [ 2208 ] + } + }, + "LUT6_5a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32269.5-32276.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1568 ], + "I1": [ 2277 ], + "I2": [ 2465 ], + "I3": [ 2463 ], + "I4": [ 1602 ], + "I5": [ 2114 ], + "O": [ 3097 ] + } + }, + "LUT6_5b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32280.5-32287.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3097 ], + "I1": [ 1976 ], + "I2": [ 2114 ], + "I3": [ 2898 ], + "I4": [ 2467 ], + "I5": [ 2463 ], + "O": [ 2242 ] + } + }, + "LUT6_5c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32291.5-32298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1568 ], + "I1": [ 1602 ], + "I2": [ 2465 ], + "I3": [ 2114 ], + "I4": [ 2467 ], + "I5": [ 1772 ], + "O": [ 3501 ] + } + }, + "LUT6_5d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32302.5-32309.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2898 ], + "I1": [ 3097 ], + "I2": [ 2114 ], + "I3": [ 1568 ], + "I4": [ 1500 ], + "I5": [ 3248 ], + "O": [ 2174 ] + } + }, + "LUT6_5e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32313.5-32320.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2457 ], + "I1": [ 1430 ], + "I2": [ 920 ], + "I3": [ 510 ], + "I4": [ 374 ], + "I5": [ 2288 ], + "O": [ 3502 ] + } + }, + "LUT6_5f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32324.5-32331.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1328 ], + "I1": [ 2497 ], + "I2": [ 2507 ], + "I3": [ 1294 ], + "I4": [ 920 ], + "I5": [ 476 ], + "O": [ 2626 ] + } + }, + "LUT6_6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32335.5-32342.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2139 ], + "I1": [ 2135 ], + "I2": [ 2010 ], + "I3": [ 2197 ], + "I4": [ 2193 ], + "I5": [ 918 ], + "O": [ 3128 ] + } + }, + "LUT6_60": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32346.5-32353.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 666 ], + "I1": [ 1328 ], + "I2": [ 2503 ], + "I3": [ 374 ], + "I4": [ 2505 ], + "I5": [ 2459 ], + "O": [ 3251 ] + } + }, + "LUT6_61": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32357.5-32364.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2505 ], + "I1": [ 1328 ], + "I2": [ 666 ], + "I3": [ 374 ], + "I4": [ 2503 ], + "I5": [ 3105 ], + "O": [ 2456 ] + } + }, + "LUT6_62": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32368.5-32375.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2509 ], + "I1": [ 2499 ], + "I2": [ 1362 ], + "I3": [ 884 ], + "I4": [ 2513 ], + "I5": [ 2495 ], + "O": [ 2625 ] + } + }, + "LUT6_63": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32379.5-32386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 954 ], + "I1": [ 2457 ], + "I2": [ 2497 ], + "I3": [ 920 ], + "I4": [ 2513 ], + "I5": [ 2451 ], + "O": [ 3503 ] + } + }, + "LUT6_64": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32390.5-32397.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2457 ], + "I1": [ 2497 ], + "I2": [ 2509 ], + "I3": [ 2499 ], + "I4": [ 884 ], + "I5": [ 954 ], + "O": [ 2902 ] + } + }, + "LUT6_65": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32401.5-32408.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2625 ], + "I1": [ 3101 ], + "I2": [ 884 ], + "I3": [ 2457 ], + "I4": [ 3503 ], + "I5": [ 2499 ], + "O": [ 2508 ] + } + }, + "LUT6_66": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32412.5-32419.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3101 ], + "I1": [ 2513 ], + "I2": [ 3251 ], + "I3": [ 2497 ], + "I4": [ 2457 ], + "I5": [ 3503 ], + "O": [ 2512 ] + } + }, + "LUT6_67": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32423.5-32430.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2509 ], + "I1": [ 3251 ], + "I2": [ 2511 ], + "I3": [ 2625 ], + "I4": [ 2902 ], + "I5": [ 920 ], + "O": [ 2500 ] + } + }, + "LUT6_68": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32434.5-32441.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3103 ], + "I1": [ 884 ], + "I2": [ 2509 ], + "I3": [ 2902 ], + "I4": [ 2513 ], + "I5": [ 3101 ], + "O": [ 1191 ] + } + }, + "LUT6_69": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32445.5-32452.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2625 ], + "I1": [ 3251 ], + "I2": [ 2511 ], + "I3": [ 2509 ], + "I4": [ 2513 ], + "I5": [ 3103 ], + "O": [ 987 ] + } + }, + "LUT6_6a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32456.5-32463.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3251 ], + "I1": [ 2511 ], + "I2": [ 2509 ], + "I3": [ 2625 ], + "I4": [ 2902 ], + "I5": [ 884 ], + "O": [ 2458 ] + } + }, + "LUT6_6b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32467.5-32474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2902 ], + "I1": [ 2497 ], + "I2": [ 2457 ], + "I3": [ 3251 ], + "I4": [ 2511 ], + "I5": [ 2509 ], + "O": [ 2502 ] + } + }, + "LUT6_6c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32478.5-32485.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2902 ], + "I1": [ 2625 ], + "I2": [ 2497 ], + "I3": [ 2457 ], + "I4": [ 2513 ], + "I5": [ 2511 ], + "O": [ 407 ] + } + }, + "LUT6_6d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32489.5-32496.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1158 ], + "I1": [ 2501 ], + "I2": [ 2626 ], + "I3": [ 2507 ], + "I4": [ 2901 ], + "I5": [ 3492 ], + "O": [ 2506 ] + } + }, + "LUT6_6e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32500.5-32507.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2507 ], + "I1": [ 1294 ], + "I2": [ 2501 ], + "I3": [ 3102 ], + "I4": [ 2901 ], + "I5": [ 3502 ], + "O": [ 1089 ] + } + }, + "LUT6_6f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32511.5-32518.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3252 ], + "I1": [ 426 ], + "I2": [ 2267 ], + "I3": [ 2181 ], + "I4": [ 2265 ], + "I5": [ 2235 ], + "O": [ 3504 ] + } + }, + "LUT6_7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32522.5-32529.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2886 ], + "I1": [ 2624 ], + "I2": [ 2913 ], + "I3": [ 2546 ], + "I4": [ 2899 ], + "I5": [ 2914 ], + "O": [ 2021 ] + } + }, + "LUT6_70": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32533.5-32540.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3108 ], + "I1": [ 2903 ], + "I2": [ 2904 ], + "I3": [ 3505 ], + "I4": [ 3109 ], + "I5": [ 2628 ], + "O": [ 2328 ] + } + }, + "LUT6_71": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32544.5-32551.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2533 ], + "I1": [ 2181 ], + "I2": [ 2235 ], + "I3": [ 426 ], + "I4": [ 612 ], + "I5": [ 2267 ], + "O": [ 3505 ] + } + }, + "LUT6_72": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32555.5-32562.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2515 ], + "I1": [ 680 ], + "I2": [ 2533 ], + "I3": [ 2235 ], + "I4": [ 612 ], + "I5": [ 2265 ], + "O": [ 3253 ] + } + }, + "LUT6_73": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32566.5-32573.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2233 ], + "I1": [ 2235 ], + "I2": [ 612 ], + "I3": [ 426 ], + "I4": [ 2515 ], + "I5": [ 2235 ], + "O": [ 2630 ] + } + }, + "LUT6_74": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32577.5-32584.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2413 ], + "I1": [ 680 ], + "I2": [ 2181 ], + "I3": [ 2515 ], + "I4": [ 436 ], + "I5": [ 2533 ], + "O": [ 2629 ] + } + }, + "LUT6_75": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32588.5-32595.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 436 ], + "I1": [ 680 ], + "I2": [ 2533 ], + "I3": [ 2181 ], + "I4": [ 2515 ], + "I5": [ 2413 ], + "O": [ 3254 ] + } + }, + "LUT6_76": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32599.5-32606.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2331 ], + "I1": [ 624 ], + "I2": [ 336 ], + "I3": [ 2531 ], + "I4": [ 430 ], + "I5": [ 2535 ], + "O": [ 425 ] + } + }, + "LUT6_77": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32610.5-32617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 267 ], + "I1": [ 335 ], + "I2": [ 2218 ], + "I3": [ 429 ], + "I4": [ 2535 ], + "I5": [ 624 ], + "O": [ 2532 ] + } + }, + "LUT6_78": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32621.5-32628.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2540 ], + "I1": [ 2910 ], + "I2": [ 2542 ], + "I3": [ 425 ], + "I4": [ 2532 ], + "I5": [ 3255 ], + "O": [ 2536 ] + } + }, + "LUT6_79": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32632.5-32639.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 624 ], + "I2": [ 2542 ], + "I3": [ 427 ], + "I4": [ 611 ], + "I5": [ 2910 ], + "O": [ 2538 ] + } + }, + "LUT6_7a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32643.5-32650.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2540 ], + "I1": [ 2105 ], + "I2": [ 2542 ], + "I3": [ 2103 ], + "I4": [ 680 ], + "I5": [ 2153 ], + "O": [ 335 ] + } + }, + "LUT6_7b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32654.5-32661.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 431 ], + "I2": [ 2910 ], + "I3": [ 624 ], + "I4": [ 3504 ], + "I5": [ 335 ], + "O": [ 2541 ] + } + }, + "LUT6_7c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32665.5-32672.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3119 ], + "I1": [ 431 ], + "I2": [ 3268 ], + "I3": [ 2910 ], + "I4": [ 3472 ], + "I5": [ 680 ], + "O": [ 2543 ] + } + }, + "LUT6_7d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32676.5-32683.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2517 ], + "I1": [ 624 ], + "I2": [ 192 ], + "I3": [ 194 ], + "I4": [ 196 ], + "I5": [ 186 ], + "O": [ 189 ] + } + }, + "LUT6_7e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32687.5-32694.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 214 ], + "I1": [ 218 ], + "I2": [ 216 ], + "I3": [ 182 ], + "I4": [ 178 ], + "I5": [ 176 ], + "O": [ 173 ] + } + }, + "LUT6_7f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32698.5-32705.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 182 ], + "I2": [ 335 ], + "I3": [ 186 ], + "I4": [ 2523 ], + "I5": [ 188 ], + "O": [ 2643 ] + } + }, + "LUT6_8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32709.5-32716.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2900 ], + "I1": [ 2547 ], + "I2": [ 2913 ], + "I3": [ 2914 ], + "I4": [ 2608 ], + "I5": [ 2886 ], + "O": [ 2023 ] + } + }, + "LUT6_80": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32720.5-32727.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2519 ], + "I1": [ 2521 ], + "I2": [ 184 ], + "I3": [ 2517 ], + "I4": [ 198 ], + "I5": [ 624 ], + "O": [ 3258 ] + } + }, + "LUT6_81": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32731.5-32738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2519 ], + "I1": [ 180 ], + "I2": [ 2521 ], + "I3": [ 2540 ], + "I4": [ 2153 ], + "I5": [ 2103 ], + "O": [ 2640 ] + } + }, + "LUT6_82": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32742.5-32749.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 680 ], + "I1": [ 182 ], + "I2": [ 2103 ], + "I3": [ 2521 ], + "I4": [ 2907 ], + "I5": [ 180 ], + "O": [ 175 ] + } + }, + "LUT6_83": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32753.5-32760.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 2153 ], + "I2": [ 2540 ], + "I3": [ 198 ], + "I4": [ 224 ], + "I5": [ 174 ], + "O": [ 2911 ] + } + }, + "LUT6_84": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32764.5-32771.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2540 ], + "I1": [ 2519 ], + "I2": [ 2153 ], + "I3": [ 2103 ], + "I4": [ 184 ], + "I5": [ 224 ], + "O": [ 2909 ] + } + }, + "LUT6_85": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32775.5-32782.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 184 ], + "I1": [ 680 ], + "I2": [ 190 ], + "I3": [ 2521 ], + "I4": [ 2519 ], + "I5": [ 2103 ], + "O": [ 3506 ] + } + }, + "LUT6_86": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32786.5-32793.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3506 ], + "I1": [ 198 ], + "I2": [ 2633 ], + "I3": [ 2638 ], + "I4": [ 3258 ], + "I5": [ 2637 ], + "O": [ 191 ] + } + }, + "LUT6_87": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32797.5-32804.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2632 ], + "I1": [ 3258 ], + "I2": [ 2640 ], + "I3": [ 2638 ], + "I4": [ 2909 ], + "I5": [ 2636 ], + "O": [ 2526 ] + } + }, + "LUT6_88": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32808.5-32815.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2909 ], + "I1": [ 2640 ], + "I2": [ 2633 ], + "I3": [ 3506 ], + "I4": [ 2636 ], + "I5": [ 2635 ], + "O": [ 179 ] + } + }, + "LUT6_89": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32819.5-32826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2632 ], + "I1": [ 2909 ], + "I2": [ 2638 ], + "I3": [ 2911 ], + "I4": [ 3257 ], + "I5": [ 3258 ], + "O": [ 2650 ] + } + }, + "LUT6_8a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32830.5-32837.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3111 ], + "I1": [ 3256 ], + "I2": [ 2653 ], + "I3": [ 2643 ], + "I4": [ 2644 ], + "I5": [ 2634 ], + "O": [ 213 ] + } + }, + "LUT6_8b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32841.5-32848.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2646 ], + "I1": [ 2643 ], + "I2": [ 2642 ], + "I3": [ 3111 ], + "I4": [ 3256 ], + "I5": [ 2653 ], + "O": [ 221 ] + } + }, + "LUT6_8c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32852.5-32859.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 350 ], + "I1": [ 208 ], + "I2": [ 2527 ], + "I3": [ 232 ], + "I4": [ 202 ], + "I5": [ 356 ], + "O": [ 2649 ] + } + }, + "LUT6_8d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32863.5-32870.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 680 ], + "I1": [ 2527 ], + "I2": [ 232 ], + "I3": [ 356 ], + "I4": [ 208 ], + "I5": [ 202 ], + "O": [ 3507 ] + } + }, + "LUT6_8e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32874.5-32881.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2647 ], + "I1": [ 2648 ], + "I2": [ 2652 ], + "I3": [ 2544 ], + "I4": [ 206 ], + "I5": [ 3486 ], + "O": [ 181 ] + } + }, + "LUT6_8f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32885.5-32892.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2647 ], + "I1": [ 3507 ], + "I2": [ 2651 ], + "I3": [ 204 ], + "I4": [ 2652 ], + "I5": [ 3112 ], + "O": [ 351 ] + } + }, + "LUT6_9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32896.5-32903.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2913 ], + "I1": [ 2914 ], + "I2": [ 2608 ], + "I3": [ 2547 ], + "I4": [ 2840 ], + "I5": [ 3093 ], + "O": [ 2047 ] + } + }, + "LUT6_90": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32907.5-32914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2648 ], + "I1": [ 2650 ], + "I2": [ 680 ], + "I3": [ 204 ], + "I4": [ 2651 ], + "I5": [ 3507 ], + "O": [ 349 ] + } + }, + "LUT6_91": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32918.5-32925.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 680 ], + "I1": [ 2544 ], + "I2": [ 2651 ], + "I3": [ 2647 ], + "I4": [ 2652 ], + "I5": [ 206 ], + "O": [ 357 ] + } + }, + "LUT6_92": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32929.5-32936.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2652 ], + "I1": [ 2647 ], + "I2": [ 2650 ], + "I3": [ 2544 ], + "I4": [ 2651 ], + "I5": [ 680 ], + "O": [ 353 ] + } + }, + "LUT6_93": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32940.5-32947.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2652 ], + "I1": [ 2650 ], + "I2": [ 2544 ], + "I3": [ 2651 ], + "I4": [ 204 ], + "I5": [ 2647 ], + "O": [ 185 ] + } + }, + "LUT6_94": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32951.5-32958.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2544 ], + "I1": [ 204 ], + "I2": [ 2649 ], + "I3": [ 2652 ], + "I4": [ 2651 ], + "I5": [ 2650 ], + "O": [ 355 ] + } + }, + "LUT6_95": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32962.5-32969.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 286 ], + "I1": [ 300 ], + "I2": [ 264 ], + "I3": [ 282 ], + "I4": [ 288 ], + "I5": [ 260 ], + "O": [ 2917 ] + } + }, + "LUT6_96": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32973.5-32980.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 264 ], + "I1": [ 3260 ], + "I2": [ 286 ], + "I3": [ 288 ], + "I4": [ 274 ], + "I5": [ 2918 ], + "O": [ 329 ] + } + }, + "LUT6_97": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32984.5-32991.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2915 ], + "I1": [ 282 ], + "I2": [ 3260 ], + "I3": [ 2917 ], + "I4": [ 264 ], + "I5": [ 3259 ], + "O": [ 269 ] + } + }, + "LUT6_98": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:32995.5-33002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2917 ], + "I1": [ 2654 ], + "I2": [ 236 ], + "I3": [ 2655 ], + "I4": [ 2916 ], + "I5": [ 3113 ], + "O": [ 291 ] + } + }, + "LUT6_99": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33006.5-33013.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 274 ], + "I1": [ 2917 ], + "I2": [ 2916 ], + "I3": [ 236 ], + "I4": [ 2655 ], + "I5": [ 282 ], + "O": [ 239 ] + } + }, + "LUT6_9a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33017.5-33024.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 246 ], + "I1": [ 270 ], + "I2": [ 266 ], + "I3": [ 276 ], + "I4": [ 272 ], + "I5": [ 318 ], + "O": [ 3508 ] + } + }, + "LUT6_9b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33028.5-33035.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 332 ], + "I1": [ 280 ], + "I2": [ 330 ], + "I3": [ 278 ], + "I4": [ 238 ], + "I5": [ 272 ], + "O": [ 2656 ] + } + }, + "LUT6_9c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33039.5-33046.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3508 ], + "I1": [ 2657 ], + "I2": [ 270 ], + "I3": [ 2656 ], + "I4": [ 298 ], + "I5": [ 316 ], + "O": [ 235 ] + } + }, + "LUT6_9d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33050.5-33057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2656 ], + "I1": [ 316 ], + "I2": [ 2657 ], + "I3": [ 298 ], + "I4": [ 270 ], + "I5": [ 3508 ], + "O": [ 323 ] + } + }, + "LUT6_9e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33061.5-33068.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 322 ], + "I1": [ 246 ], + "I2": [ 280 ], + "I3": [ 272 ], + "I4": [ 266 ], + "I5": [ 242 ], + "O": [ 3114 ] + } + }, + "LUT6_9f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33072.5-33079.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3261 ], + "I1": [ 292 ], + "I2": [ 246 ], + "I3": [ 278 ], + "I4": [ 266 ], + "I5": [ 332 ], + "O": [ 281 ] + } + }, + "LUT6_a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33083.5-33090.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 474 ], + "I1": [ 268 ], + "I2": [ 1072 ], + "I3": [ 2139 ], + "I4": [ 2149 ], + "I5": [ 2116 ], + "O": [ 2992 ] + } + }, + "LUT6_a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33094.5-33101.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3509 ], + "I1": [ 2921 ], + "I2": [ 2662 ], + "I3": [ 2659 ], + "I4": [ 3467 ], + "I5": [ 3468 ], + "O": [ 285 ] + } + }, + "LUT6_a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33105.5-33112.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 328 ], + "I1": [ 242 ], + "I2": [ 262 ], + "I3": [ 278 ], + "I4": [ 2525 ], + "I5": [ 246 ], + "O": [ 3262 ] + } + }, + "LUT6_a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33116.5-33123.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 262 ], + "I1": [ 328 ], + "I2": [ 330 ], + "I3": [ 292 ], + "I4": [ 322 ], + "I5": [ 266 ], + "O": [ 3509 ] + } + }, + "LUT6_a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33127.5-33134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 292 ], + "I1": [ 322 ], + "I2": [ 266 ], + "I3": [ 262 ], + "I4": [ 330 ], + "I5": [ 328 ], + "O": [ 3263 ] + } + }, + "LUT6_a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33138.5-33145.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 242 ], + "I1": [ 278 ], + "I2": [ 332 ], + "I3": [ 2525 ], + "I4": [ 296 ], + "I5": [ 272 ], + "O": [ 3469 ] + } + }, + "LUT6_a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33149.5-33156.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2920 ], + "I1": [ 2664 ], + "I2": [ 3469 ], + "I3": [ 3115 ], + "I4": [ 2663 ], + "I5": [ 3116 ], + "O": [ 255 ] + } + }, + "LUT6_a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33160.5-33167.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3469 ], + "I1": [ 3263 ], + "I2": [ 2920 ], + "I3": [ 3115 ], + "I4": [ 2664 ], + "I5": [ 2663 ], + "O": [ 273 ] + } + }, + "LUT6_a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33171.5-33178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2664 ], + "I1": [ 2920 ], + "I2": [ 3263 ], + "I3": [ 3115 ], + "I4": [ 2663 ], + "I5": [ 3469 ], + "O": [ 289 ] + } + }, + "LUT6_a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33182.5-33189.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 352 ], + "I1": [ 312 ], + "I2": [ 256 ], + "I3": [ 680 ], + "I4": [ 304 ], + "I5": [ 306 ], + "O": [ 2922 ] + } + }, + "LUT6_a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33193.5-33200.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 304 ], + "I1": [ 314 ], + "I2": [ 334 ], + "I3": [ 306 ], + "I4": [ 310 ], + "I5": [ 354 ], + "O": [ 3265 ] + } + }, + "LUT6_aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33204.5-33211.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 354 ], + "I1": [ 334 ], + "I2": [ 352 ], + "I3": [ 312 ], + "I4": [ 310 ], + "I5": [ 680 ], + "O": [ 3510 ] + } + }, + "LUT6_ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33215.5-33222.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2925 ], + "I1": [ 3117 ], + "I2": [ 3266 ], + "I3": [ 3267 ], + "I4": [ 310 ], + "I5": [ 212 ], + "O": [ 307 ] + } + }, + "LUT6_ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33226.5-33233.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 256 ], + "I1": [ 306 ], + "I2": [ 334 ], + "I3": [ 354 ], + "I4": [ 312 ], + "I5": [ 310 ], + "O": [ 2923 ] + } + }, + "LUT6_ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33237.5-33244.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 256 ], + "I1": [ 334 ], + "I2": [ 354 ], + "I3": [ 2923 ], + "I4": [ 258 ], + "I5": [ 680 ], + "O": [ 309 ] + } + }, + "LUT6_ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33248.5-33255.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 212 ], + "I1": [ 304 ], + "I2": [ 306 ], + "I3": [ 256 ], + "I4": [ 354 ], + "I5": [ 3264 ], + "O": [ 231 ] + } + }, + "LUT6_af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33259.5-33266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 354 ], + "I1": [ 3510 ], + "I2": [ 256 ], + "I3": [ 2923 ], + "I4": [ 304 ], + "I5": [ 334 ], + "O": [ 311 ] + } + }, + "LUT6_b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33270.5-33277.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2754 ], + "I1": [ 3183 ], + "I2": [ 2739 ], + "I3": [ 2981 ], + "I4": [ 3283 ], + "I5": [ 3172 ], + "O": [ 2326 ] + } + }, + "LUT6_b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33281.5-33288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 306 ], + "I1": [ 2923 ], + "I2": [ 3510 ], + "I3": [ 256 ], + "I4": [ 304 ], + "I5": [ 354 ], + "O": [ 313 ] + } + }, + "LUT6_b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33292.5-33299.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 306 ], + "I1": [ 304 ], + "I2": [ 2923 ], + "I3": [ 256 ], + "I4": [ 354 ], + "I5": [ 3510 ], + "O": [ 333 ] + } + }, + "LUT6_b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33303.5-33310.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 622 ], + "I1": [ 680 ], + "I2": [ 368 ], + "I3": [ 180 ], + "I4": [ 624 ], + "I5": [ 620 ], + "O": [ 3471 ] + } + }, + "LUT6_b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33314.5-33321.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 680 ], + "I1": [ 358 ], + "I2": [ 2542 ], + "I3": [ 340 ], + "I4": [ 614 ], + "I5": [ 180 ], + "O": [ 3470 ] + } + }, + "LUT6_b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33325.5-33332.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 680 ], + "I1": [ 340 ], + "I2": [ 338 ], + "I3": [ 622 ], + "I4": [ 366 ], + "I5": [ 2105 ], + "O": [ 3118 ] + } + }, + "LUT6_b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33336.5-33343.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 624 ], + "I1": [ 622 ], + "I2": [ 2103 ], + "I3": [ 338 ], + "I4": [ 340 ], + "I5": [ 366 ], + "O": [ 3511 ] + } + }, + "LUT6_b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33347.5-33354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 340 ], + "I1": [ 680 ], + "I2": [ 2665 ], + "I3": [ 3512 ], + "I4": [ 3118 ], + "I5": [ 624 ], + "O": [ 2522 ] + } + }, + "LUT6_b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33358.5-33365.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 340 ], + "I1": [ 2665 ], + "I2": [ 680 ], + "I3": [ 3118 ], + "I4": [ 3512 ], + "I5": [ 338 ], + "O": [ 2520 ] + } + }, + "LUT6_b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33369.5-33376.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2103 ], + "I1": [ 340 ], + "I2": [ 680 ], + "I3": [ 2665 ], + "I4": [ 3118 ], + "I5": [ 3512 ], + "O": [ 363 ] + } + }, + "LUT6_b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33380.5-33387.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 368 ], + "I1": [ 2542 ], + "I2": [ 2666 ], + "I3": [ 624 ], + "I4": [ 614 ], + "I5": [ 3511 ], + "O": [ 613 ] + } + }, + "LUT6_ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33391.5-33398.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 368 ], + "I1": [ 358 ], + "I2": [ 614 ], + "I3": [ 624 ], + "I4": [ 618 ], + "I5": [ 2542 ], + "O": [ 3512 ] + } + }, + "LUT6_bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33402.5-33409.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 368 ], + "I1": [ 614 ], + "I2": [ 358 ], + "I3": [ 618 ], + "I4": [ 2666 ], + "I5": [ 624 ], + "O": [ 615 ] + } + }, + "LUT6_bc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33413.5-33420.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 624 ], + "I1": [ 358 ], + "I2": [ 2666 ], + "I3": [ 368 ], + "I4": [ 614 ], + "I5": [ 618 ], + "O": [ 617 ] + } + }, + "LUT6_bd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33424.5-33431.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 342 ], + "I1": [ 344 ], + "I2": [ 348 ], + "I3": [ 642 ], + "I4": [ 360 ], + "I5": [ 594 ], + "O": [ 3122 ] + } + }, + "LUT6_be": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33435.5-33442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 600 ], + "I1": [ 640 ], + "I2": [ 344 ], + "I3": [ 644 ], + "I4": [ 642 ], + "I5": [ 267 ], + "O": [ 3271 ] + } + }, + "LUT6_bf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33446.5-33453.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 616 ], + "I1": [ 364 ], + "I2": [ 600 ], + "I3": [ 360 ], + "I4": [ 342 ], + "I5": [ 346 ], + "O": [ 3473 ] + } + }, + "LUT6_c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33457.5-33464.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3148 ], + "I1": [ 2273 ], + "I2": [ 2137 ], + "I3": [ 2237 ], + "I4": [ 918 ], + "I5": [ 2010 ], + "O": [ 2332 ] + } + }, + "LUT6_c0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33468.5-33475.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 3270 ], + "I2": [ 3122 ], + "I3": [ 2669 ], + "I4": [ 348 ], + "I5": [ 3120 ], + "O": [ 339 ] + } + }, + "LUT6_c1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33479.5-33486.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2669 ], + "I1": [ 2668 ], + "I2": [ 2667 ], + "I3": [ 3122 ], + "I4": [ 348 ], + "I5": [ 3269 ], + "O": [ 605 ] + } + }, + "LUT6_c2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33490.5-33497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 3122 ], + "I2": [ 2669 ], + "I3": [ 2668 ], + "I4": [ 348 ], + "I5": [ 3270 ], + "O": [ 639 ] + } + }, + "LUT6_c3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33501.5-33508.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3276 ], + "I1": [ 2670 ], + "I2": [ 3513 ], + "I3": [ 606 ], + "I4": [ 580 ], + "I5": [ 630 ], + "O": [ 589 ] + } + }, + "LUT6_c4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33512.5-33519.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3514 ], + "I1": [ 3515 ], + "I2": [ 3474 ], + "I3": [ 3275 ], + "I4": [ 3123 ], + "I5": [ 3516 ], + "O": [ 583 ] + } + }, + "LUT6_c5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33523.5-33530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2545 ], + "I1": [ 586 ], + "I2": [ 628 ], + "I3": [ 588 ], + "I4": [ 630 ], + "I5": [ 3274 ], + "O": [ 581 ] + } + }, + "LUT6_c6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33534.5-33541.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 638 ], + "I1": [ 630 ], + "I2": [ 606 ], + "I3": [ 596 ], + "I4": [ 632 ], + "I5": [ 586 ], + "O": [ 3514 ] + } + }, + "LUT6_c7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33545.5-33552.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 626 ], + "I1": [ 604 ], + "I2": [ 596 ], + "I3": [ 638 ], + "I4": [ 632 ], + "I5": [ 2545 ], + "O": [ 2673 ] + } + }, + "LUT6_c8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33556.5-33563.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 596 ], + "I1": [ 598 ], + "I2": [ 630 ], + "I3": [ 604 ], + "I4": [ 626 ], + "I5": [ 606 ], + "O": [ 3513 ] + } + }, + "LUT6_c9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33567.5-33574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 596 ], + "I1": [ 626 ], + "I2": [ 628 ], + "I3": [ 604 ], + "I4": [ 638 ], + "I5": [ 630 ], + "O": [ 3516 ] + } + }, + "LUT6_ca": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33578.5-33585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 596 ], + "I1": [ 628 ], + "I2": [ 598 ], + "I3": [ 626 ], + "I4": [ 638 ], + "I5": [ 632 ], + "O": [ 3277 ] + } + }, + "LUT6_cb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33589.5-33596.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 626 ], + "I1": [ 598 ], + "I2": [ 628 ], + "I3": [ 596 ], + "I4": [ 638 ], + "I5": [ 604 ], + "O": [ 3515 ] + } + }, + "LUT6_cc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33600.5-33607.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3517 ], + "I1": [ 634 ], + "I2": [ 610 ], + "I3": [ 584 ], + "I4": [ 582 ], + "I5": [ 596 ], + "O": [ 625 ] + } + }, + "LUT6_cd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33611.5-33618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 590 ], + "I1": [ 604 ], + "I2": [ 598 ], + "I3": [ 596 ], + "I4": [ 584 ], + "I5": [ 602 ], + "O": [ 3517 ] + } + }, + "LUT6_ce": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33622.5-33629.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 582 ], + "I1": [ 636 ], + "I2": [ 2545 ], + "I3": [ 590 ], + "I4": [ 598 ], + "I5": [ 596 ], + "O": [ 3125 ] + } + }, + "LUT6_cf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33633.5-33640.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 598 ], + "I1": [ 590 ], + "I2": [ 606 ], + "I3": [ 592 ], + "I4": [ 2545 ], + "I5": [ 636 ], + "O": [ 3126 ] + } + }, + "LUT6_d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33644.5-33651.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 336 ], + "I1": [ 2237 ], + "I2": [ 2010 ], + "I3": [ 2149 ], + "I4": [ 1930 ], + "I5": [ 2273 ], + "O": [ 2723 ] + } + }, + "LUT6_d0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33655.5-33662.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 598 ], + "I1": [ 584 ], + "I2": [ 634 ], + "I3": [ 636 ], + "I4": [ 590 ], + "I5": [ 582 ], + "O": [ 2931 ] + } + }, + "LUT6_d1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33666.5-33673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2934 ], + "I1": [ 3278 ], + "I2": [ 610 ], + "I3": [ 592 ], + "I4": [ 2931 ], + "I5": [ 636 ], + "O": [ 585 ] + } + }, + "LUT6_d2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33677.5-33684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2931 ], + "I1": [ 636 ], + "I2": [ 590 ], + "I3": [ 2930 ], + "I4": [ 2929 ], + "I5": [ 2928 ], + "O": [ 343 ] + } + }, + "LUT6_d3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33688.5-33695.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 604 ], + "I1": [ 2932 ], + "I2": [ 590 ], + "I3": [ 2931 ], + "I4": [ 606 ], + "I5": [ 2930 ], + "O": [ 629 ] + } + }, + "LUT6_d4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33699.5-33706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3127 ], + "I1": [ 604 ], + "I2": [ 2930 ], + "I3": [ 2931 ], + "I4": [ 636 ], + "I5": [ 584 ], + "O": [ 587 ] + } + }, + "LUT6_d5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33710.5-33717.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 611 ], + "I1": [ 666 ], + "I2": [ 624 ], + "I3": [ 267 ], + "I4": [ 2288 ], + "I5": [ 2218 ], + "O": [ 427 ] + } + }, + "LUT6_d6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33721.5-33728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 623 ], + "I2": [ 666 ], + "I3": [ 2529 ], + "I4": [ 432 ], + "I5": [ 2315 ], + "O": [ 659 ] + } + }, + "LUT6_d7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33732.5-33739.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 666 ], + "I1": [ 664 ], + "I2": [ 662 ], + "I3": [ 668 ], + "I4": [ 2529 ], + "I5": [ 2317 ], + "O": [ 2683 ] + } + }, + "LUT6_d8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33743.5-33750.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 668 ], + "I1": [ 666 ], + "I2": [ 662 ], + "I3": [ 664 ], + "I4": [ 2105 ], + "I5": [ 2103 ], + "O": [ 623 ] + } + }, + "LUT6_d9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33754.5-33761.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 661 ], + "I1": [ 674 ], + "I2": [ 666 ], + "I3": [ 672 ], + "I4": [ 2103 ], + "I5": [ 2537 ], + "O": [ 669 ] + } + }, + "LUT6_da": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33765.5-33772.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3518 ], + "I1": [ 676 ], + "I2": [ 674 ], + "I3": [ 661 ], + "I4": [ 661 ], + "I5": [ 668 ], + "O": [ 721 ] + } + }, + "LUT6_db": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33776.5-33783.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 678 ], + "I1": [ 2674 ], + "I2": [ 722 ], + "I3": [ 2105 ], + "I4": [ 3279 ], + "I5": [ 3364 ], + "O": [ 3518 ] + } + }, + "LUT6_dc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33787.5-33794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 702 ], + "I1": [ 708 ], + "I2": [ 658 ], + "I3": [ 696 ], + "I4": [ 720 ], + "I5": [ 3518 ], + "O": [ 703 ] + } + }, + "LUT6_dd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33798.5-33805.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 706 ], + "I1": [ 696 ], + "I2": [ 668 ], + "I3": [ 702 ], + "I4": [ 336 ], + "I5": [ 720 ], + "O": [ 653 ] + } + }, + "LUT6_de": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33809.5-33816.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 670 ], + "I1": [ 3518 ], + "I2": [ 706 ], + "I3": [ 668 ], + "I4": [ 336 ], + "I5": [ 648 ], + "O": [ 697 ] + } + }, + "LUT6_df": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33820.5-33827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 668 ], + "I1": [ 2683 ], + "I2": [ 696 ], + "I3": [ 720 ], + "I4": [ 668 ], + "I5": [ 658 ], + "O": [ 651 ] + } + }, + "LUT6_e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33831.5-33838.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 165 ], + "I1": [ 2139 ], + "I2": [ 2676 ], + "I3": [ 2010 ], + "I4": [ 2237 ], + "I5": [ 3215 ], + "O": [ 2334 ] + } + }, + "LUT6_e0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33842.5-33849.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3281 ], + "I1": [ 3129 ], + "I2": [ 2935 ], + "I3": [ 2677 ], + "I4": [ 3519 ], + "I5": [ 2678 ], + "O": [ 685 ] + } + }, + "LUT6_e1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33853.5-33860.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 710 ], + "I1": [ 682 ], + "I2": [ 746 ], + "I3": [ 652 ], + "I4": [ 732 ], + "I5": [ 738 ], + "O": [ 3519 ] + } + }, + "LUT6_e2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33864.5-33871.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 652 ], + "I1": [ 2674 ], + "I2": [ 746 ], + "I3": [ 682 ], + "I4": [ 694 ], + "I5": [ 728 ], + "O": [ 3520 ] + } + }, + "LUT6_e3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33875.5-33882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 740 ], + "I1": [ 3280 ], + "I2": [ 670 ], + "I3": [ 336 ], + "I4": [ 2674 ], + "I5": [ 746 ], + "O": [ 715 ] + } + }, + "LUT6_e4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33886.5-33893.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 694 ], + "I1": [ 692 ], + "I2": [ 670 ], + "I3": [ 710 ], + "I4": [ 652 ], + "I5": [ 3280 ], + "O": [ 741 ] + } + }, + "LUT6_e5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33897.5-33904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3282 ], + "I1": [ 2683 ], + "I2": [ 682 ], + "I3": [ 700 ], + "I4": [ 668 ], + "I5": [ 690 ], + "O": [ 729 ] + } + }, + "LUT6_e6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33908.5-33915.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3131 ], + "I1": [ 736 ], + "I2": [ 728 ], + "I3": [ 654 ], + "I4": [ 656 ], + "I5": [ 704 ], + "O": [ 687 ] + } + }, + "LUT6_e7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33919.5-33926.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 736 ], + "I1": [ 656 ], + "I2": [ 704 ], + "I3": [ 718 ], + "I4": [ 670 ], + "I5": [ 682 ], + "O": [ 3521 ] + } + }, + "LUT6_e8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33930.5-33937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3133 ], + "I1": [ 3134 ], + "I2": [ 3521 ], + "I3": [ 3284 ], + "I4": [ 2936 ], + "I5": [ 2679 ], + "O": [ 675 ] + } + }, + "LUT6_e9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33941.5-33948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 744 ], + "I1": [ 654 ], + "I2": [ 682 ], + "I3": [ 704 ], + "I4": [ 698 ], + "I5": [ 2683 ], + "O": [ 3135 ] + } + }, + "LUT6_ea": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33952.5-33959.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 654 ], + "I1": [ 736 ], + "I2": [ 704 ], + "I3": [ 744 ], + "I4": [ 698 ], + "I5": [ 670 ], + "O": [ 2939 ] + } + }, + "LUT6_eb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33963.5-33970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2680 ], + "I1": [ 2682 ], + "I2": [ 2681 ], + "I3": [ 2939 ], + "I4": [ 3135 ], + "I5": [ 3132 ], + "O": [ 707 ] + } + }, + "LUT6_ec": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33974.5-33981.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2682 ], + "I1": [ 2938 ], + "I2": [ 2681 ], + "I3": [ 3135 ], + "I4": [ 2939 ], + "I5": [ 2680 ], + "O": [ 671 ] + } + }, + "LUT6_ed": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33985.5-33992.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2682 ], + "I1": [ 3135 ], + "I2": [ 2681 ], + "I3": [ 2680 ], + "I4": [ 2939 ], + "I5": [ 2938 ], + "O": [ 695 ] + } + }, + "LUT6_ee": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:33996.5-34003.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 734 ], + "I1": [ 742 ], + "I2": [ 3520 ], + "I3": [ 712 ], + "I4": [ 688 ], + "I5": [ 684 ], + "O": [ 735 ] + } + }, + "LUT6_ef": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34007.5-34014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 688 ], + "I1": [ 734 ], + "I2": [ 730 ], + "I3": [ 742 ], + "I4": [ 670 ], + "I5": [ 3520 ], + "O": [ 681 ] + } + }, + "LUT6_f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34018.5-34025.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2992 ], + "I1": [ 2211 ], + "I2": [ 3272 ], + "I3": [ 268 ], + "I4": [ 2287 ], + "I5": [ 3138 ], + "O": [ 2552 ] + } + }, + "LUT6_f0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34029.5-34036.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 734 ], + "I2": [ 730 ], + "I3": [ 742 ], + "I4": [ 670 ], + "I5": [ 712 ], + "O": [ 691 ] + } + }, + "LUT6_f1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34040.5-34047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 378 ], + "I1": [ 672 ], + "I2": [ 336 ], + "I3": [ 302 ], + "I4": [ 724 ], + "I5": [ 2537 ], + "O": [ 3364 ] + } + }, + "LUT6_f2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34051.5-34058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 806 ], + "I1": [ 780 ], + "I2": [ 876 ], + "I3": [ 798 ], + "I4": [ 796 ], + "I5": [ 808 ], + "O": [ 3290 ] + } + }, + "LUT6_f3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34062.5-34069.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3522 ], + "I1": [ 3298 ], + "I2": [ 3149 ], + "I3": [ 784 ], + "I4": [ 812 ], + "I5": [ 814 ], + "O": [ 809 ] + } + }, + "LUT6_f4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34073.5-34080.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 820 ], + "I1": [ 790 ], + "I2": [ 810 ], + "I3": [ 824 ], + "I4": [ 832 ], + "I5": [ 798 ], + "O": [ 2946 ] + } + }, + "LUT6_f5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34084.5-34091.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 820 ], + "I1": [ 832 ], + "I2": [ 3298 ], + "I3": [ 790 ], + "I4": [ 876 ], + "I5": [ 836 ], + "O": [ 3522 ] + } + }, + "LUT6_f6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34095.5-34102.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 876 ], + "I1": [ 790 ], + "I2": [ 3298 ], + "I3": [ 820 ], + "I4": [ 810 ], + "I5": [ 824 ], + "O": [ 2945 ] + } + }, + "LUT6_f7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34106.5-34113.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 790 ], + "I1": [ 832 ], + "I2": [ 820 ], + "I3": [ 810 ], + "I4": [ 876 ], + "I5": [ 3298 ], + "O": [ 2947 ] + } + }, + "LUT6_f8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34117.5-34124.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 794 ], + "I1": [ 822 ], + "I2": [ 3299 ], + "I3": [ 844 ], + "I4": [ 842 ], + "I5": [ 780 ], + "O": [ 3287 ] + } + }, + "LUT6_f9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34128.5-34135.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 822 ], + "I1": [ 2705 ], + "I2": [ 3299 ], + "I3": [ 794 ], + "I4": [ 840 ], + "I5": [ 872 ], + "O": [ 2689 ] + } + }, + "LUT6_fa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34139.5-34146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 840 ], + "I1": [ 794 ], + "I2": [ 822 ], + "I3": [ 868 ], + "I4": [ 866 ], + "I5": [ 844 ], + "O": [ 3477 ] + } + }, + "LUT6_fb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34150.5-34157.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 868 ], + "I1": [ 2705 ], + "I2": [ 848 ], + "I3": [ 840 ], + "I4": [ 822 ], + "I5": [ 870 ], + "O": [ 2942 ] + } + }, + "LUT6_fc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34161.5-34168.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 848 ], + "I1": [ 2705 ], + "I2": [ 822 ], + "I3": [ 840 ], + "I4": [ 870 ], + "I5": [ 868 ], + "O": [ 3476 ] + } + }, + "LUT6_fd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34172.5-34179.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2689 ], + "I1": [ 2690 ], + "I2": [ 2941 ], + "I3": [ 3477 ], + "I4": [ 2940 ], + "I5": [ 3286 ], + "O": [ 871 ] + } + }, + "LUT6_fe": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34183.5-34190.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2689 ], + "I1": [ 3288 ], + "I2": [ 3287 ], + "I3": [ 2943 ], + "I4": [ 2942 ], + "I5": [ 3476 ], + "O": [ 803 ] + } + }, + "LUT6_ff": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34194.5-34201.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2943 ], + "I1": [ 2688 ], + "I2": [ 2941 ], + "I3": [ 2940 ], + "I4": [ 2942 ], + "I5": [ 2690 ], + "O": [ 875 ] + } + }, + "VCC": { + "hide_name": 0, + "type": "VCC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34202.7-34203.19" + }, + "port_directions": { + "P": "output" + }, + "connections": { + "P": [ 163 ] + } + }, + "clk_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34206.8-34208.22" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 161 ], + "O": [ 162 ] + } + }, + "ip[0]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34211.8-34213.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2 ], + "O": [ 2027 ] + } + }, + "ip[10]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34216.8-34218.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 12 ], + "O": [ 2294 ] + } + }, + "ip[11]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34221.8-34223.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 13 ], + "O": [ 2234 ] + } + }, + "ip[12]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34226.8-34228.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 14 ], + "O": [ 2176 ] + } + }, + "ip[13]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34231.8-34233.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 15 ], + "O": [ 2033 ] + } + }, + "ip[14]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34236.8-34238.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 16 ], + "O": [ 2019 ] + } + }, + "ip[15]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34241.8-34243.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 17 ], + "O": [ 2150 ] + } + }, + "ip[16]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34246.8-34248.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 18 ], + "O": [ 2256 ] + } + }, + "ip[17]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34251.8-34253.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 19 ], + "O": [ 2272 ] + } + }, + "ip[18]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34256.8-34258.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 20 ], + "O": [ 2166 ] + } + }, + "ip[19]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34261.8-34263.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 21 ], + "O": [ 2248 ] + } + }, + "ip[1]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34266.8-34268.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 3 ], + "O": [ 2184 ] + } + }, + "ip[20]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34271.8-34273.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 22 ], + "O": [ 2216 ] + } + }, + "ip[21]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34276.8-34278.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 23 ], + "O": [ 2250 ] + } + }, + "ip[22]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34281.8-34283.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 24 ], + "O": [ 2240 ] + } + }, + "ip[23]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34286.8-34288.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 25 ], + "O": [ 2372 ] + } + }, + "ip[24]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34291.8-34293.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 26 ], + "O": [ 2264 ] + } + }, + "ip[25]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34296.8-34298.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 27 ], + "O": [ 2348 ] + } + }, + "ip[26]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34301.8-34303.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 28 ], + "O": [ 2246 ] + } + }, + "ip[27]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34306.8-34308.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 29 ], + "O": [ 2156 ] + } + }, + "ip[28]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34311.8-34313.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 30 ], + "O": [ 2316 ] + } + }, + "ip[29]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34316.8-34318.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 31 ], + "O": [ 2274 ] + } + }, + "ip[2]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34321.8-34323.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 4 ], + "O": [ 2119 ] + } + }, + "ip[30]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34326.8-34328.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 32 ], + "O": [ 2178 ] + } + }, + "ip[31]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34331.8-34333.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 33 ], + "O": [ 2330 ] + } + }, + "ip[32]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34336.8-34338.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 34 ], + "O": [ 2232 ] + } + }, + "ip[33]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34341.8-34343.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 35 ], + "O": [ 2308 ] + } + }, + "ip[34]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34346.8-34348.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 36 ], + "O": [ 2039 ] + } + }, + "ip[35]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34351.8-34353.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 37 ], + "O": [ 2286 ] + } + }, + "ip[36]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34356.8-34358.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 38 ], + "O": [ 2138 ] + } + }, + "ip[37]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34361.8-34363.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 39 ], + "O": [ 2029 ] + } + }, + "ip[38]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34366.8-34368.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 2142 ] + } + }, + "ip[39]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34371.8-34373.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 41 ], + "O": [ 2300 ] + } + }, + "ip[3]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34376.8-34378.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 5 ], + "O": [ 2356 ] + } + }, + "ip[40]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34381.8-34383.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 42 ], + "O": [ 2236 ] + } + }, + "ip[41]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34386.8-34388.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 43 ], + "O": [ 2206 ] + } + }, + "ip[42]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34391.8-34393.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 44 ], + "O": [ 2015 ] + } + }, + "ip[43]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34396.8-34398.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 45 ], + "O": [ 2354 ] + } + }, + "ip[44]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34401.8-34403.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 46 ], + "O": [ 2115 ] + } + }, + "ip[45]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34406.8-34408.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 47 ], + "O": [ 2194 ] + } + }, + "ip[46]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34411.8-34413.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 48 ], + "O": [ 2180 ] + } + }, + "ip[47]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34416.8-34418.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 49 ], + "O": [ 2170 ] + } + }, + "ip[48]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34421.8-34423.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 50 ], + "O": [ 2117 ] + } + }, + "ip[49]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34426.8-34428.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 51 ], + "O": [ 2037 ] + } + }, + "ip[4]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34431.8-34433.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 6 ], + "O": [ 2200 ] + } + }, + "ip[50]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34436.8-34438.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 52 ], + "O": [ 2340 ] + } + }, + "ip[51]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34441.8-34443.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 53 ], + "O": [ 2314 ] + } + }, + "ip[52]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34446.8-34448.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 54 ], + "O": [ 2362 ] + } + }, + "ip[53]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34451.8-34453.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 55 ], + "O": [ 2306 ] + } + }, + "ip[54]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34456.8-34458.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 56 ], + "O": [ 2152 ] + } + }, + "ip[55]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34461.8-34463.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 57 ], + "O": [ 2134 ] + } + }, + "ip[56]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34466.8-34468.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 58 ], + "O": [ 2220 ] + } + }, + "ip[57]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34471.8-34473.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 59 ], + "O": [ 2252 ] + } + }, + "ip[58]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34476.8-34478.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 60 ], + "O": [ 2210 ] + } + }, + "ip[59]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34481.8-34483.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 61 ], + "O": [ 2312 ] + } + }, + "ip[5]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34486.8-34488.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 7 ], + "O": [ 2346 ] + } + }, + "ip[60]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34491.8-34493.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 62 ], + "O": [ 2035 ] + } + }, + "ip[61]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34496.8-34498.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 63 ], + "O": [ 2031 ] + } + }, + "ip[62]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34501.8-34503.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 64 ], + "O": [ 2338 ] + } + }, + "ip[63]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34506.8-34508.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 65 ], + "O": [ 2192 ] + } + }, + "ip[64]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34511.8-34513.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 66 ], + "O": [ 2148 ] + } + }, + "ip[65]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34516.8-34518.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 67 ], + "O": [ 2364 ] + } + }, + "ip[66]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34521.8-34523.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 68 ], + "O": [ 2358 ] + } + }, + "ip[67]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34526.8-34528.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 69 ], + "O": [ 2136 ] + } + }, + "ip[68]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34531.8-34533.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 70 ], + "O": [ 2292 ] + } + }, + "ip[69]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34536.8-34538.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 71 ], + "O": [ 2025 ] + } + }, + "ip[6]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34541.8-34543.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 8 ], + "O": [ 2146 ] + } + }, + "ip[70]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34546.8-34548.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 72 ], + "O": [ 2158 ] + } + }, + "ip[71]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34551.8-34553.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 73 ], + "O": [ 2144 ] + } + }, + "ip[72]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34556.8-34558.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 74 ], + "O": [ 2322 ] + } + }, + "ip[73]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34561.8-34563.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 75 ], + "O": [ 2318 ] + } + }, + "ip[74]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34566.8-34568.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 76 ], + "O": [ 2296 ] + } + }, + "ip[75]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34571.8-34573.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 77 ], + "O": [ 2278 ] + } + }, + "ip[76]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34576.8-34578.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 78 ], + "O": [ 2041 ] + } + }, + "ip[7]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34581.8-34583.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 9 ], + "O": [ 2196 ] + } + }, + "ip[8]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34586.8-34588.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 10 ], + "O": [ 2266 ] + } + }, + "ip[9]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34591.8-34593.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 11 ], + "O": [ 2186 ] + } + }, + "op[0]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34596.8-34598.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2014 ], + "O": [ 79 ] + } + }, + "op[10]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34601.8-34603.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2213 ], + "O": [ 89 ] + } + }, + "op[11]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34606.8-34608.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2203 ], + "O": [ 90 ] + } + }, + "op[12]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34611.8-34613.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2285 ], + "O": [ 91 ] + } + }, + "op[13]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34616.8-34618.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2173 ], + "O": [ 92 ] + } + }, + "op[14]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34621.8-34623.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2112 ], + "O": [ 93 ] + } + }, + "op[15]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34626.8-34628.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2044 ], + "O": [ 94 ] + } + }, + "op[16]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34631.8-34633.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2223 ], + "O": [ 95 ] + } + }, + "op[17]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34636.8-34638.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2239 ], + "O": [ 96 ] + } + }, + "op[18]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34641.8-34643.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2111 ], + "O": [ 97 ] + } + }, + "op[19]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34646.8-34648.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2353 ], + "O": [ 98 ] + } + }, + "op[1]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34651.8-34653.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2098 ], + "O": [ 80 ] + } + }, + "op[20]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34656.8-34658.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2361 ], + "O": [ 99 ] + } + }, + "op[21]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34661.8-34663.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2245 ], + "O": [ 100 ] + } + }, + "op[22]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34666.8-34668.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2369 ], + "O": [ 101 ] + } + }, + "op[23]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34671.8-34673.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2122 ], + "O": [ 102 ] + } + }, + "op[24]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34676.8-34678.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2371 ], + "O": [ 103 ] + } + }, + "op[25]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34681.8-34683.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2375 ], + "O": [ 104 ] + } + }, + "op[26]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34686.8-34688.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2261 ], + "O": [ 105 ] + } + }, + "op[27]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34691.8-34693.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2377 ], + "O": [ 106 ] + } + }, + "op[28]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34696.8-34698.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2229 ], + "O": [ 107 ] + } + }, + "op[29]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34701.8-34703.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2096 ], + "O": [ 108 ] + } + }, + "op[2]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34706.8-34708.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2130 ], + "O": [ 81 ] + } + }, + "op[30]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34711.8-34713.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2283 ], + "O": [ 109 ] + } + }, + "op[31]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34716.8-34718.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2367 ], + "O": [ 110 ] + } + }, + "op[32]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34721.8-34723.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2131 ], + "O": [ 111 ] + } + }, + "op[33]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34726.8-34728.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2281 ], + "O": [ 112 ] + } + }, + "op[34]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34731.8-34733.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2022 ], + "O": [ 113 ] + } + }, + "op[35]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34736.8-34738.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2325 ], + "O": [ 114 ] + } + }, + "op[36]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34741.8-34743.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2289 ], + "O": [ 115 ] + } + }, + "op[37]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34746.8-34748.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2169 ], + "O": [ 116 ] + } + }, + "op[38]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34751.8-34753.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2126 ], + "O": [ 117 ] + } + }, + "op[39]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34756.8-34758.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2132 ], + "O": [ 118 ] + } + }, + "op[3]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34761.8-34763.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2225 ], + "O": [ 82 ] + } + }, + "op[40]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34766.8-34768.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2155 ], + "O": [ 119 ] + } + }, + "op[41]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34771.8-34773.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2163 ], + "O": [ 120 ] + } + }, + "op[42]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34776.8-34778.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2161 ], + "O": [ 121 ] + } + }, + "op[43]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34781.8-34783.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2337 ], + "O": [ 122 ] + } + }, + "op[44]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34786.8-34788.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2191 ], + "O": [ 123 ] + } + }, + "op[45]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34791.8-34793.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2108 ], + "O": [ 124 ] + } + }, + "op[46]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34796.8-34798.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2093 ], + "O": [ 125 ] + } + }, + "op[47]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34801.8-34803.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2097 ], + "O": [ 126 ] + } + }, + "op[48]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34806.8-34808.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2129 ], + "O": [ 127 ] + } + }, + "op[49]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34811.8-34813.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2329 ], + "O": [ 128 ] + } + }, + "op[4]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34816.8-34818.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2299 ], + "O": [ 83 ] + } + }, + "op[50]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34821.8-34823.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2271 ], + "O": [ 129 ] + } + }, + "op[51]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34826.8-34828.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2104 ], + "O": [ 130 ] + } + }, + "op[52]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34831.8-34833.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2024 ], + "O": [ 131 ] + } + }, + "op[53]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34836.8-34838.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2305 ], + "O": [ 132 ] + } + }, + "op[54]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34841.8-34843.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2109 ], + "O": [ 133 ] + } + }, + "op[55]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34846.8-34848.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2165 ], + "O": [ 134 ] + } + }, + "op[56]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34851.8-34853.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2124 ], + "O": [ 135 ] + } + }, + "op[57]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34856.8-34858.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2263 ], + "O": [ 136 ] + } + }, + "op[58]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34861.8-34863.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2321 ], + "O": [ 137 ] + } + }, + "op[59]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34866.8-34868.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2255 ], + "O": [ 138 ] + } + }, + "op[5]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34871.8-34873.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2327 ], + "O": [ 84 ] + } + }, + "op[60]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34876.8-34878.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2303 ], + "O": [ 139 ] + } + }, + "op[61]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34881.8-34883.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2018 ], + "O": [ 140 ] + } + }, + "op[62]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34886.8-34888.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2205 ], + "O": [ 141 ] + } + }, + "op[63]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34891.8-34893.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2189 ], + "O": [ 142 ] + } + }, + "op[64]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34896.8-34898.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2351 ], + "O": [ 143 ] + } + }, + "op[65]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34901.8-34903.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2133 ], + "O": [ 144 ] + } + }, + "op[66]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34906.8-34908.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2269 ], + "O": [ 145 ] + } + }, + "op[67]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34911.8-34913.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2128 ], + "O": [ 146 ] + } + }, + "op[68]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34916.8-34918.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2110 ], + "O": [ 147 ] + } + }, + "op[69]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34921.8-34923.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2095 ], + "O": [ 148 ] + } + }, + "op[6]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34926.8-34928.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2106 ], + "O": [ 85 ] + } + }, + "op[70]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34931.8-34933.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2107 ], + "O": [ 149 ] + } + }, + "op[71]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34936.8-34938.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2048 ], + "O": [ 150 ] + } + }, + "op[72]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34941.8-34943.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2227 ], + "O": [ 151 ] + } + }, + "op[73]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34946.8-34948.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2215 ], + "O": [ 152 ] + } + }, + "op[74]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34951.8-34953.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2291 ], + "O": [ 153 ] + } + }, + "op[75]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34956.8-34958.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2094 ], + "O": [ 154 ] + } + }, + "op[76]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34961.8-34963.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2219 ], + "O": [ 155 ] + } + }, + "op[77]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34966.8-34968.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2127 ], + "O": [ 156 ] + } + }, + "op[78]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34971.8-34973.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2259 ], + "O": [ 157 ] + } + }, + "op[79]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34976.8-34978.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2333 ], + "O": [ 158 ] + } + }, + "op[7]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34981.8-34983.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2183 ], + "O": [ 86 ] + } + }, + "op[80]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34986.8-34988.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2199 ], + "O": [ 159 ] + } + }, + "op[81]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34991.8-34993.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2335 ], + "O": [ 160 ] + } + }, + "op[8]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:34996.8-34998.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2343 ], + "O": [ 87 ] + } + }, + "op[9]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:35001.8-35003.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2231 ], + "O": [ 88 ] + } + } + }, + "netnames": { + "GND_1": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:99.8-99.13" + } + }, + "VCC_1": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:100.8-100.13" + } + }, + "clk": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:97.9-97.12" + } + }, + "clk_IBUF": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:102.8-102.16" + } + }, + "ip": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ], + "attributes": { + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:95.15-95.17" + } + }, + "ip_IBUF": { + "hide_name": 0, + "bits": [ 2027, 2184, 2119, 2356, 2200, 2346, 2146, 2196, 2266, 2186, 2294, 2234, 2176, 2033, 2019, 2150, 2256, 2272, 2166, 2248, 2216, 2250, 2240, 2372, 2264, 2348, 2246, 2156, 2316, 2274, 2178, 2330, 2232, 2308, 2039, 2286, 2138, 2029, 2142, 2300, 2236, 2206, 2015, 2354, 2115, 2194, 2180, 2170, 2117, 2037, 2340, 2314, 2362, 2306, 2152, 2134, 2220, 2252, 2210, 2312, 2035, 2031, 2338, 2192, 2148, 2364, 2358, 2136, 2292, 2025, 2158, 2144, 2322, 2318, 2296, 2278, 2041 ], + "attributes": { + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:104.14-104.21" + } + }, + "ipr": { + "hide_name": 0, + "bits": [ 2028, 2185, 2120, 2357, 2201, 2347, 2147, 2197, 2267, 2187, 2295, 2235, 2177, 2034, 2020, 2151, 2257, 2273, 2167, 2249, 2217, 2251, 2241, 2373, 2265, 2349, 2247, 2157, 2317, 2275, 2179, 2331, 2233, 2309, 2040, 2287, 2139, 2030, 2143, 2301, 2237, 2207, 2016, 2355, 2116, 2195, 2181, 2171, 2118, 2038, 2341, 2315, 2363, 2307, 2153, 2135, 2221, 2253, 2211, 2313, 2036, 2032, 2339, 2193, 2149, 2365, 2359, 2137, 2293, 2026, 2159, 2145, 2323, 2319, 2297, 2279, 2042 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:105.38-105.41" + } + }, + "n100": { + "hide_name": 0, + "bits": [ 3379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:106.32-106.36" + } + }, + "n101": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:107.32-107.36" + } + }, + "n102": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:108.32-108.36" + } + }, + "n103": { + "hide_name": 0, + "bits": [ 849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:109.32-109.36" + } + }, + "n104": { + "hide_name": 0, + "bits": [ 850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:110.32-110.36" + } + }, + "n105": { + "hide_name": 0, + "bits": [ 883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:111.32-111.36" + } + }, + "n106": { + "hide_name": 0, + "bits": [ 884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:112.32-112.36" + } + }, + "n107": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:113.32-113.36" + } + }, + "n108": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:114.32-114.36" + } + }, + "n109": { + "hide_name": 0, + "bits": [ 407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:115.32-115.36" + } + }, + "n10a": { + "hide_name": 0, + "bits": [ 408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:116.32-116.36" + } + }, + "n10b": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:117.32-117.36" + } + }, + "n10c": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:118.32-118.36" + } + }, + "n10d": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:119.32-119.36" + } + }, + "n10e": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:120.32-120.36" + } + }, + "n10f": { + "hide_name": 0, + "bits": [ 509 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:121.32-121.36" + } + }, + "n110": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:122.32-122.36" + } + }, + "n111": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:123.32-123.36" + } + }, + "n112": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:124.32-124.36" + } + }, + "n113": { + "hide_name": 0, + "bits": [ 1123 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:125.32-125.36" + } + }, + "n114": { + "hide_name": 0, + "bits": [ 1124 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:126.32-126.36" + } + }, + "n115": { + "hide_name": 0, + "bits": [ 1157 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:127.32-127.36" + } + }, + "n116": { + "hide_name": 0, + "bits": [ 1158 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:128.32-128.36" + } + }, + "n117": { + "hide_name": 0, + "bits": [ 1191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:129.32-129.36" + } + }, + "n118": { + "hide_name": 0, + "bits": [ 1192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:130.32-130.36" + } + }, + "n119": { + "hide_name": 0, + "bits": [ 1225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:131.32-131.36" + } + }, + "n11a": { + "hide_name": 0, + "bits": [ 1226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:132.32-132.36" + } + }, + "n11b": { + "hide_name": 0, + "bits": [ 1259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:133.32-133.36" + } + }, + "n11c": { + "hide_name": 0, + "bits": [ 1260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:134.32-134.36" + } + }, + "n11d": { + "hide_name": 0, + "bits": [ 3381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:135.32-135.36" + } + }, + "n11e": { + "hide_name": 0, + "bits": [ 3382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:136.32-136.36" + } + }, + "n11f": { + "hide_name": 0, + "bits": [ 2595 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:137.32-137.36" + } + }, + "n120": { + "hide_name": 0, + "bits": [ 3025 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:138.32-138.36" + } + }, + "n121": { + "hide_name": 0, + "bits": [ 3383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:139.32-139.36" + } + }, + "n122": { + "hide_name": 0, + "bits": [ 3104 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:140.32-140.36" + } + }, + "n123": { + "hide_name": 0, + "bits": [ 2484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:141.32-141.36" + } + }, + "n124": { + "hide_name": 0, + "bits": [ 2491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:142.32-142.36" + } + }, + "n125": { + "hide_name": 0, + "bits": [ 3069 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:143.32-143.36" + } + }, + "n126": { + "hide_name": 0, + "bits": [ 2493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:144.32-144.36" + } + }, + "n127": { + "hide_name": 0, + "bits": [ 2577 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:145.32-145.36" + } + }, + "n128": { + "hide_name": 0, + "bits": [ 3024 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:146.32-146.36" + } + }, + "n129": { + "hide_name": 0, + "bits": [ 2576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:147.32-147.36" + } + }, + "n12a": { + "hide_name": 0, + "bits": [ 2563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:148.32-148.36" + } + }, + "n12b": { + "hide_name": 0, + "bits": [ 2593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:149.32-149.36" + } + }, + "n12c": { + "hide_name": 0, + "bits": [ 2485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:150.32-150.36" + } + }, + "n12d": { + "hide_name": 0, + "bits": [ 3023 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:151.32-151.36" + } + }, + "n12e": { + "hide_name": 0, + "bits": [ 2808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:152.32-152.36" + } + }, + "n12f": { + "hide_name": 0, + "bits": [ 3324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:153.32-153.36" + } + }, + "n130": { + "hide_name": 0, + "bits": [ 2562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:154.32-154.36" + } + }, + "n131": { + "hide_name": 0, + "bits": [ 2548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:155.32-155.36" + } + }, + "n132": { + "hide_name": 0, + "bits": [ 2564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:156.32-156.36" + } + }, + "n133": { + "hide_name": 0, + "bits": [ 2486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:157.32-157.36" + } + }, + "n134": { + "hide_name": 0, + "bits": [ 2488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:158.32-158.36" + } + }, + "n135": { + "hide_name": 0, + "bits": [ 2594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:159.32-159.36" + } + }, + "n136": { + "hide_name": 0, + "bits": [ 2492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:160.32-160.36" + } + }, + "n137": { + "hide_name": 0, + "bits": [ 2489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:161.32-161.36" + } + }, + "n138": { + "hide_name": 0, + "bits": [ 2596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:162.32-162.36" + } + }, + "n139": { + "hide_name": 0, + "bits": [ 2597 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:163.32-163.36" + } + }, + "n13a": { + "hide_name": 0, + "bits": [ 2598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:164.32-164.36" + } + }, + "n13b": { + "hide_name": 0, + "bits": [ 2487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:165.32-165.36" + } + }, + "n13c": { + "hide_name": 0, + "bits": [ 2483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:166.32-166.36" + } + }, + "n13d": { + "hide_name": 0, + "bits": [ 3413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:167.32-167.36" + } + }, + "n13e": { + "hide_name": 0, + "bits": [ 3416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:168.32-168.36" + } + }, + "n13f": { + "hide_name": 0, + "bits": [ 2599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:169.32-169.36" + } + }, + "n140": { + "hide_name": 0, + "bits": [ 2600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:170.32-170.36" + } + }, + "n141": { + "hide_name": 0, + "bits": [ 2601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:171.32-171.36" + } + }, + "n142": { + "hide_name": 0, + "bits": [ 3344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:172.32-172.36" + } + }, + "n143": { + "hide_name": 0, + "bits": [ 3417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:173.32-173.36" + } + }, + "n144": { + "hide_name": 0, + "bits": [ 2482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:174.32-174.36" + } + }, + "n145": { + "hide_name": 0, + "bits": [ 3039 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:175.32-175.36" + } + }, + "n146": { + "hide_name": 0, + "bits": [ 3431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:176.32-176.36" + } + }, + "n147": { + "hide_name": 0, + "bits": [ 2490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:177.32-177.36" + } + }, + "n148": { + "hide_name": 0, + "bits": [ 1293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:178.32-178.36" + } + }, + "n149": { + "hide_name": 0, + "bits": [ 1294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:179.32-179.36" + } + }, + "n14a": { + "hide_name": 0, + "bits": [ 1327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:180.32-180.36" + } + }, + "n14b": { + "hide_name": 0, + "bits": [ 1328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:181.32-181.36" + } + }, + "n14c": { + "hide_name": 0, + "bits": [ 1361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:182.32-182.36" + } + }, + "n14d": { + "hide_name": 0, + "bits": [ 1362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:183.32-183.36" + } + }, + "n14e": { + "hide_name": 0, + "bits": [ 1395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:184.32-184.36" + } + }, + "n14f": { + "hide_name": 0, + "bits": [ 1396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:185.32-185.36" + } + }, + "n150": { + "hide_name": 0, + "bits": [ 1429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:186.32-186.36" + } + }, + "n151": { + "hide_name": 0, + "bits": [ 1430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:187.32-187.36" + } + }, + "n152": { + "hide_name": 0, + "bits": [ 919 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:188.32-188.36" + } + }, + "n153": { + "hide_name": 0, + "bits": [ 920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:189.32-189.36" + } + }, + "n154": { + "hide_name": 0, + "bits": [ 953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:190.32-190.36" + } + }, + "n155": { + "hide_name": 0, + "bits": [ 954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:191.32-191.36" + } + }, + "n156": { + "hide_name": 0, + "bits": [ 987 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:192.32-192.36" + } + }, + "n157": { + "hide_name": 0, + "bits": [ 988 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:193.32-193.36" + } + }, + "n158": { + "hide_name": 0, + "bits": [ 1021 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:194.32-194.36" + } + }, + "n159": { + "hide_name": 0, + "bits": [ 1022 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:195.32-195.36" + } + }, + "n15a": { + "hide_name": 0, + "bits": [ 1055 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:196.32-196.36" + } + }, + "n15b": { + "hide_name": 0, + "bits": [ 1056 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:197.32-197.36" + } + }, + "n15c": { + "hide_name": 0, + "bits": [ 1089 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:198.32-198.36" + } + }, + "n15d": { + "hide_name": 0, + "bits": [ 1090 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:199.32-199.36" + } + }, + "n15e": { + "hide_name": 0, + "bits": [ 1669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:200.32-200.36" + } + }, + "n15f": { + "hide_name": 0, + "bits": [ 1670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:201.32-201.36" + } + }, + "n160": { + "hide_name": 0, + "bits": [ 1703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:202.32-202.36" + } + }, + "n161": { + "hide_name": 0, + "bits": [ 1704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:203.32-203.36" + } + }, + "n162": { + "hide_name": 0, + "bits": [ 1737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:204.32-204.36" + } + }, + "n163": { + "hide_name": 0, + "bits": [ 1738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:205.32-205.36" + } + }, + "n164": { + "hide_name": 0, + "bits": [ 1771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:206.32-206.36" + } + }, + "n165": { + "hide_name": 0, + "bits": [ 1772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:207.32-207.36" + } + }, + "n166": { + "hide_name": 0, + "bits": [ 1805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:208.32-208.36" + } + }, + "n167": { + "hide_name": 0, + "bits": [ 1806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:209.32-209.36" + } + }, + "n168": { + "hide_name": 0, + "bits": [ 1839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:210.32-210.36" + } + }, + "n169": { + "hide_name": 0, + "bits": [ 1840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:211.32-211.36" + } + }, + "n16a": { + "hide_name": 0, + "bits": [ 1873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:212.32-212.36" + } + }, + "n16b": { + "hide_name": 0, + "bits": [ 1874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:213.32-213.36" + } + }, + "n16c": { + "hide_name": 0, + "bits": [ 1907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:214.32-214.36" + } + }, + "n16d": { + "hide_name": 0, + "bits": [ 1908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:215.32-215.36" + } + }, + "n16e": { + "hide_name": 0, + "bits": [ 1941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:216.32-216.36" + } + }, + "n16f": { + "hide_name": 0, + "bits": [ 1942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:217.32-217.36" + } + }, + "n170": { + "hide_name": 0, + "bits": [ 2479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:218.32-218.36" + } + }, + "n171": { + "hide_name": 0, + "bits": [ 2477 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:219.32-219.36" + } + }, + "n172": { + "hide_name": 0, + "bits": [ 3446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:220.32-220.36" + } + }, + "n173": { + "hide_name": 0, + "bits": [ 3445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:221.32-221.36" + } + }, + "n174": { + "hide_name": 0, + "bits": [ 2453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:222.32-222.36" + } + }, + "n175": { + "hide_name": 0, + "bits": [ 2455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:223.32-223.36" + } + }, + "n176": { + "hide_name": 0, + "bits": [ 2475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:224.32-224.36" + } + }, + "n177": { + "hide_name": 0, + "bits": [ 3441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:225.32-225.36" + } + }, + "n178": { + "hide_name": 0, + "bits": [ 3444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:226.32-226.36" + } + }, + "n179": { + "hide_name": 0, + "bits": [ 3238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:227.32-227.36" + } + }, + "n17a": { + "hide_name": 0, + "bits": [ 3096 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:228.32-228.36" + } + }, + "n17b": { + "hide_name": 0, + "bits": [ 2451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:229.32-229.36" + } + }, + "n17c": { + "hide_name": 0, + "bits": [ 2473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:230.32-230.36" + } + }, + "n17d": { + "hide_name": 0, + "bits": [ 3457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:231.32-231.36" + } + }, + "n17e": { + "hide_name": 0, + "bits": [ 3458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:232.32-232.36" + } + }, + "n17f": { + "hide_name": 0, + "bits": [ 2876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:233.32-233.36" + } + }, + "n180": { + "hide_name": 0, + "bits": [ 2472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:234.32-234.36" + } + }, + "n181": { + "hide_name": 0, + "bits": [ 2857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:235.32-235.36" + } + }, + "n182": { + "hide_name": 0, + "bits": [ 3088 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:236.32-236.36" + } + }, + "n183": { + "hide_name": 0, + "bits": [ 2474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:237.32-237.36" + } + }, + "n184": { + "hide_name": 0, + "bits": [ 2606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:238.32-238.36" + } + }, + "n185": { + "hide_name": 0, + "bits": [ 2877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:239.32-239.36" + } + }, + "n186": { + "hide_name": 0, + "bits": [ 3216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:240.32-240.36" + } + }, + "n187": { + "hide_name": 0, + "bits": [ 2604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:241.32-241.36" + } + }, + "n188": { + "hide_name": 0, + "bits": [ 2605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:242.32-242.36" + } + }, + "n189": { + "hide_name": 0, + "bits": [ 2602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:243.32-243.36" + } + }, + "n18a": { + "hide_name": 0, + "bits": [ 2603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:244.32-244.36" + } + }, + "n18b": { + "hide_name": 0, + "bits": [ 2454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:245.32-245.36" + } + }, + "n18c": { + "hide_name": 0, + "bits": [ 2449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:246.32-246.36" + } + }, + "n18d": { + "hide_name": 0, + "bits": [ 2476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:247.32-247.36" + } + }, + "n18e": { + "hide_name": 0, + "bits": [ 3106 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:248.32-248.36" + } + }, + "n18f": { + "hide_name": 0, + "bits": [ 2478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:249.32-249.36" + } + }, + "n190": { + "hide_name": 0, + "bits": [ 3492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:250.32-250.36" + } + }, + "n191": { + "hide_name": 0, + "bits": [ 2448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:251.32-251.36" + } + }, + "n192": { + "hide_name": 0, + "bits": [ 2452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:252.32-252.36" + } + }, + "n193": { + "hide_name": 0, + "bits": [ 1975 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:253.32-253.36" + } + }, + "n194": { + "hide_name": 0, + "bits": [ 1976 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:254.32-254.36" + } + }, + "n195": { + "hide_name": 0, + "bits": [ 1465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:255.32-255.36" + } + }, + "n196": { + "hide_name": 0, + "bits": [ 1466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:256.32-256.36" + } + }, + "n197": { + "hide_name": 0, + "bits": [ 1499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:257.32-257.36" + } + }, + "n198": { + "hide_name": 0, + "bits": [ 1500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:258.32-258.36" + } + }, + "n199": { + "hide_name": 0, + "bits": [ 1533 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:259.32-259.36" + } + }, + "n19a": { + "hide_name": 0, + "bits": [ 1534 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:260.32-260.36" + } + }, + "n19b": { + "hide_name": 0, + "bits": [ 1567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:261.32-261.36" + } + }, + "n19c": { + "hide_name": 0, + "bits": [ 1568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:262.32-262.36" + } + }, + "n19d": { + "hide_name": 0, + "bits": [ 1601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:263.32-263.36" + } + }, + "n19e": { + "hide_name": 0, + "bits": [ 1602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:264.32-264.36" + } + }, + "n19f": { + "hide_name": 0, + "bits": [ 1635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:265.32-265.36" + } + }, + "n1a0": { + "hide_name": 0, + "bits": [ 1636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:266.32-266.36" + } + }, + "n1a1": { + "hide_name": 0, + "bits": [ 2057 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:267.32-267.36" + } + }, + "n1a2": { + "hide_name": 0, + "bits": [ 2058 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:268.32-268.36" + } + }, + "n1a3": { + "hide_name": 0, + "bits": [ 3493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:269.32-269.36" + } + }, + "n1a4": { + "hide_name": 0, + "bits": [ 2092 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:270.32-270.36" + } + }, + "n1a5": { + "hide_name": 0, + "bits": [ 2471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:271.32-271.36" + } + }, + "n1a6": { + "hide_name": 0, + "bits": [ 2469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:272.32-272.36" + } + }, + "n1a7": { + "hide_name": 0, + "bits": [ 2114 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:273.32-273.36" + } + }, + "n1a8": { + "hide_name": 0, + "bits": [ 3236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:274.32-274.36" + } + }, + "n1a9": { + "hide_name": 0, + "bits": [ 3089 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:275.32-275.36" + } + }, + "n1aa": { + "hide_name": 0, + "bits": [ 2607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:276.32-276.36" + } + }, + "n1ab": { + "hide_name": 0, + "bits": [ 3494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:277.32-277.36" + } + }, + "n1ac": { + "hide_name": 0, + "bits": [ 3237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:278.32-278.36" + } + }, + "n1ad": { + "hide_name": 0, + "bits": [ 2467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:279.32-279.36" + } + }, + "n1ae": { + "hide_name": 0, + "bits": [ 3480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:280.32-280.36" + } + }, + "n1af": { + "hide_name": 0, + "bits": [ 3481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:281.32-281.36" + } + }, + "n1b0": { + "hide_name": 0, + "bits": [ 3495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:282.32-282.36" + } + }, + "n1b1": { + "hide_name": 0, + "bits": [ 3240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:283.32-283.36" + } + }, + "n1b2": { + "hide_name": 0, + "bits": [ 2878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:284.32-284.36" + } + }, + "n1b3": { + "hide_name": 0, + "bits": [ 3496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:285.32-285.36" + } + }, + "n1b4": { + "hide_name": 0, + "bits": [ 3497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:286.32-286.36" + } + }, + "n1b5": { + "hide_name": 0, + "bits": [ 2450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:287.32-287.36" + } + }, + "n1b6": { + "hide_name": 0, + "bits": [ 3090 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:288.32-288.36" + } + }, + "n1b7": { + "hide_name": 0, + "bits": [ 3239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:289.32-289.36" + } + }, + "n1b8": { + "hide_name": 0, + "bits": [ 2609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:290.32-290.36" + } + }, + "n1b9": { + "hide_name": 0, + "bits": [ 2610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:291.32-291.36" + } + }, + "n1ba": { + "hide_name": 0, + "bits": [ 2879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:292.32-292.36" + } + }, + "n1bb": { + "hide_name": 0, + "bits": [ 2880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:293.32-293.36" + } + }, + "n1bc": { + "hide_name": 0, + "bits": [ 2882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:294.32-294.36" + } + }, + "n1bd": { + "hide_name": 0, + "bits": [ 2881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:295.32-295.36" + } + }, + "n1be": { + "hide_name": 0, + "bits": [ 2470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:296.32-296.36" + } + }, + "n1bf": { + "hide_name": 0, + "bits": [ 2468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:297.32-297.36" + } + }, + "n1c0": { + "hide_name": 0, + "bits": [ 2091 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:298.32-298.36" + } + }, + "n1c1": { + "hide_name": 0, + "bits": [ 2113 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:299.32-299.36" + } + }, + "n1c2": { + "hide_name": 0, + "bits": [ 2140 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:300.32-300.36" + } + }, + "n1c3": { + "hide_name": 0, + "bits": [ 2141 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:301.32-301.36" + } + }, + "n1c4": { + "hide_name": 0, + "bits": [ 2174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:302.32-302.36" + } + }, + "n1c5": { + "hide_name": 0, + "bits": [ 2175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:303.32-303.36" + } + }, + "n1c6": { + "hide_name": 0, + "bits": [ 2208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:304.32-304.36" + } + }, + "n1c7": { + "hide_name": 0, + "bits": [ 2209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:305.32-305.36" + } + }, + "n1c8": { + "hide_name": 0, + "bits": [ 2242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:306.32-306.36" + } + }, + "n1c9": { + "hide_name": 0, + "bits": [ 2243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:307.32-307.36" + } + }, + "n1ca": { + "hide_name": 0, + "bits": [ 2276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:308.32-308.36" + } + }, + "n1cb": { + "hide_name": 0, + "bits": [ 2277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:309.32-309.36" + } + }, + "n1cc": { + "hide_name": 0, + "bits": [ 2310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:310.32-310.36" + } + }, + "n1cd": { + "hide_name": 0, + "bits": [ 2311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:311.32-311.36" + } + }, + "n1ce": { + "hide_name": 0, + "bits": [ 2344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:312.32-312.36" + } + }, + "n1cf": { + "hide_name": 0, + "bits": [ 2345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:313.32-313.36" + } + }, + "n1d0": { + "hide_name": 0, + "bits": [ 2011 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:314.32-314.36" + } + }, + "n1d1": { + "hide_name": 0, + "bits": [ 2012 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:315.32-315.36" + } + }, + "n1d2": { + "hide_name": 0, + "bits": [ 2045 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:316.32-316.36" + } + }, + "n1d3": { + "hide_name": 0, + "bits": [ 2046 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:317.32-317.36" + } + }, + "n1d4": { + "hide_name": 0, + "bits": [ 2049 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:318.32-318.36" + } + }, + "n1d5": { + "hide_name": 0, + "bits": [ 2050 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:319.32-319.36" + } + }, + "n1d6": { + "hide_name": 0, + "bits": [ 2051 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:320.32-320.36" + } + }, + "n1d7": { + "hide_name": 0, + "bits": [ 2052 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:321.32-321.36" + } + }, + "n1d8": { + "hide_name": 0, + "bits": [ 2053 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:322.32-322.36" + } + }, + "n1d9": { + "hide_name": 0, + "bits": [ 2054 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:323.32-323.36" + } + }, + "n1da": { + "hide_name": 0, + "bits": [ 2055 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:324.32-324.36" + } + }, + "n1db": { + "hide_name": 0, + "bits": [ 2056 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:325.32-325.36" + } + }, + "n1dc": { + "hide_name": 0, + "bits": [ 2392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:326.32-326.36" + } + }, + "n1dd": { + "hide_name": 0, + "bits": [ 2393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:327.32-327.36" + } + }, + "n1de": { + "hide_name": 0, + "bits": [ 2394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:328.32-328.36" + } + }, + "n1df": { + "hide_name": 0, + "bits": [ 2395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:329.32-329.36" + } + }, + "n1e0": { + "hide_name": 0, + "bits": [ 2396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:330.32-330.36" + } + }, + "n1e1": { + "hide_name": 0, + "bits": [ 2397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:331.32-331.36" + } + }, + "n1e2": { + "hide_name": 0, + "bits": [ 2398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:332.32-332.36" + } + }, + "n1e3": { + "hide_name": 0, + "bits": [ 2399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:333.32-333.36" + } + }, + "n1e4": { + "hide_name": 0, + "bits": [ 2400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:334.32-334.36" + } + }, + "n1e5": { + "hide_name": 0, + "bits": [ 2401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:335.32-335.36" + } + }, + "n1e6": { + "hide_name": 0, + "bits": [ 2402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:336.32-336.36" + } + }, + "n1e7": { + "hide_name": 0, + "bits": [ 2403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:337.32-337.36" + } + }, + "n1e8": { + "hide_name": 0, + "bits": [ 2404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:338.32-338.36" + } + }, + "n1e9": { + "hide_name": 0, + "bits": [ 2405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:339.32-339.36" + } + }, + "n1ea": { + "hide_name": 0, + "bits": [ 2406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:340.32-340.36" + } + }, + "n1eb": { + "hide_name": 0, + "bits": [ 2407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:341.32-341.36" + } + }, + "n1ec": { + "hide_name": 0, + "bits": [ 2408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:342.32-342.36" + } + }, + "n1ed": { + "hide_name": 0, + "bits": [ 2409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:343.32-343.36" + } + }, + "n1ee": { + "hide_name": 0, + "bits": [ 2410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:344.32-344.36" + } + }, + "n1ef": { + "hide_name": 0, + "bits": [ 2411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:345.32-345.36" + } + }, + "n1f0": { + "hide_name": 0, + "bits": [ 2380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:346.32-346.36" + } + }, + "n1f1": { + "hide_name": 0, + "bits": [ 2381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:347.32-347.36" + } + }, + "n1f2": { + "hide_name": 0, + "bits": [ 2382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:348.32-348.36" + } + }, + "n1f3": { + "hide_name": 0, + "bits": [ 2383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:349.32-349.36" + } + }, + "n1f4": { + "hide_name": 0, + "bits": [ 2384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:350.32-350.36" + } + }, + "n1f5": { + "hide_name": 0, + "bits": [ 2385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:351.32-351.36" + } + }, + "n1f6": { + "hide_name": 0, + "bits": [ 2386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:352.32-352.36" + } + }, + "n1f7": { + "hide_name": 0, + "bits": [ 2387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:353.32-353.36" + } + }, + "n1f8": { + "hide_name": 0, + "bits": [ 2388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:354.32-354.36" + } + }, + "n1f9": { + "hide_name": 0, + "bits": [ 2389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:355.32-355.36" + } + }, + "n1fa": { + "hide_name": 0, + "bits": [ 2390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:356.32-356.36" + } + }, + "n1fb": { + "hide_name": 0, + "bits": [ 2391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:357.32-357.36" + } + }, + "n1fc": { + "hide_name": 0, + "bits": [ 2426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:358.32-358.36" + } + }, + "n1fd": { + "hide_name": 0, + "bits": [ 2427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:359.32-359.36" + } + }, + "n1fe": { + "hide_name": 0, + "bits": [ 2428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:360.32-360.36" + } + }, + "n1ff": { + "hide_name": 0, + "bits": [ 2429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:361.32-361.36" + } + }, + "n200": { + "hide_name": 0, + "bits": [ 2430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:362.32-362.36" + } + }, + "n201": { + "hide_name": 0, + "bits": [ 2431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:363.32-363.36" + } + }, + "n202": { + "hide_name": 0, + "bits": [ 2432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:364.32-364.36" + } + }, + "n203": { + "hide_name": 0, + "bits": [ 2433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:365.32-365.36" + } + }, + "n204": { + "hide_name": 0, + "bits": [ 2434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:366.32-366.36" + } + }, + "n205": { + "hide_name": 0, + "bits": [ 2435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:367.32-367.36" + } + }, + "n206": { + "hide_name": 0, + "bits": [ 2436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:368.32-368.36" + } + }, + "n207": { + "hide_name": 0, + "bits": [ 2437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:369.32-369.36" + } + }, + "n208": { + "hide_name": 0, + "bits": [ 2438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:370.32-370.36" + } + }, + "n209": { + "hide_name": 0, + "bits": [ 2439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:371.32-371.36" + } + }, + "n20a": { + "hide_name": 0, + "bits": [ 2440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:372.32-372.36" + } + }, + "n20b": { + "hide_name": 0, + "bits": [ 2441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:373.32-373.36" + } + }, + "n20c": { + "hide_name": 0, + "bits": [ 2442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:374.32-374.36" + } + }, + "n20d": { + "hide_name": 0, + "bits": [ 2443 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:375.32-375.36" + } + }, + "n20e": { + "hide_name": 0, + "bits": [ 2419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:376.32-376.36" + } + }, + "n20f": { + "hide_name": 0, + "bits": [ 2421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:377.32-377.36" + } + }, + "n210": { + "hide_name": 0, + "bits": [ 2883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:378.32-378.36" + } + }, + "n211": { + "hide_name": 0, + "bits": [ 2463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:379.32-379.36" + } + }, + "n212": { + "hide_name": 0, + "bits": [ 2425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:380.32-380.36" + } + }, + "n213": { + "hide_name": 0, + "bits": [ 3498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:381.32-381.36" + } + }, + "n214": { + "hide_name": 0, + "bits": [ 3482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:382.32-382.36" + } + }, + "n215": { + "hide_name": 0, + "bits": [ 2615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:383.32-383.36" + } + }, + "n216": { + "hide_name": 0, + "bits": [ 2415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:384.32-384.36" + } + }, + "n217": { + "hide_name": 0, + "bits": [ 2461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:385.32-385.36" + } + }, + "n218": { + "hide_name": 0, + "bits": [ 3483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:386.32-386.36" + } + }, + "n219": { + "hide_name": 0, + "bits": [ 3484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:387.32-387.36" + } + }, + "n21a": { + "hide_name": 0, + "bits": [ 3241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:388.32-388.36" + } + }, + "n21b": { + "hide_name": 0, + "bits": [ 2423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:389.32-389.36" + } + }, + "n21c": { + "hide_name": 0, + "bits": [ 2887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:390.32-390.36" + } + }, + "n21d": { + "hide_name": 0, + "bits": [ 2888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:391.32-391.36" + } + }, + "n21e": { + "hide_name": 0, + "bits": [ 2460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:392.32-392.36" + } + }, + "n21f": { + "hide_name": 0, + "bits": [ 2611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:393.32-393.36" + } + }, + "n220": { + "hide_name": 0, + "bits": [ 3091 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:394.32-394.36" + } + }, + "n221": { + "hide_name": 0, + "bits": [ 2613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:395.32-395.36" + } + }, + "n222": { + "hide_name": 0, + "bits": [ 3092 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:396.32-396.36" + } + }, + "n223": { + "hide_name": 0, + "bits": [ 2612 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:397.32-397.36" + } + }, + "n224": { + "hide_name": 0, + "bits": [ 2884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:398.32-398.36" + } + }, + "n225": { + "hide_name": 0, + "bits": [ 2885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:399.32-399.36" + } + }, + "n226": { + "hide_name": 0, + "bits": [ 2424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:400.32-400.36" + } + }, + "n227": { + "hide_name": 0, + "bits": [ 2444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:401.32-401.36" + } + }, + "n228": { + "hide_name": 0, + "bits": [ 2422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:402.32-402.36" + } + }, + "n229": { + "hide_name": 0, + "bits": [ 2418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:403.32-403.36" + } + }, + "n22a": { + "hide_name": 0, + "bits": [ 3246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:404.32-404.36" + } + }, + "n22b": { + "hide_name": 0, + "bits": [ 2417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:405.32-405.36" + } + }, + "n22c": { + "hide_name": 0, + "bits": [ 3094 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:406.32-406.36" + } + }, + "n22d": { + "hide_name": 0, + "bits": [ 2614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:407.32-407.36" + } + }, + "n22e": { + "hide_name": 0, + "bits": [ 2619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:408.32-408.36" + } + }, + "n22f": { + "hide_name": 0, + "bits": [ 2420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:409.32-409.36" + } + }, + "n230": { + "hide_name": 0, + "bits": [ 2414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:410.32-410.36" + } + }, + "n231": { + "hide_name": 0, + "bits": [ 2895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:411.32-411.36" + } + }, + "n232": { + "hide_name": 0, + "bits": [ 2896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:412.32-412.36" + } + }, + "n233": { + "hide_name": 0, + "bits": [ 3243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:413.32-413.36" + } + }, + "n234": { + "hide_name": 0, + "bits": [ 3245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:414.32-414.36" + } + }, + "n235": { + "hide_name": 0, + "bits": [ 3247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:415.32-415.36" + } + }, + "n236": { + "hide_name": 0, + "bits": [ 3499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:416.32-416.36" + } + }, + "n237": { + "hide_name": 0, + "bits": [ 2893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:417.32-417.36" + } + }, + "n238": { + "hide_name": 0, + "bits": [ 2892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:418.32-418.36" + } + }, + "n239": { + "hide_name": 0, + "bits": [ 2618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:419.32-419.36" + } + }, + "n23a": { + "hide_name": 0, + "bits": [ 2465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:420.32-420.36" + } + }, + "n23b": { + "hide_name": 0, + "bits": [ 2445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:421.32-421.36" + } + }, + "n23c": { + "hide_name": 0, + "bits": [ 3485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:422.32-422.36" + } + }, + "n23d": { + "hide_name": 0, + "bits": [ 2890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:423.32-423.36" + } + }, + "n23e": { + "hide_name": 0, + "bits": [ 2617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:424.32-424.36" + } + }, + "n23f": { + "hide_name": 0, + "bits": [ 3500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:425.32-425.36" + } + }, + "n240": { + "hide_name": 0, + "bits": [ 2616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:426.32-426.36" + } + }, + "n241": { + "hide_name": 0, + "bits": [ 2894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:427.32-427.36" + } + }, + "n242": { + "hide_name": 0, + "bits": [ 2891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:428.32-428.36" + } + }, + "n243": { + "hide_name": 0, + "bits": [ 3242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:429.32-429.36" + } + }, + "n244": { + "hide_name": 0, + "bits": [ 2889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:430.32-430.36" + } + }, + "n245": { + "hide_name": 0, + "bits": [ 2416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:431.32-431.36" + } + }, + "n246": { + "hide_name": 0, + "bits": [ 2897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:432.32-432.36" + } + }, + "n247": { + "hide_name": 0, + "bits": [ 3095 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:433.32-433.36" + } + }, + "n248": { + "hide_name": 0, + "bits": [ 2462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:434.32-434.36" + } + }, + "n249": { + "hide_name": 0, + "bits": [ 3248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:435.32-435.36" + } + }, + "n24a": { + "hide_name": 0, + "bits": [ 2464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:436.32-436.36" + } + }, + "n24b": { + "hide_name": 0, + "bits": [ 2898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:437.32-437.36" + } + }, + "n24c": { + "hide_name": 0, + "bits": [ 3100 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:438.32-438.36" + } + }, + "n24d": { + "hide_name": 0, + "bits": [ 2620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:439.32-439.36" + } + }, + "n24e": { + "hide_name": 0, + "bits": [ 3250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:440.32-440.36" + } + }, + "n24f": { + "hide_name": 0, + "bits": [ 3501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:441.32-441.36" + } + }, + "n250": { + "hide_name": 0, + "bits": [ 3099 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:442.32-442.36" + } + }, + "n251": { + "hide_name": 0, + "bits": [ 3098 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:443.32-443.36" + } + }, + "n252": { + "hide_name": 0, + "bits": [ 3249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:444.32-444.36" + } + }, + "n253": { + "hide_name": 0, + "bits": [ 3097 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:445.32-445.36" + } + }, + "n254": { + "hide_name": 0, + "bits": [ 2466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:446.32-446.36" + } + }, + "n255": { + "hide_name": 0, + "bits": [ 2457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:447.32-447.36" + } + }, + "n256": { + "hide_name": 0, + "bits": [ 3502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:448.32-448.36" + } + }, + "n257": { + "hide_name": 0, + "bits": [ 2621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:449.32-449.36" + } + }, + "n258": { + "hide_name": 0, + "bits": [ 2497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:450.32-450.36" + } + }, + "n259": { + "hide_name": 0, + "bits": [ 2507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:451.32-451.36" + } + }, + "n25a": { + "hide_name": 0, + "bits": [ 2626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:452.32-452.36" + } + }, + "n25b": { + "hide_name": 0, + "bits": [ 2503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:453.32-453.36" + } + }, + "n25c": { + "hide_name": 0, + "bits": [ 2505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:454.32-454.36" + } + }, + "n25d": { + "hide_name": 0, + "bits": [ 2459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:455.32-455.36" + } + }, + "n25e": { + "hide_name": 0, + "bits": [ 3251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:456.32-456.36" + } + }, + "n25f": { + "hide_name": 0, + "bits": [ 2622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:457.32-457.36" + } + }, + "n260": { + "hide_name": 0, + "bits": [ 3101 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:458.32-458.36" + } + }, + "n261": { + "hide_name": 0, + "bits": [ 3105 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:459.32-459.36" + } + }, + "n262": { + "hide_name": 0, + "bits": [ 2456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:460.32-460.36" + } + }, + "n263": { + "hide_name": 0, + "bits": [ 2627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:461.32-461.36" + } + }, + "n264": { + "hide_name": 0, + "bits": [ 2623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:462.32-462.36" + } + }, + "n265": { + "hide_name": 0, + "bits": [ 2901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:463.32-463.36" + } + }, + "n266": { + "hide_name": 0, + "bits": [ 3102 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:464.32-464.36" + } + }, + "n267": { + "hide_name": 0, + "bits": [ 2509 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:465.32-465.36" + } + }, + "n268": { + "hide_name": 0, + "bits": [ 2499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:466.32-466.36" + } + }, + "n269": { + "hide_name": 0, + "bits": [ 2513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:467.32-467.36" + } + }, + "n26a": { + "hide_name": 0, + "bits": [ 2495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:468.32-468.36" + } + }, + "n26b": { + "hide_name": 0, + "bits": [ 2625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:469.32-469.36" + } + }, + "n26c": { + "hide_name": 0, + "bits": [ 2511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:470.32-470.36" + } + }, + "n26d": { + "hide_name": 0, + "bits": [ 3103 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:471.32-471.36" + } + }, + "n26e": { + "hide_name": 0, + "bits": [ 3503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:472.32-472.36" + } + }, + "n26f": { + "hide_name": 0, + "bits": [ 2902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:473.32-473.36" + } + }, + "n270": { + "hide_name": 0, + "bits": [ 2508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:474.32-474.36" + } + }, + "n271": { + "hide_name": 0, + "bits": [ 2512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:475.32-475.36" + } + }, + "n272": { + "hide_name": 0, + "bits": [ 2500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:476.32-476.36" + } + }, + "n273": { + "hide_name": 0, + "bits": [ 2458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:477.32-477.36" + } + }, + "n274": { + "hide_name": 0, + "bits": [ 2502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:478.32-478.36" + } + }, + "n275": { + "hide_name": 0, + "bits": [ 2494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:479.32-479.36" + } + }, + "n276": { + "hide_name": 0, + "bits": [ 2501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:480.32-480.36" + } + }, + "n277": { + "hide_name": 0, + "bits": [ 2506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:481.32-481.36" + } + }, + "n278": { + "hide_name": 0, + "bits": [ 2510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:482.32-482.36" + } + }, + "n279": { + "hide_name": 0, + "bits": [ 2504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:483.32-483.36" + } + }, + "n27a": { + "hide_name": 0, + "bits": [ 2498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:484.32-484.36" + } + }, + "n27b": { + "hide_name": 0, + "bits": [ 2496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:485.32-485.36" + } + }, + "n27c": { + "hide_name": 0, + "bits": [ 2528 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:486.32-486.36" + } + }, + "n27d": { + "hide_name": 0, + "bits": [ 2529 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:487.32-487.36" + } + }, + "n27e": { + "hide_name": 0, + "bits": [ 2530 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:488.32-488.36" + } + }, + "n27f": { + "hide_name": 0, + "bits": [ 2531 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:489.32-489.36" + } + }, + "n280": { + "hide_name": 0, + "bits": [ 3252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:490.32-490.36" + } + }, + "n281": { + "hide_name": 0, + "bits": [ 3504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:491.32-491.36" + } + }, + "n282": { + "hide_name": 0, + "bits": [ 3107 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:492.32-492.36" + } + }, + "n283": { + "hide_name": 0, + "bits": [ 3253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:493.32-493.36" + } + }, + "n284": { + "hide_name": 0, + "bits": [ 2905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:494.32-494.36" + } + }, + "n285": { + "hide_name": 0, + "bits": [ 3108 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:495.32-495.36" + } + }, + "n286": { + "hide_name": 0, + "bits": [ 2903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:496.32-496.36" + } + }, + "n287": { + "hide_name": 0, + "bits": [ 2904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:497.32-497.36" + } + }, + "n288": { + "hide_name": 0, + "bits": [ 3505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:498.32-498.36" + } + }, + "n289": { + "hide_name": 0, + "bits": [ 3109 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:499.32-499.36" + } + }, + "n28a": { + "hide_name": 0, + "bits": [ 2628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:500.32-500.36" + } + }, + "n28b": { + "hide_name": 0, + "bits": [ 2533 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:501.32-501.36" + } + }, + "n28c": { + "hide_name": 0, + "bits": [ 2630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:502.32-502.36" + } + }, + "n28d": { + "hide_name": 0, + "bits": [ 2629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:503.32-503.36" + } + }, + "n28e": { + "hide_name": 0, + "bits": [ 3254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:504.32-504.36" + } + }, + "n28f": { + "hide_name": 0, + "bits": [ 3110 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:505.32-505.36" + } + }, + "n290": { + "hide_name": 0, + "bits": [ 2906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:506.32-506.36" + } + }, + "n291": { + "hide_name": 0, + "bits": [ 3255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:507.32-507.36" + } + }, + "n292": { + "hide_name": 0, + "bits": [ 2532 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:508.32-508.36" + } + }, + "n293": { + "hide_name": 0, + "bits": [ 2534 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:509.32-509.36" + } + }, + "n294": { + "hide_name": 0, + "bits": [ 2536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:510.32-510.36" + } + }, + "n295": { + "hide_name": 0, + "bits": [ 2537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:511.32-511.36" + } + }, + "n296": { + "hide_name": 0, + "bits": [ 2540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:512.32-512.36" + } + }, + "n297": { + "hide_name": 0, + "bits": [ 2910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:513.32-513.36" + } + }, + "n298": { + "hide_name": 0, + "bits": [ 2542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:514.32-514.36" + } + }, + "n299": { + "hide_name": 0, + "bits": [ 2538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:515.32-515.36" + } + }, + "n29a": { + "hide_name": 0, + "bits": [ 2539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:516.32-516.36" + } + }, + "n29b": { + "hide_name": 0, + "bits": [ 2541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:517.32-517.36" + } + }, + "n29c": { + "hide_name": 0, + "bits": [ 2543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:518.32-518.36" + } + }, + "n29d": { + "hide_name": 0, + "bits": [ 3119 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:519.32-519.36" + } + }, + "n29e": { + "hide_name": 0, + "bits": [ 3268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:520.32-520.36" + } + }, + "n29f": { + "hide_name": 0, + "bits": [ 3472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:521.32-521.36" + } + }, + "n2a0": { + "hide_name": 0, + "bits": [ 2544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:522.32-522.36" + } + }, + "n2a1": { + "hide_name": 0, + "bits": [ 2545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:523.32-523.36" + } + }, + "n2a2": { + "hide_name": 0, + "bits": [ 2516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:524.32-524.36" + } + }, + "n2a3": { + "hide_name": 0, + "bits": [ 2517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:525.32-525.36" + } + }, + "n2a4": { + "hide_name": 0, + "bits": [ 2518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:526.32-526.36" + } + }, + "n2a5": { + "hide_name": 0, + "bits": [ 2519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:527.32-527.36" + } + }, + "n2a6": { + "hide_name": 0, + "bits": [ 2520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:528.32-528.36" + } + }, + "n2a7": { + "hide_name": 0, + "bits": [ 2521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:529.32-529.36" + } + }, + "n2a8": { + "hide_name": 0, + "bits": [ 2522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:530.32-530.36" + } + }, + "n2a9": { + "hide_name": 0, + "bits": [ 2523 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:531.32-531.36" + } + }, + "n2aa": { + "hide_name": 0, + "bits": [ 2524 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:532.32-532.36" + } + }, + "n2ab": { + "hide_name": 0, + "bits": [ 2525 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:533.32-533.36" + } + }, + "n2ac": { + "hide_name": 0, + "bits": [ 2526 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:534.32-534.36" + } + }, + "n2ad": { + "hide_name": 0, + "bits": [ 2527 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:535.32-535.36" + } + }, + "n2ae": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:536.32-536.36" + } + }, + "n2af": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:537.32-537.36" + } + }, + "n2b0": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:538.32-538.36" + } + }, + "n2b1": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:539.32-539.36" + } + }, + "n2b2": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:540.32-540.36" + } + }, + "n2b3": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:541.32-541.36" + } + }, + "n2b4": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:542.32-542.36" + } + }, + "n2b5": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:543.32-543.36" + } + }, + "n2b6": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:544.32-544.36" + } + }, + "n2b7": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:545.32-545.36" + } + }, + "n2b8": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:546.32-546.36" + } + }, + "n2b9": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:547.32-547.36" + } + }, + "n2ba": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:548.32-548.36" + } + }, + "n2bb": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:549.32-549.36" + } + }, + "n2bc": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:550.32-550.36" + } + }, + "n2bd": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:551.32-551.36" + } + }, + "n2be": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:552.32-552.36" + } + }, + "n2bf": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:553.32-553.36" + } + }, + "n2c0": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:554.32-554.36" + } + }, + "n2c1": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:555.32-555.36" + } + }, + "n2c2": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:556.32-556.36" + } + }, + "n2c3": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:557.32-557.36" + } + }, + "n2c4": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:558.32-558.36" + } + }, + "n2c5": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:559.32-559.36" + } + }, + "n2c6": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:560.32-560.36" + } + }, + "n2c7": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:561.32-561.36" + } + }, + "n2c8": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:562.32-562.36" + } + }, + "n2c9": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:563.32-563.36" + } + }, + "n2ca": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:564.32-564.36" + } + }, + "n2cb": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:565.32-565.36" + } + }, + "n2cc": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:566.32-566.36" + } + }, + "n2cd": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:567.32-567.36" + } + }, + "n2ce": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:568.32-568.36" + } + }, + "n2cf": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:569.32-569.36" + } + }, + "n2d0": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:570.32-570.36" + } + }, + "n2d1": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:571.32-571.36" + } + }, + "n2d2": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:572.32-572.36" + } + }, + "n2d3": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:573.32-573.36" + } + }, + "n2d4": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:574.32-574.36" + } + }, + "n2d5": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:575.32-575.36" + } + }, + "n2d6": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:576.32-576.36" + } + }, + "n2d7": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:577.32-577.36" + } + }, + "n2d8": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:578.32-578.36" + } + }, + "n2d9": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:579.32-579.36" + } + }, + "n2da": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:580.32-580.36" + } + }, + "n2db": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:581.32-581.36" + } + }, + "n2dc": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:582.32-582.36" + } + }, + "n2dd": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:583.32-583.36" + } + }, + "n2de": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:584.32-584.36" + } + }, + "n2df": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:585.32-585.36" + } + }, + "n2e0": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:586.32-586.36" + } + }, + "n2e1": { + "hide_name": 0, + "bits": [ 3256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:587.32-587.36" + } + }, + "n2e2": { + "hide_name": 0, + "bits": [ 2646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:588.32-588.36" + } + }, + "n2e3": { + "hide_name": 0, + "bits": [ 2644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:589.32-589.36" + } + }, + "n2e4": { + "hide_name": 0, + "bits": [ 2643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:590.32-590.36" + } + }, + "n2e5": { + "hide_name": 0, + "bits": [ 2907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:591.32-591.36" + } + }, + "n2e6": { + "hide_name": 0, + "bits": [ 3258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:592.32-592.36" + } + }, + "n2e7": { + "hide_name": 0, + "bits": [ 2631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:593.32-593.36" + } + }, + "n2e8": { + "hide_name": 0, + "bits": [ 2640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:594.32-594.36" + } + }, + "n2e9": { + "hide_name": 0, + "bits": [ 2911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:595.32-595.36" + } + }, + "n2ea": { + "hide_name": 0, + "bits": [ 2909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:596.32-596.36" + } + }, + "n2eb": { + "hide_name": 0, + "bits": [ 2632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:597.32-597.36" + } + }, + "n2ec": { + "hide_name": 0, + "bits": [ 2633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:598.32-598.36" + } + }, + "n2ed": { + "hide_name": 0, + "bits": [ 2642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:599.32-599.36" + } + }, + "n2ee": { + "hide_name": 0, + "bits": [ 3257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:600.32-600.36" + } + }, + "n2ef": { + "hide_name": 0, + "bits": [ 2634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:601.32-601.36" + } + }, + "n2f0": { + "hide_name": 0, + "bits": [ 2635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:602.32-602.36" + } + }, + "n2f1": { + "hide_name": 0, + "bits": [ 2908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:603.32-603.36" + } + }, + "n2f2": { + "hide_name": 0, + "bits": [ 3111 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:604.32-604.36" + } + }, + "n2f3": { + "hide_name": 0, + "bits": [ 3506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:605.32-605.36" + } + }, + "n2f4": { + "hide_name": 0, + "bits": [ 2638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:606.32-606.36" + } + }, + "n2f5": { + "hide_name": 0, + "bits": [ 2636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:607.32-607.36" + } + }, + "n2f6": { + "hide_name": 0, + "bits": [ 2637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:608.32-608.36" + } + }, + "n2f7": { + "hide_name": 0, + "bits": [ 2639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:609.32-609.36" + } + }, + "n2f8": { + "hide_name": 0, + "bits": [ 3486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:610.32-610.36" + } + }, + "n2f9": { + "hide_name": 0, + "bits": [ 2650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:611.32-611.36" + } + }, + "n2fa": { + "hide_name": 0, + "bits": [ 2912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:612.32-612.36" + } + }, + "n2fb": { + "hide_name": 0, + "bits": [ 2653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:613.32-613.36" + } + }, + "n2fc": { + "hide_name": 0, + "bits": [ 2645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:614.32-614.36" + } + }, + "n2fd": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:615.32-615.36" + } + }, + "n2fe": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:616.32-616.36" + } + }, + "n2ff": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:617.32-617.36" + } + }, + "n300": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:618.32-618.36" + } + }, + "n301": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:619.32-619.36" + } + }, + "n302": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:620.32-620.36" + } + }, + "n303": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:621.32-621.36" + } + }, + "n304": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:622.32-622.36" + } + }, + "n305": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:623.32-623.36" + } + }, + "n306": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:624.32-624.36" + } + }, + "n307": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:625.32-625.36" + } + }, + "n308": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:626.32-626.36" + } + }, + "n309": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:627.32-627.36" + } + }, + "n30a": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:628.32-628.36" + } + }, + "n30b": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:629.32-629.36" + } + }, + "n30c": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:630.32-630.36" + } + }, + "n30d": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:631.32-631.36" + } + }, + "n30e": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:632.32-632.36" + } + }, + "n30f": { + "hide_name": 0, + "bits": [ 2649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:633.32-633.36" + } + }, + "n310": { + "hide_name": 0, + "bits": [ 3507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:634.32-634.36" + } + }, + "n311": { + "hide_name": 0, + "bits": [ 2651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:635.32-635.36" + } + }, + "n312": { + "hide_name": 0, + "bits": [ 2647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:636.32-636.36" + } + }, + "n313": { + "hide_name": 0, + "bits": [ 2648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:637.32-637.36" + } + }, + "n314": { + "hide_name": 0, + "bits": [ 3112 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:638.32-638.36" + } + }, + "n315": { + "hide_name": 0, + "bits": [ 2652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:639.32-639.36" + } + }, + "n316": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:640.32-640.36" + } + }, + "n317": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:641.32-641.36" + } + }, + "n318": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:642.32-642.36" + } + }, + "n319": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:643.32-643.36" + } + }, + "n31a": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:644.32-644.36" + } + }, + "n31b": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:645.32-645.36" + } + }, + "n31c": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:646.32-646.36" + } + }, + "n31d": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:647.32-647.36" + } + }, + "n31e": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:648.32-648.36" + } + }, + "n31f": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:649.32-649.36" + } + }, + "n320": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:650.32-650.36" + } + }, + "n321": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:651.32-651.36" + } + }, + "n322": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:652.32-652.36" + } + }, + "n323": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:653.32-653.36" + } + }, + "n324": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:654.32-654.36" + } + }, + "n325": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:655.32-655.36" + } + }, + "n326": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:656.32-656.36" + } + }, + "n327": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:657.32-657.36" + } + }, + "n328": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:658.32-658.36" + } + }, + "n329": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:659.32-659.36" + } + }, + "n32a": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:660.32-660.36" + } + }, + "n32b": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:661.32-661.36" + } + }, + "n32c": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:662.32-662.36" + } + }, + "n32d": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:663.32-663.36" + } + }, + "n32e": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:664.32-664.36" + } + }, + "n32f": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:665.32-665.36" + } + }, + "n330": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:666.32-666.36" + } + }, + "n331": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:667.32-667.36" + } + }, + "n332": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:668.32-668.36" + } + }, + "n333": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:669.32-669.36" + } + }, + "n334": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:670.32-670.36" + } + }, + "n335": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:671.32-671.36" + } + }, + "n336": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:672.32-672.36" + } + }, + "n337": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:673.32-673.36" + } + }, + "n338": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:674.32-674.36" + } + }, + "n339": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:675.32-675.36" + } + }, + "n33a": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:676.32-676.36" + } + }, + "n33b": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:677.32-677.36" + } + }, + "n33c": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:678.32-678.36" + } + }, + "n33d": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:679.32-679.36" + } + }, + "n33e": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:680.32-680.36" + } + }, + "n33f": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:681.32-681.36" + } + }, + "n340": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:682.32-682.36" + } + }, + "n341": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:683.32-683.36" + } + }, + "n342": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:684.32-684.36" + } + }, + "n343": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:685.32-685.36" + } + }, + "n344": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:686.32-686.36" + } + }, + "n345": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:687.32-687.36" + } + }, + "n346": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:688.32-688.36" + } + }, + "n347": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:689.32-689.36" + } + }, + "n348": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:690.32-690.36" + } + }, + "n349": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:691.32-691.36" + } + }, + "n34a": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:692.32-692.36" + } + }, + "n34b": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:693.32-693.36" + } + }, + "n34c": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:694.32-694.36" + } + }, + "n34d": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:695.32-695.36" + } + }, + "n34e": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:696.32-696.36" + } + }, + "n34f": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:697.32-697.36" + } + }, + "n350": { + "hide_name": 0, + "bits": [ 3260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:698.32-698.36" + } + }, + "n351": { + "hide_name": 0, + "bits": [ 2916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:699.32-699.36" + } + }, + "n352": { + "hide_name": 0, + "bits": [ 2917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:700.32-700.36" + } + }, + "n353": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:701.32-701.36" + } + }, + "n354": { + "hide_name": 0, + "bits": [ 3113 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:702.32-702.36" + } + }, + "n355": { + "hide_name": 0, + "bits": [ 2655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:703.32-703.36" + } + }, + "n356": { + "hide_name": 0, + "bits": [ 3259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:704.32-704.36" + } + }, + "n357": { + "hide_name": 0, + "bits": [ 2654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:705.32-705.36" + } + }, + "n358": { + "hide_name": 0, + "bits": [ 2915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:706.32-706.36" + } + }, + "n359": { + "hide_name": 0, + "bits": [ 2918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:707.32-707.36" + } + }, + "n35a": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:708.32-708.36" + } + }, + "n35b": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:709.32-709.36" + } + }, + "n35c": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:710.32-710.36" + } + }, + "n35d": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:711.32-711.36" + } + }, + "n35e": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:712.32-712.36" + } + }, + "n35f": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:713.32-713.36" + } + }, + "n360": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:714.32-714.36" + } + }, + "n361": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:715.32-715.36" + } + }, + "n362": { + "hide_name": 0, + "bits": [ 3508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:716.32-716.36" + } + }, + "n363": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:717.32-717.36" + } + }, + "n364": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:718.32-718.36" + } + }, + "n365": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:719.32-719.36" + } + }, + "n366": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:720.32-720.36" + } + }, + "n367": { + "hide_name": 0, + "bits": [ 2656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:721.32-721.36" + } + }, + "n368": { + "hide_name": 0, + "bits": [ 3114 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:722.32-722.36" + } + }, + "n369": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:723.32-723.36" + } + }, + "n36a": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:724.32-724.36" + } + }, + "n36b": { + "hide_name": 0, + "bits": [ 2657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:725.32-725.36" + } + }, + "n36c": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:726.32-726.36" + } + }, + "n36d": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:727.32-727.36" + } + }, + "n36e": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:728.32-728.36" + } + }, + "n36f": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:729.32-729.36" + } + }, + "n370": { + "hide_name": 0, + "bits": [ 2658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:730.32-730.36" + } + }, + "n371": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:731.32-731.36" + } + }, + "n372": { + "hide_name": 0, + "bits": [ 3261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:732.32-732.36" + } + }, + "n373": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:733.32-733.36" + } + }, + "n374": { + "hide_name": 0, + "bits": [ 3467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:734.32-734.36" + } + }, + "n375": { + "hide_name": 0, + "bits": [ 3468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:735.32-735.36" + } + }, + "n376": { + "hide_name": 0, + "bits": [ 3509 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:736.32-736.36" + } + }, + "n377": { + "hide_name": 0, + "bits": [ 2921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:737.32-737.36" + } + }, + "n378": { + "hide_name": 0, + "bits": [ 2662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:738.32-738.36" + } + }, + "n379": { + "hide_name": 0, + "bits": [ 2659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:739.32-739.36" + } + }, + "n37a": { + "hide_name": 0, + "bits": [ 3262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:740.32-740.36" + } + }, + "n37b": { + "hide_name": 0, + "bits": [ 3263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:741.32-741.36" + } + }, + "n37c": { + "hide_name": 0, + "bits": [ 2660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:742.32-742.36" + } + }, + "n37d": { + "hide_name": 0, + "bits": [ 2919 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:743.32-743.36" + } + }, + "n37e": { + "hide_name": 0, + "bits": [ 2664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:744.32-744.36" + } + }, + "n37f": { + "hide_name": 0, + "bits": [ 3469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:745.32-745.36" + } + }, + "n380": { + "hide_name": 0, + "bits": [ 3115 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:746.32-746.36" + } + }, + "n381": { + "hide_name": 0, + "bits": [ 2920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:747.32-747.36" + } + }, + "n382": { + "hide_name": 0, + "bits": [ 3116 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:748.32-748.36" + } + }, + "n383": { + "hide_name": 0, + "bits": [ 2663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:749.32-749.36" + } + }, + "n384": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:750.32-750.36" + } + }, + "n385": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:751.32-751.36" + } + }, + "n386": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:752.32-752.36" + } + }, + "n387": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:753.32-753.36" + } + }, + "n388": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:754.32-754.36" + } + }, + "n389": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:755.32-755.36" + } + }, + "n38a": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:756.32-756.36" + } + }, + "n38b": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:757.32-757.36" + } + }, + "n38c": { + "hide_name": 0, + "bits": [ 2922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:758.32-758.36" + } + }, + "n38d": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:759.32-759.36" + } + }, + "n38e": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:760.32-760.36" + } + }, + "n38f": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:761.32-761.36" + } + }, + "n390": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:762.32-762.36" + } + }, + "n391": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:763.32-763.36" + } + }, + "n392": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:764.32-764.36" + } + }, + "n393": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:765.32-765.36" + } + }, + "n394": { + "hide_name": 0, + "bits": [ 3265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:766.32-766.36" + } + }, + "n395": { + "hide_name": 0, + "bits": [ 3510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:767.32-767.36" + } + }, + "n396": { + "hide_name": 0, + "bits": [ 2925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:768.32-768.36" + } + }, + "n397": { + "hide_name": 0, + "bits": [ 3117 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:769.32-769.36" + } + }, + "n398": { + "hide_name": 0, + "bits": [ 3266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:770.32-770.36" + } + }, + "n399": { + "hide_name": 0, + "bits": [ 3267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:771.32-771.36" + } + }, + "n39a": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:772.32-772.36" + } + }, + "n39b": { + "hide_name": 0, + "bits": [ 3264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:773.32-773.36" + } + }, + "n39c": { + "hide_name": 0, + "bits": [ 2923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:774.32-774.36" + } + }, + "n39d": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:775.32-775.36" + } + }, + "n39e": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:776.32-776.36" + } + }, + "n39f": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:777.32-777.36" + } + }, + "n3a0": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:778.32-778.36" + } + }, + "n3a1": { + "hide_name": 0, + "bits": [ 303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:779.32-779.36" + } + }, + "n3a2": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:780.32-780.36" + } + }, + "n3a3": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:781.32-781.36" + } + }, + "n3a4": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:782.32-782.36" + } + }, + "n3a5": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:783.32-783.36" + } + }, + "n3a6": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:784.32-784.36" + } + }, + "n3a7": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:785.32-785.36" + } + }, + "n3a8": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:786.32-786.36" + } + }, + "n3a9": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:787.32-787.36" + } + }, + "n3aa": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:788.32-788.36" + } + }, + "n3ab": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:789.32-789.36" + } + }, + "n3ac": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:790.32-790.36" + } + }, + "n3ad": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:791.32-791.36" + } + }, + "n3ae": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:792.32-792.36" + } + }, + "n3af": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:793.32-793.36" + } + }, + "n3b0": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:794.32-794.36" + } + }, + "n3b1": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:795.32-795.36" + } + }, + "n3b2": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:796.32-796.36" + } + }, + "n3b3": { + "hide_name": 0, + "bits": [ 622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:797.32-797.36" + } + }, + "n3b4": { + "hide_name": 0, + "bits": [ 620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:798.32-798.36" + } + }, + "n3b5": { + "hide_name": 0, + "bits": [ 3471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:799.32-799.36" + } + }, + "n3b6": { + "hide_name": 0, + "bits": [ 3470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:800.32-800.36" + } + }, + "n3b7": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:801.32-801.36" + } + }, + "n3b8": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:802.32-802.36" + } + }, + "n3b9": { + "hide_name": 0, + "bits": [ 3118 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:803.32-803.36" + } + }, + "n3ba": { + "hide_name": 0, + "bits": [ 3511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:804.32-804.36" + } + }, + "n3bb": { + "hide_name": 0, + "bits": [ 2665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:805.32-805.36" + } + }, + "n3bc": { + "hide_name": 0, + "bits": [ 3512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:806.32-806.36" + } + }, + "n3bd": { + "hide_name": 0, + "bits": [ 2666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:807.32-807.36" + } + }, + "n3be": { + "hide_name": 0, + "bits": [ 613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:808.32-808.36" + } + }, + "n3bf": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:809.32-809.36" + } + }, + "n3c0": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:810.32-810.36" + } + }, + "n3c1": { + "hide_name": 0, + "bits": [ 621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:811.32-811.36" + } + }, + "n3c2": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:812.32-812.36" + } + }, + "n3c3": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:813.32-813.36" + } + }, + "n3c4": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:814.32-814.36" + } + }, + "n3c5": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:815.32-815.36" + } + }, + "n3c6": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:816.32-816.36" + } + }, + "n3c7": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:817.32-817.36" + } + }, + "n3c8": { + "hide_name": 0, + "bits": [ 591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:818.32-818.36" + } + }, + "n3c9": { + "hide_name": 0, + "bits": [ 592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:819.32-819.36" + } + }, + "n3ca": { + "hide_name": 0, + "bits": [ 593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:820.32-820.36" + } + }, + "n3cb": { + "hide_name": 0, + "bits": [ 594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:821.32-821.36" + } + }, + "n3cc": { + "hide_name": 0, + "bits": [ 595 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:822.32-822.36" + } + }, + "n3cd": { + "hide_name": 0, + "bits": [ 596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:823.32-823.36" + } + }, + "n3ce": { + "hide_name": 0, + "bits": [ 597 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:824.32-824.36" + } + }, + "n3cf": { + "hide_name": 0, + "bits": [ 598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:825.32-825.36" + } + }, + "n3d0": { + "hide_name": 0, + "bits": [ 599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:826.32-826.36" + } + }, + "n3d1": { + "hide_name": 0, + "bits": [ 600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:827.32-827.36" + } + }, + "n3d2": { + "hide_name": 0, + "bits": [ 601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:828.32-828.36" + } + }, + "n3d3": { + "hide_name": 0, + "bits": [ 602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:829.32-829.36" + } + }, + "n3d4": { + "hide_name": 0, + "bits": [ 603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:830.32-830.36" + } + }, + "n3d5": { + "hide_name": 0, + "bits": [ 604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:831.32-831.36" + } + }, + "n3d6": { + "hide_name": 0, + "bits": [ 605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:832.32-832.36" + } + }, + "n3d7": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:833.32-833.36" + } + }, + "n3d8": { + "hide_name": 0, + "bits": [ 642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:834.32-834.36" + } + }, + "n3d9": { + "hide_name": 0, + "bits": [ 3122 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:835.32-835.36" + } + }, + "n3da": { + "hide_name": 0, + "bits": [ 640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:836.32-836.36" + } + }, + "n3db": { + "hide_name": 0, + "bits": [ 644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:837.32-837.36" + } + }, + "n3dc": { + "hide_name": 0, + "bits": [ 3271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:838.32-838.36" + } + }, + "n3dd": { + "hide_name": 0, + "bits": [ 3120 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:839.32-839.36" + } + }, + "n3de": { + "hide_name": 0, + "bits": [ 2669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:840.32-840.36" + } + }, + "n3df": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:841.32-841.36" + } + }, + "n3e0": { + "hide_name": 0, + "bits": [ 3269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:842.32-842.36" + } + }, + "n3e1": { + "hide_name": 0, + "bits": [ 2668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:843.32-843.36" + } + }, + "n3e2": { + "hide_name": 0, + "bits": [ 3473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:844.32-844.36" + } + }, + "n3e3": { + "hide_name": 0, + "bits": [ 3270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:845.32-845.36" + } + }, + "n3e4": { + "hide_name": 0, + "bits": [ 3121 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:846.32-846.36" + } + }, + "n3e5": { + "hide_name": 0, + "bits": [ 2926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:847.32-847.36" + } + }, + "n3e6": { + "hide_name": 0, + "bits": [ 2667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:848.32-848.36" + } + }, + "n3e7": { + "hide_name": 0, + "bits": [ 639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:849.32-849.36" + } + }, + "n3e8": { + "hide_name": 0, + "bits": [ 619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:850.32-850.36" + } + }, + "n3e9": { + "hide_name": 0, + "bits": [ 641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:851.32-851.36" + } + }, + "n3ea": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:852.32-852.36" + } + }, + "n3eb": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:853.32-853.36" + } + }, + "n3ec": { + "hide_name": 0, + "bits": [ 609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:854.32-854.36" + } + }, + "n3ed": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:855.32-855.36" + } + }, + "n3ee": { + "hide_name": 0, + "bits": [ 579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:856.32-856.36" + } + }, + "n3ef": { + "hide_name": 0, + "bits": [ 580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:857.32-857.36" + } + }, + "n3f0": { + "hide_name": 0, + "bits": [ 581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:858.32-858.36" + } + }, + "n3f1": { + "hide_name": 0, + "bits": [ 582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:859.32-859.36" + } + }, + "n3f2": { + "hide_name": 0, + "bits": [ 583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:860.32-860.36" + } + }, + "n3f3": { + "hide_name": 0, + "bits": [ 584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:861.32-861.36" + } + }, + "n3f4": { + "hide_name": 0, + "bits": [ 585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:862.32-862.36" + } + }, + "n3f5": { + "hide_name": 0, + "bits": [ 586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:863.32-863.36" + } + }, + "n3f6": { + "hide_name": 0, + "bits": [ 587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:864.32-864.36" + } + }, + "n3f7": { + "hide_name": 0, + "bits": [ 588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:865.32-865.36" + } + }, + "n3f8": { + "hide_name": 0, + "bits": [ 589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:866.32-866.36" + } + }, + "n3f9": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:867.32-867.36" + } + }, + "n3fa": { + "hide_name": 0, + "bits": [ 625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:868.32-868.36" + } + }, + "n3fb": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:869.32-869.36" + } + }, + "n3fc": { + "hide_name": 0, + "bits": [ 627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:870.32-870.36" + } + }, + "n3fd": { + "hide_name": 0, + "bits": [ 628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:871.32-871.36" + } + }, + "n3fe": { + "hide_name": 0, + "bits": [ 629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:872.32-872.36" + } + }, + "n3ff": { + "hide_name": 0, + "bits": [ 630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:873.32-873.36" + } + }, + "n400": { + "hide_name": 0, + "bits": [ 631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:874.32-874.36" + } + }, + "n401": { + "hide_name": 0, + "bits": [ 632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:875.32-875.36" + } + }, + "n402": { + "hide_name": 0, + "bits": [ 633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:876.32-876.36" + } + }, + "n403": { + "hide_name": 0, + "bits": [ 634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:877.32-877.36" + } + }, + "n404": { + "hide_name": 0, + "bits": [ 635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:878.32-878.36" + } + }, + "n405": { + "hide_name": 0, + "bits": [ 636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:879.32-879.36" + } + }, + "n406": { + "hide_name": 0, + "bits": [ 637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:880.32-880.36" + } + }, + "n407": { + "hide_name": 0, + "bits": [ 638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:881.32-881.36" + } + }, + "n408": { + "hide_name": 0, + "bits": [ 3276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:882.32-882.36" + } + }, + "n409": { + "hide_name": 0, + "bits": [ 2670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:883.32-883.36" + } + }, + "n40a": { + "hide_name": 0, + "bits": [ 3513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:884.32-884.36" + } + }, + "n40b": { + "hide_name": 0, + "bits": [ 3514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:885.32-885.36" + } + }, + "n40c": { + "hide_name": 0, + "bits": [ 3515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:886.32-886.36" + } + }, + "n40d": { + "hide_name": 0, + "bits": [ 3474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:887.32-887.36" + } + }, + "n40e": { + "hide_name": 0, + "bits": [ 3275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:888.32-888.36" + } + }, + "n40f": { + "hide_name": 0, + "bits": [ 3123 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:889.32-889.36" + } + }, + "n410": { + "hide_name": 0, + "bits": [ 3516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:890.32-890.36" + } + }, + "n411": { + "hide_name": 0, + "bits": [ 3274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:891.32-891.36" + } + }, + "n412": { + "hide_name": 0, + "bits": [ 2927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:892.32-892.36" + } + }, + "n413": { + "hide_name": 0, + "bits": [ 2673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:893.32-893.36" + } + }, + "n414": { + "hide_name": 0, + "bits": [ 3277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:894.32-894.36" + } + }, + "n415": { + "hide_name": 0, + "bits": [ 2671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:895.32-895.36" + } + }, + "n416": { + "hide_name": 0, + "bits": [ 2672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:896.32-896.36" + } + }, + "n417": { + "hide_name": 0, + "bits": [ 3517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:897.32-897.36" + } + }, + "n418": { + "hide_name": 0, + "bits": [ 3124 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:898.32-898.36" + } + }, + "n419": { + "hide_name": 0, + "bits": [ 3125 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:899.32-899.36" + } + }, + "n41a": { + "hide_name": 0, + "bits": [ 3126 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:900.32-900.36" + } + }, + "n41b": { + "hide_name": 0, + "bits": [ 2931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:901.32-901.36" + } + }, + "n41c": { + "hide_name": 0, + "bits": [ 2934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:902.32-902.36" + } + }, + "n41d": { + "hide_name": 0, + "bits": [ 3278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:903.32-903.36" + } + }, + "n41e": { + "hide_name": 0, + "bits": [ 2932 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:904.32-904.36" + } + }, + "n41f": { + "hide_name": 0, + "bits": [ 2933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:905.32-905.36" + } + }, + "n420": { + "hide_name": 0, + "bits": [ 643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:906.32-906.36" + } + }, + "n421": { + "hide_name": 0, + "bits": [ 2928 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:907.32-907.36" + } + }, + "n422": { + "hide_name": 0, + "bits": [ 2929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:908.32-908.36" + } + }, + "n423": { + "hide_name": 0, + "bits": [ 3127 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:909.32-909.36" + } + }, + "n424": { + "hide_name": 0, + "bits": [ 2930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:910.32-910.36" + } + }, + "n425": { + "hide_name": 0, + "bits": [ 623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:911.32-911.36" + } + }, + "n426": { + "hide_name": 0, + "bits": [ 659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:912.32-912.36" + } + }, + "n427": { + "hide_name": 0, + "bits": [ 660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:913.32-913.36" + } + }, + "n428": { + "hide_name": 0, + "bits": [ 2683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:914.32-914.36" + } + }, + "n429": { + "hide_name": 0, + "bits": [ 668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:915.32-915.36" + } + }, + "n42a": { + "hide_name": 0, + "bits": [ 3279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:916.32-916.36" + } + }, + "n42b": { + "hide_name": 0, + "bits": [ 3366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:917.32-917.36" + } + }, + "n42c": { + "hide_name": 0, + "bits": [ 662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:918.32-918.36" + } + }, + "n42d": { + "hide_name": 0, + "bits": [ 664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:919.32-919.36" + } + }, + "n42e": { + "hide_name": 0, + "bits": [ 2674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:920.32-920.36" + } + }, + "n42f": { + "hide_name": 0, + "bits": [ 661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:921.32-921.36" + } + }, + "n430": { + "hide_name": 0, + "bits": [ 663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:922.32-922.36" + } + }, + "n431": { + "hide_name": 0, + "bits": [ 665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:923.32-923.36" + } + }, + "n432": { + "hide_name": 0, + "bits": [ 667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:924.32-924.36" + } + }, + "n433": { + "hide_name": 0, + "bits": [ 672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:925.32-925.36" + } + }, + "n434": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:926.32-926.36" + } + }, + "n435": { + "hide_name": 0, + "bits": [ 669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:927.32-927.36" + } + }, + "n436": { + "hide_name": 0, + "bits": [ 670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:928.32-928.36" + } + }, + "n437": { + "hide_name": 0, + "bits": [ 671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:929.32-929.36" + } + }, + "n438": { + "hide_name": 0, + "bits": [ 674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:930.32-930.36" + } + }, + "n439": { + "hide_name": 0, + "bits": [ 673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:931.32-931.36" + } + }, + "n43a": { + "hide_name": 0, + "bits": [ 675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:932.32-932.36" + } + }, + "n43b": { + "hide_name": 0, + "bits": [ 676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:933.32-933.36" + } + }, + "n43c": { + "hide_name": 0, + "bits": [ 3518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:934.32-934.36" + } + }, + "n43d": { + "hide_name": 0, + "bits": [ 721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:935.32-935.36" + } + }, + "n43e": { + "hide_name": 0, + "bits": [ 677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:936.32-936.36" + } + }, + "n43f": { + "hide_name": 0, + "bits": [ 678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:937.32-937.36" + } + }, + "n440": { + "hide_name": 0, + "bits": [ 722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:938.32-938.36" + } + }, + "n441": { + "hide_name": 0, + "bits": [ 3364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:939.32-939.36" + } + }, + "n442": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:940.32-940.36" + } + }, + "n443": { + "hide_name": 0, + "bits": [ 648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:941.32-941.36" + } + }, + "n444": { + "hide_name": 0, + "bits": [ 649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:942.32-942.36" + } + }, + "n445": { + "hide_name": 0, + "bits": [ 650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:943.32-943.36" + } + }, + "n446": { + "hide_name": 0, + "bits": [ 651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:944.32-944.36" + } + }, + "n447": { + "hide_name": 0, + "bits": [ 652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:945.32-945.36" + } + }, + "n448": { + "hide_name": 0, + "bits": [ 653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:946.32-946.36" + } + }, + "n449": { + "hide_name": 0, + "bits": [ 654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:947.32-947.36" + } + }, + "n44a": { + "hide_name": 0, + "bits": [ 655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:948.32-948.36" + } + }, + "n44b": { + "hide_name": 0, + "bits": [ 656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:949.32-949.36" + } + }, + "n44c": { + "hide_name": 0, + "bits": [ 657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:950.32-950.36" + } + }, + "n44d": { + "hide_name": 0, + "bits": [ 658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:951.32-951.36" + } + }, + "n44e": { + "hide_name": 0, + "bits": [ 693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:952.32-952.36" + } + }, + "n44f": { + "hide_name": 0, + "bits": [ 694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:953.32-953.36" + } + }, + "n450": { + "hide_name": 0, + "bits": [ 695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:954.32-954.36" + } + }, + "n451": { + "hide_name": 0, + "bits": [ 696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:955.32-955.36" + } + }, + "n452": { + "hide_name": 0, + "bits": [ 697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:956.32-956.36" + } + }, + "n453": { + "hide_name": 0, + "bits": [ 698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:957.32-957.36" + } + }, + "n454": { + "hide_name": 0, + "bits": [ 699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:958.32-958.36" + } + }, + "n455": { + "hide_name": 0, + "bits": [ 700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:959.32-959.36" + } + }, + "n456": { + "hide_name": 0, + "bits": [ 701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:960.32-960.36" + } + }, + "n457": { + "hide_name": 0, + "bits": [ 702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:961.32-961.36" + } + }, + "n458": { + "hide_name": 0, + "bits": [ 703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:962.32-962.36" + } + }, + "n459": { + "hide_name": 0, + "bits": [ 704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:963.32-963.36" + } + }, + "n45a": { + "hide_name": 0, + "bits": [ 705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:964.32-964.36" + } + }, + "n45b": { + "hide_name": 0, + "bits": [ 706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:965.32-965.36" + } + }, + "n45c": { + "hide_name": 0, + "bits": [ 707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:966.32-966.36" + } + }, + "n45d": { + "hide_name": 0, + "bits": [ 708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:967.32-967.36" + } + }, + "n45e": { + "hide_name": 0, + "bits": [ 720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:968.32-968.36" + } + }, + "n45f": { + "hide_name": 0, + "bits": [ 2675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:969.32-969.36" + } + }, + "n460": { + "hide_name": 0, + "bits": [ 709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:970.32-970.36" + } + }, + "n461": { + "hide_name": 0, + "bits": [ 710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:971.32-971.36" + } + }, + "n462": { + "hide_name": 0, + "bits": [ 711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:972.32-972.36" + } + }, + "n463": { + "hide_name": 0, + "bits": [ 712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:973.32-973.36" + } + }, + "n464": { + "hide_name": 0, + "bits": [ 681 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:974.32-974.36" + } + }, + "n465": { + "hide_name": 0, + "bits": [ 682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:975.32-975.36" + } + }, + "n466": { + "hide_name": 0, + "bits": [ 683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:976.32-976.36" + } + }, + "n467": { + "hide_name": 0, + "bits": [ 684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:977.32-977.36" + } + }, + "n468": { + "hide_name": 0, + "bits": [ 685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:978.32-978.36" + } + }, + "n469": { + "hide_name": 0, + "bits": [ 686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:979.32-979.36" + } + }, + "n46a": { + "hide_name": 0, + "bits": [ 687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:980.32-980.36" + } + }, + "n46b": { + "hide_name": 0, + "bits": [ 688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:981.32-981.36" + } + }, + "n46c": { + "hide_name": 0, + "bits": [ 689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:982.32-982.36" + } + }, + "n46d": { + "hide_name": 0, + "bits": [ 690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:983.32-983.36" + } + }, + "n46e": { + "hide_name": 0, + "bits": [ 691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:984.32-984.36" + } + }, + "n46f": { + "hide_name": 0, + "bits": [ 692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:985.32-985.36" + } + }, + "n470": { + "hide_name": 0, + "bits": [ 727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:986.32-986.36" + } + }, + "n471": { + "hide_name": 0, + "bits": [ 728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:987.32-987.36" + } + }, + "n472": { + "hide_name": 0, + "bits": [ 729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:988.32-988.36" + } + }, + "n473": { + "hide_name": 0, + "bits": [ 730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:989.32-989.36" + } + }, + "n474": { + "hide_name": 0, + "bits": [ 731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:990.32-990.36" + } + }, + "n475": { + "hide_name": 0, + "bits": [ 732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:991.32-991.36" + } + }, + "n476": { + "hide_name": 0, + "bits": [ 733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:992.32-992.36" + } + }, + "n477": { + "hide_name": 0, + "bits": [ 734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:993.32-993.36" + } + }, + "n478": { + "hide_name": 0, + "bits": [ 735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:994.32-994.36" + } + }, + "n479": { + "hide_name": 0, + "bits": [ 736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:995.32-995.36" + } + }, + "n47a": { + "hide_name": 0, + "bits": [ 737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:996.32-996.36" + } + }, + "n47b": { + "hide_name": 0, + "bits": [ 738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:997.32-997.36" + } + }, + "n47c": { + "hide_name": 0, + "bits": [ 739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:998.32-998.36" + } + }, + "n47d": { + "hide_name": 0, + "bits": [ 740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:999.32-999.36" + } + }, + "n47e": { + "hide_name": 0, + "bits": [ 741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1000.32-1000.36" + } + }, + "n47f": { + "hide_name": 0, + "bits": [ 742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1001.32-1001.36" + } + }, + "n480": { + "hide_name": 0, + "bits": [ 743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1002.32-1002.36" + } + }, + "n481": { + "hide_name": 0, + "bits": [ 744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1003.32-1003.36" + } + }, + "n482": { + "hide_name": 0, + "bits": [ 745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1004.32-1004.36" + } + }, + "n483": { + "hide_name": 0, + "bits": [ 746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1005.32-1005.36" + } + }, + "n484": { + "hide_name": 0, + "bits": [ 715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1006.32-1006.36" + } + }, + "n485": { + "hide_name": 0, + "bits": [ 716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1007.32-1007.36" + } + }, + "n486": { + "hide_name": 0, + "bits": [ 3281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1008.32-1008.36" + } + }, + "n487": { + "hide_name": 0, + "bits": [ 3129 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1009.32-1009.36" + } + }, + "n488": { + "hide_name": 0, + "bits": [ 2935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1010.32-1010.36" + } + }, + "n489": { + "hide_name": 0, + "bits": [ 2677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1011.32-1011.36" + } + }, + "n48a": { + "hide_name": 0, + "bits": [ 3519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1012.32-1012.36" + } + }, + "n48b": { + "hide_name": 0, + "bits": [ 2678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1013.32-1013.36" + } + }, + "n48c": { + "hide_name": 0, + "bits": [ 3520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1014.32-1014.36" + } + }, + "n48d": { + "hide_name": 0, + "bits": [ 2684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1015.32-1015.36" + } + }, + "n48e": { + "hide_name": 0, + "bits": [ 3280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1016.32-1016.36" + } + }, + "n48f": { + "hide_name": 0, + "bits": [ 3130 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1017.32-1017.36" + } + }, + "n490": { + "hide_name": 0, + "bits": [ 3282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1018.32-1018.36" + } + }, + "n491": { + "hide_name": 0, + "bits": [ 3131 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1019.32-1019.36" + } + }, + "n492": { + "hide_name": 0, + "bits": [ 3284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1020.32-1020.36" + } + }, + "n493": { + "hide_name": 0, + "bits": [ 2679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1021.32-1021.36" + } + }, + "n494": { + "hide_name": 0, + "bits": [ 2936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1022.32-1022.36" + } + }, + "n495": { + "hide_name": 0, + "bits": [ 718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1023.32-1023.36" + } + }, + "n496": { + "hide_name": 0, + "bits": [ 3521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1024.32-1024.36" + } + }, + "n497": { + "hide_name": 0, + "bits": [ 3133 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1025.32-1025.36" + } + }, + "n498": { + "hide_name": 0, + "bits": [ 3134 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1026.32-1026.36" + } + }, + "n499": { + "hide_name": 0, + "bits": [ 2937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1027.32-1027.36" + } + }, + "n49a": { + "hide_name": 0, + "bits": [ 2680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1028.32-1028.36" + } + }, + "n49b": { + "hide_name": 0, + "bits": [ 3135 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1029.32-1029.36" + } + }, + "n49c": { + "hide_name": 0, + "bits": [ 2939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1030.32-1030.36" + } + }, + "n49d": { + "hide_name": 0, + "bits": [ 3132 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1031.32-1031.36" + } + }, + "n49e": { + "hide_name": 0, + "bits": [ 2938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1032.32-1032.36" + } + }, + "n49f": { + "hide_name": 0, + "bits": [ 2681 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1033.32-1033.36" + } + }, + "n4a0": { + "hide_name": 0, + "bits": [ 2682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1034.32-1034.36" + } + }, + "n4a1": { + "hide_name": 0, + "bits": [ 717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1035.32-1035.36" + } + }, + "n4a2": { + "hide_name": 0, + "bits": [ 719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1036.32-1036.36" + } + }, + "n4a3": { + "hide_name": 0, + "bits": [ 723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1037.32-1037.36" + } + }, + "n4a4": { + "hide_name": 0, + "bits": [ 724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1038.32-1038.36" + } + }, + "n4a5": { + "hide_name": 0, + "bits": [ 725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1039.32-1039.36" + } + }, + "n4a6": { + "hide_name": 0, + "bits": [ 726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1040.32-1040.36" + } + }, + "n4a7": { + "hide_name": 0, + "bits": [ 761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1041.32-1041.36" + } + }, + "n4a8": { + "hide_name": 0, + "bits": [ 762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1042.32-1042.36" + } + }, + "n4a9": { + "hide_name": 0, + "bits": [ 763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1043.32-1043.36" + } + }, + "n4aa": { + "hide_name": 0, + "bits": [ 764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1044.32-1044.36" + } + }, + "n4ab": { + "hide_name": 0, + "bits": [ 765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1045.32-1045.36" + } + }, + "n4ac": { + "hide_name": 0, + "bits": [ 766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1046.32-1046.36" + } + }, + "n4ad": { + "hide_name": 0, + "bits": [ 767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1047.32-1047.36" + } + }, + "n4ae": { + "hide_name": 0, + "bits": [ 768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1048.32-1048.36" + } + }, + "n4af": { + "hide_name": 0, + "bits": [ 769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1049.32-1049.36" + } + }, + "n4b0": { + "hide_name": 0, + "bits": [ 770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1050.32-1050.36" + } + }, + "n4b1": { + "hide_name": 0, + "bits": [ 771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1051.32-1051.36" + } + }, + "n4b2": { + "hide_name": 0, + "bits": [ 772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1052.32-1052.36" + } + }, + "n4b3": { + "hide_name": 0, + "bits": [ 773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1053.32-1053.36" + } + }, + "n4b4": { + "hide_name": 0, + "bits": [ 774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1054.32-1054.36" + } + }, + "n4b5": { + "hide_name": 0, + "bits": [ 775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1055.32-1055.36" + } + }, + "n4b6": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1056.32-1056.36" + } + }, + "n4b7": { + "hide_name": 0, + "bits": [ 777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1057.32-1057.36" + } + }, + "n4b8": { + "hide_name": 0, + "bits": [ 778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1058.32-1058.36" + } + }, + "n4b9": { + "hide_name": 0, + "bits": [ 779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1059.32-1059.36" + } + }, + "n4ba": { + "hide_name": 0, + "bits": [ 780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1060.32-1060.36" + } + }, + "n4bb": { + "hide_name": 0, + "bits": [ 749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1061.32-1061.36" + } + }, + "n4bc": { + "hide_name": 0, + "bits": [ 750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1062.32-1062.36" + } + }, + "n4bd": { + "hide_name": 0, + "bits": [ 751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1063.32-1063.36" + } + }, + "n4be": { + "hide_name": 0, + "bits": [ 752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1064.32-1064.36" + } + }, + "n4bf": { + "hide_name": 0, + "bits": [ 753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1065.32-1065.36" + } + }, + "n4c0": { + "hide_name": 0, + "bits": [ 754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1066.32-1066.36" + } + }, + "n4c1": { + "hide_name": 0, + "bits": [ 755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1067.32-1067.36" + } + }, + "n4c2": { + "hide_name": 0, + "bits": [ 756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1068.32-1068.36" + } + }, + "n4c3": { + "hide_name": 0, + "bits": [ 757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1069.32-1069.36" + } + }, + "n4c4": { + "hide_name": 0, + "bits": [ 758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1070.32-1070.36" + } + }, + "n4c5": { + "hide_name": 0, + "bits": [ 759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1071.32-1071.36" + } + }, + "n4c6": { + "hide_name": 0, + "bits": [ 760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1072.32-1072.36" + } + }, + "n4c7": { + "hide_name": 0, + "bits": [ 795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1073.32-1073.36" + } + }, + "n4c8": { + "hide_name": 0, + "bits": [ 796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1074.32-1074.36" + } + }, + "n4c9": { + "hide_name": 0, + "bits": [ 797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1075.32-1075.36" + } + }, + "n4ca": { + "hide_name": 0, + "bits": [ 798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1076.32-1076.36" + } + }, + "n4cb": { + "hide_name": 0, + "bits": [ 799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1077.32-1077.36" + } + }, + "n4cc": { + "hide_name": 0, + "bits": [ 800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1078.32-1078.36" + } + }, + "n4cd": { + "hide_name": 0, + "bits": [ 801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1079.32-1079.36" + } + }, + "n4ce": { + "hide_name": 0, + "bits": [ 802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1080.32-1080.36" + } + }, + "n4cf": { + "hide_name": 0, + "bits": [ 876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1081.32-1081.36" + } + }, + "n4d0": { + "hide_name": 0, + "bits": [ 3292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1082.32-1082.36" + } + }, + "n4d1": { + "hide_name": 0, + "bits": [ 3363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1083.32-1083.36" + } + }, + "n4d2": { + "hide_name": 0, + "bits": [ 803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1084.32-1084.36" + } + }, + "n4d3": { + "hide_name": 0, + "bits": [ 804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1085.32-1085.36" + } + }, + "n4d4": { + "hide_name": 0, + "bits": [ 805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1086.32-1086.36" + } + }, + "n4d5": { + "hide_name": 0, + "bits": [ 806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1087.32-1087.36" + } + }, + "n4d6": { + "hide_name": 0, + "bits": [ 807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1088.32-1088.36" + } + }, + "n4d7": { + "hide_name": 0, + "bits": [ 808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1089.32-1089.36" + } + }, + "n4d8": { + "hide_name": 0, + "bits": [ 3290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1090.32-1090.36" + } + }, + "n4d9": { + "hide_name": 0, + "bits": [ 809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1091.32-1091.36" + } + }, + "n4da": { + "hide_name": 0, + "bits": [ 810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1092.32-1092.36" + } + }, + "n4db": { + "hide_name": 0, + "bits": [ 811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1093.32-1093.36" + } + }, + "n4dc": { + "hide_name": 0, + "bits": [ 812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1094.32-1094.36" + } + }, + "n4dd": { + "hide_name": 0, + "bits": [ 813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1095.32-1095.36" + } + }, + "n4de": { + "hide_name": 0, + "bits": [ 814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1096.32-1096.36" + } + }, + "n4df": { + "hide_name": 0, + "bits": [ 783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1097.32-1097.36" + } + }, + "n4e0": { + "hide_name": 0, + "bits": [ 784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1098.32-1098.36" + } + }, + "n4e1": { + "hide_name": 0, + "bits": [ 3522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1099.32-1099.36" + } + }, + "n4e2": { + "hide_name": 0, + "bits": [ 3298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1100.32-1100.36" + } + }, + "n4e3": { + "hide_name": 0, + "bits": [ 3149 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1101.32-1101.36" + } + }, + "n4e4": { + "hide_name": 0, + "bits": [ 785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1102.32-1102.36" + } + }, + "n4e5": { + "hide_name": 0, + "bits": [ 786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1103.32-1103.36" + } + }, + "n4e6": { + "hide_name": 0, + "bits": [ 787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1104.32-1104.36" + } + }, + "n4e7": { + "hide_name": 0, + "bits": [ 788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1105.32-1105.36" + } + }, + "n4e8": { + "hide_name": 0, + "bits": [ 789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1106.32-1106.36" + } + }, + "n4e9": { + "hide_name": 0, + "bits": [ 790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1107.32-1107.36" + } + }, + "n4ea": { + "hide_name": 0, + "bits": [ 791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1108.32-1108.36" + } + }, + "n4eb": { + "hide_name": 0, + "bits": [ 792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1109.32-1109.36" + } + }, + "n4ec": { + "hide_name": 0, + "bits": [ 793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1110.32-1110.36" + } + }, + "n4ed": { + "hide_name": 0, + "bits": [ 794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1111.32-1111.36" + } + }, + "n4ee": { + "hide_name": 0, + "bits": [ 829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1112.32-1112.36" + } + }, + "n4ef": { + "hide_name": 0, + "bits": [ 830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1113.32-1113.36" + } + }, + "n4f0": { + "hide_name": 0, + "bits": [ 831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1114.32-1114.36" + } + }, + "n4f1": { + "hide_name": 0, + "bits": [ 832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1115.32-1115.36" + } + }, + "n4f2": { + "hide_name": 0, + "bits": [ 833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1116.32-1116.36" + } + }, + "n4f3": { + "hide_name": 0, + "bits": [ 834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1117.32-1117.36" + } + }, + "n4f4": { + "hide_name": 0, + "bits": [ 835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1118.32-1118.36" + } + }, + "n4f5": { + "hide_name": 0, + "bits": [ 836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1119.32-1119.36" + } + }, + "n4f6": { + "hide_name": 0, + "bits": [ 837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1120.32-1120.36" + } + }, + "n4f7": { + "hide_name": 0, + "bits": [ 838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1121.32-1121.36" + } + }, + "n4f8": { + "hide_name": 0, + "bits": [ 839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1122.32-1122.36" + } + }, + "n4f9": { + "hide_name": 0, + "bits": [ 840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1123.32-1123.36" + } + }, + "n4fa": { + "hide_name": 0, + "bits": [ 841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1124.32-1124.36" + } + }, + "n4fb": { + "hide_name": 0, + "bits": [ 842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1125.32-1125.36" + } + }, + "n4fc": { + "hide_name": 0, + "bits": [ 843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1126.32-1126.36" + } + }, + "n4fd": { + "hide_name": 0, + "bits": [ 844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1127.32-1127.36" + } + }, + "n4fe": { + "hide_name": 0, + "bits": [ 845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1128.32-1128.36" + } + }, + "n4ff": { + "hide_name": 0, + "bits": [ 846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1129.32-1129.36" + } + }, + "n500": { + "hide_name": 0, + "bits": [ 847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1130.32-1130.36" + } + }, + "n501": { + "hide_name": 0, + "bits": [ 848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1131.32-1131.36" + } + }, + "n502": { + "hide_name": 0, + "bits": [ 817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1132.32-1132.36" + } + }, + "n503": { + "hide_name": 0, + "bits": [ 818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1133.32-1133.36" + } + }, + "n504": { + "hide_name": 0, + "bits": [ 819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1134.32-1134.36" + } + }, + "n505": { + "hide_name": 0, + "bits": [ 820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1135.32-1135.36" + } + }, + "n506": { + "hide_name": 0, + "bits": [ 821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1136.32-1136.36" + } + }, + "n507": { + "hide_name": 0, + "bits": [ 822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1137.32-1137.36" + } + }, + "n508": { + "hide_name": 0, + "bits": [ 823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1138.32-1138.36" + } + }, + "n509": { + "hide_name": 0, + "bits": [ 824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1139.32-1139.36" + } + }, + "n50a": { + "hide_name": 0, + "bits": [ 825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1140.32-1140.36" + } + }, + "n50b": { + "hide_name": 0, + "bits": [ 826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1141.32-1141.36" + } + }, + "n50c": { + "hide_name": 0, + "bits": [ 827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1142.32-1142.36" + } + }, + "n50d": { + "hide_name": 0, + "bits": [ 828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1143.32-1143.36" + } + }, + "n50e": { + "hide_name": 0, + "bits": [ 863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1144.32-1144.36" + } + }, + "n50f": { + "hide_name": 0, + "bits": [ 864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1145.32-1145.36" + } + }, + "n510": { + "hide_name": 0, + "bits": [ 865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1146.32-1146.36" + } + }, + "n511": { + "hide_name": 0, + "bits": [ 866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1147.32-1147.36" + } + }, + "n512": { + "hide_name": 0, + "bits": [ 867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1148.32-1148.36" + } + }, + "n513": { + "hide_name": 0, + "bits": [ 868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1149.32-1149.36" + } + }, + "n514": { + "hide_name": 0, + "bits": [ 869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1150.32-1150.36" + } + }, + "n515": { + "hide_name": 0, + "bits": [ 870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1151.32-1151.36" + } + }, + "n516": { + "hide_name": 0, + "bits": [ 2685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1152.32-1152.36" + } + }, + "n517": { + "hide_name": 0, + "bits": [ 2946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1153.32-1153.36" + } + }, + "n518": { + "hide_name": 0, + "bits": [ 3136 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1154.32-1154.36" + } + }, + "n519": { + "hide_name": 0, + "bits": [ 2945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1155.32-1155.36" + } + }, + "n51a": { + "hide_name": 0, + "bits": [ 3285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1156.32-1156.36" + } + }, + "n51b": { + "hide_name": 0, + "bits": [ 2947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1157.32-1157.36" + } + }, + "n51c": { + "hide_name": 0, + "bits": [ 2944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1158.32-1158.36" + } + }, + "n51d": { + "hide_name": 0, + "bits": [ 3137 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1159.32-1159.36" + } + }, + "n51e": { + "hide_name": 0, + "bits": [ 2686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1160.32-1160.36" + } + }, + "n51f": { + "hide_name": 0, + "bits": [ 3299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1161.32-1161.36" + } + }, + "n520": { + "hide_name": 0, + "bits": [ 3287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1162.32-1162.36" + } + }, + "n521": { + "hide_name": 0, + "bits": [ 2705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1163.32-1163.36" + } + }, + "n522": { + "hide_name": 0, + "bits": [ 3286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1164.32-1164.36" + } + }, + "n523": { + "hide_name": 0, + "bits": [ 872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1165.32-1165.36" + } + }, + "n524": { + "hide_name": 0, + "bits": [ 2689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1166.32-1166.36" + } + }, + "n525": { + "hide_name": 0, + "bits": [ 2943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1167.32-1167.36" + } + }, + "n526": { + "hide_name": 0, + "bits": [ 3475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1168.32-1168.36" + } + }, + "n527": { + "hide_name": 0, + "bits": [ 3477 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1169.32-1169.36" + } + }, + "n528": { + "hide_name": 0, + "bits": [ 2690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1170.32-1170.36" + } + }, + "n529": { + "hide_name": 0, + "bits": [ 3288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1171.32-1171.36" + } + }, + "n52a": { + "hide_name": 0, + "bits": [ 2942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1172.32-1172.36" + } + }, + "n52b": { + "hide_name": 0, + "bits": [ 3476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1173.32-1173.36" + } + }, + "n52c": { + "hide_name": 0, + "bits": [ 2687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1174.32-1174.36" + } + }, + "n52d": { + "hide_name": 0, + "bits": [ 2940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1175.32-1175.36" + } + }, + "n52e": { + "hide_name": 0, + "bits": [ 2941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1176.32-1176.36" + } + }, + "n52f": { + "hide_name": 0, + "bits": [ 2688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1177.32-1177.36" + } + }, + "n530": { + "hide_name": 0, + "bits": [ 871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1178.32-1178.36" + } + }, + "n531": { + "hide_name": 0, + "bits": [ 875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1179.32-1179.36" + } + }, + "n532": { + "hide_name": 0, + "bits": [ 873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1180.32-1180.36" + } + }, + "n533": { + "hide_name": 0, + "bits": [ 3357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1181.32-1181.36" + } + }, + "n534": { + "hide_name": 0, + "bits": [ 3358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1182.32-1182.36" + } + }, + "n535": { + "hide_name": 0, + "bits": [ 874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1183.32-1183.36" + } + }, + "n536": { + "hide_name": 0, + "bits": [ 3289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1184.32-1184.36" + } + }, + "n537": { + "hide_name": 0, + "bits": [ 2691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1185.32-1185.36" + } + }, + "n538": { + "hide_name": 0, + "bits": [ 2948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1186.32-1186.36" + } + }, + "n539": { + "hide_name": 0, + "bits": [ 2949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1187.32-1187.36" + } + }, + "n53a": { + "hide_name": 0, + "bits": [ 3139 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1188.32-1188.36" + } + }, + "n53b": { + "hide_name": 0, + "bits": [ 2694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1189.32-1189.36" + } + }, + "n53c": { + "hide_name": 0, + "bits": [ 3140 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1190.32-1190.36" + } + }, + "n53d": { + "hide_name": 0, + "bits": [ 3359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1191.32-1191.36" + } + }, + "n53e": { + "hide_name": 0, + "bits": [ 3360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1192.32-1192.36" + } + }, + "n53f": { + "hide_name": 0, + "bits": [ 2693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1193.32-1193.36" + } + }, + "n540": { + "hide_name": 0, + "bits": [ 2692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1194.32-1194.36" + } + }, + "n541": { + "hide_name": 0, + "bits": [ 400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1195.32-1195.36" + } + }, + "n542": { + "hide_name": 0, + "bits": [ 3291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1196.32-1196.36" + } + }, + "n543": { + "hide_name": 0, + "bits": [ 3293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1197.32-1197.36" + } + }, + "n544": { + "hide_name": 0, + "bits": [ 877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1198.32-1198.36" + } + }, + "n545": { + "hide_name": 0, + "bits": [ 878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1199.32-1199.36" + } + }, + "n546": { + "hide_name": 0, + "bits": [ 402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1200.32-1200.36" + } + }, + "n547": { + "hide_name": 0, + "bits": [ 3145 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1201.32-1201.36" + } + }, + "n548": { + "hide_name": 0, + "bits": [ 879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1202.32-1202.36" + } + }, + "n549": { + "hide_name": 0, + "bits": [ 880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1203.32-1203.36" + } + }, + "n54a": { + "hide_name": 0, + "bits": [ 881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1204.32-1204.36" + } + }, + "n54b": { + "hide_name": 0, + "bits": [ 882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1205.32-1205.36" + } + }, + "n54c": { + "hide_name": 0, + "bits": [ 3144 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1206.32-1206.36" + } + }, + "n54d": { + "hide_name": 0, + "bits": [ 2700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1207.32-1207.36" + } + }, + "n54e": { + "hide_name": 0, + "bits": [ 851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1208.32-1208.36" + } + }, + "n54f": { + "hide_name": 0, + "bits": [ 852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1209.32-1209.36" + } + }, + "n550": { + "hide_name": 0, + "bits": [ 853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1210.32-1210.36" + } + }, + "n551": { + "hide_name": 0, + "bits": [ 854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1211.32-1211.36" + } + }, + "n552": { + "hide_name": 0, + "bits": [ 855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1212.32-1212.36" + } + }, + "n553": { + "hide_name": 0, + "bits": [ 856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1213.32-1213.36" + } + }, + "n554": { + "hide_name": 0, + "bits": [ 398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1214.32-1214.36" + } + }, + "n555": { + "hide_name": 0, + "bits": [ 3143 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1215.32-1215.36" + } + }, + "n556": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1216.32-1216.36" + } + }, + "n557": { + "hide_name": 0, + "bits": [ 858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1217.32-1217.36" + } + }, + "n558": { + "hide_name": 0, + "bits": [ 859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1218.32-1218.36" + } + }, + "n559": { + "hide_name": 0, + "bits": [ 860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1219.32-1219.36" + } + }, + "n55a": { + "hide_name": 0, + "bits": [ 861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1220.32-1220.36" + } + }, + "n55b": { + "hide_name": 0, + "bits": [ 862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1221.32-1221.36" + } + }, + "n55c": { + "hide_name": 0, + "bits": [ 897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1222.32-1222.36" + } + }, + "n55d": { + "hide_name": 0, + "bits": [ 898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1223.32-1223.36" + } + }, + "n55e": { + "hide_name": 0, + "bits": [ 899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1224.32-1224.36" + } + }, + "n55f": { + "hide_name": 0, + "bits": [ 900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1225.32-1225.36" + } + }, + "n560": { + "hide_name": 0, + "bits": [ 901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1226.32-1226.36" + } + }, + "n561": { + "hide_name": 0, + "bits": [ 902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1227.32-1227.36" + } + }, + "n562": { + "hide_name": 0, + "bits": [ 903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1228.32-1228.36" + } + }, + "n563": { + "hide_name": 0, + "bits": [ 904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1229.32-1229.36" + } + }, + "n564": { + "hide_name": 0, + "bits": [ 905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1230.32-1230.36" + } + }, + "n565": { + "hide_name": 0, + "bits": [ 906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1231.32-1231.36" + } + }, + "n566": { + "hide_name": 0, + "bits": [ 907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1232.32-1232.36" + } + }, + "n567": { + "hide_name": 0, + "bits": [ 908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1233.32-1233.36" + } + }, + "n568": { + "hide_name": 0, + "bits": [ 909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1234.32-1234.36" + } + }, + "n569": { + "hide_name": 0, + "bits": [ 910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1235.32-1235.36" + } + }, + "n56a": { + "hide_name": 0, + "bits": [ 911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1236.32-1236.36" + } + }, + "n56b": { + "hide_name": 0, + "bits": [ 912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1237.32-1237.36" + } + }, + "n56c": { + "hide_name": 0, + "bits": [ 913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1238.32-1238.36" + } + }, + "n56d": { + "hide_name": 0, + "bits": [ 914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1239.32-1239.36" + } + }, + "n56e": { + "hide_name": 0, + "bits": [ 915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1240.32-1240.36" + } + }, + "n56f": { + "hide_name": 0, + "bits": [ 916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1241.32-1241.36" + } + }, + "n570": { + "hide_name": 0, + "bits": [ 885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1242.32-1242.36" + } + }, + "n571": { + "hide_name": 0, + "bits": [ 886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1243.32-1243.36" + } + }, + "n572": { + "hide_name": 0, + "bits": [ 887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1244.32-1244.36" + } + }, + "n573": { + "hide_name": 0, + "bits": [ 888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1245.32-1245.36" + } + }, + "n574": { + "hide_name": 0, + "bits": [ 889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1246.32-1246.36" + } + }, + "n575": { + "hide_name": 0, + "bits": [ 890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1247.32-1247.36" + } + }, + "n576": { + "hide_name": 0, + "bits": [ 891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1248.32-1248.36" + } + }, + "n577": { + "hide_name": 0, + "bits": [ 892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1249.32-1249.36" + } + }, + "n578": { + "hide_name": 0, + "bits": [ 893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1250.32-1250.36" + } + }, + "n579": { + "hide_name": 0, + "bits": [ 894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1251.32-1251.36" + } + }, + "n57a": { + "hide_name": 0, + "bits": [ 895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1252.32-1252.36" + } + }, + "n57b": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1253.32-1253.36" + } + }, + "n57c": { + "hide_name": 0, + "bits": [ 387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1254.32-1254.36" + } + }, + "n57d": { + "hide_name": 0, + "bits": [ 388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1255.32-1255.36" + } + }, + "n57e": { + "hide_name": 0, + "bits": [ 389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1256.32-1256.36" + } + }, + "n57f": { + "hide_name": 0, + "bits": [ 390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1257.32-1257.36" + } + }, + "n580": { + "hide_name": 0, + "bits": [ 391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1258.32-1258.36" + } + }, + "n581": { + "hide_name": 0, + "bits": [ 392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1259.32-1259.36" + } + }, + "n582": { + "hide_name": 0, + "bits": [ 393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1260.32-1260.36" + } + }, + "n583": { + "hide_name": 0, + "bits": [ 394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1261.32-1261.36" + } + }, + "n584": { + "hide_name": 0, + "bits": [ 395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1262.32-1262.36" + } + }, + "n585": { + "hide_name": 0, + "bits": [ 396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1263.32-1263.36" + } + }, + "n586": { + "hide_name": 0, + "bits": [ 3362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1264.32-1264.36" + } + }, + "n587": { + "hide_name": 0, + "bits": [ 3294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1265.32-1265.36" + } + }, + "n588": { + "hide_name": 0, + "bits": [ 3295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1266.32-1266.36" + } + }, + "n589": { + "hide_name": 0, + "bits": [ 2952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1267.32-1267.36" + } + }, + "n58a": { + "hide_name": 0, + "bits": [ 3142 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1268.32-1268.36" + } + }, + "n58b": { + "hide_name": 0, + "bits": [ 2698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1269.32-1269.36" + } + }, + "n58c": { + "hide_name": 0, + "bits": [ 2695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1270.32-1270.36" + } + }, + "n58d": { + "hide_name": 0, + "bits": [ 2950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1271.32-1271.36" + } + }, + "n58e": { + "hide_name": 0, + "bits": [ 3141 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1272.32-1272.36" + } + }, + "n58f": { + "hide_name": 0, + "bits": [ 2951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1273.32-1273.36" + } + }, + "n590": { + "hide_name": 0, + "bits": [ 2696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1274.32-1274.36" + } + }, + "n591": { + "hide_name": 0, + "bits": [ 2697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1275.32-1275.36" + } + }, + "n592": { + "hide_name": 0, + "bits": [ 3296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1276.32-1276.36" + } + }, + "n593": { + "hide_name": 0, + "bits": [ 2699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1277.32-1277.36" + } + }, + "n594": { + "hide_name": 0, + "bits": [ 2703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1278.32-1278.36" + } + }, + "n595": { + "hide_name": 0, + "bits": [ 3146 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1279.32-1279.36" + } + }, + "n596": { + "hide_name": 0, + "bits": [ 2701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1280.32-1280.36" + } + }, + "n597": { + "hide_name": 0, + "bits": [ 2704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1281.32-1281.36" + } + }, + "n598": { + "hide_name": 0, + "bits": [ 2702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1282.32-1282.36" + } + }, + "n599": { + "hide_name": 0, + "bits": [ 3297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1283.32-1283.36" + } + }, + "n59a": { + "hide_name": 0, + "bits": [ 2953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1284.32-1284.36" + } + }, + "n59b": { + "hide_name": 0, + "bits": [ 399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1285.32-1285.36" + } + }, + "n59c": { + "hide_name": 0, + "bits": [ 401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1286.32-1286.36" + } + }, + "n59d": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1287.32-1287.36" + } + }, + "n59e": { + "hide_name": 0, + "bits": [ 3147 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1288.32-1288.36" + } + }, + "n59f": { + "hide_name": 0, + "bits": [ 2956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1289.32-1289.36" + } + }, + "n5a0": { + "hide_name": 0, + "bits": [ 386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1290.32-1290.36" + } + }, + "n5a1": { + "hide_name": 0, + "bits": [ 2957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1291.32-1291.36" + } + }, + "n5a2": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1292.32-1292.36" + } + }, + "n5a3": { + "hide_name": 0, + "bits": [ 3365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1293.32-1293.36" + } + }, + "n5a4": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1294.32-1294.36" + } + }, + "n5a5": { + "hide_name": 0, + "bits": [ 2954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1295.32-1295.36" + } + }, + "n5a6": { + "hide_name": 0, + "bits": [ 2707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1296.32-1296.36" + } + }, + "n5a7": { + "hide_name": 0, + "bits": [ 404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1297.32-1297.36" + } + }, + "n5a8": { + "hide_name": 0, + "bits": [ 2955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1298.32-1298.36" + } + }, + "n5a9": { + "hide_name": 0, + "bits": [ 2706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1299.32-1299.36" + } + }, + "n5aa": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1300.32-1300.36" + } + }, + "n5ab": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1301.32-1301.36" + } + }, + "n5ac": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1302.32-1302.36" + } + }, + "n5ad": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1303.32-1303.36" + } + }, + "n5ae": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1304.32-1304.36" + } + }, + "n5af": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1305.32-1305.36" + } + }, + "n5b0": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1306.32-1306.36" + } + }, + "n5b1": { + "hide_name": 0, + "bits": [ 2960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1307.32-1307.36" + } + }, + "n5b2": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1308.32-1308.36" + } + }, + "n5b3": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1309.32-1309.36" + } + }, + "n5b4": { + "hide_name": 0, + "bits": [ 3367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1310.32-1310.36" + } + }, + "n5b5": { + "hide_name": 0, + "bits": [ 406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1311.32-1311.36" + } + }, + "n5b6": { + "hide_name": 0, + "bits": [ 2710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1312.32-1312.36" + } + }, + "n5b7": { + "hide_name": 0, + "bits": [ 2711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1313.32-1313.36" + } + }, + "n5b8": { + "hide_name": 0, + "bits": [ 2959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1314.32-1314.36" + } + }, + "n5b9": { + "hide_name": 0, + "bits": [ 2709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1315.32-1315.36" + } + }, + "n5ba": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1316.32-1316.36" + } + }, + "n5bb": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1317.32-1317.36" + } + }, + "n5bc": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1318.32-1318.36" + } + }, + "n5bd": { + "hide_name": 0, + "bits": [ 403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1319.32-1319.36" + } + }, + "n5be": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1320.32-1320.36" + } + }, + "n5bf": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1321.32-1321.36" + } + }, + "n5c0": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1322.32-1322.36" + } + }, + "n5c1": { + "hide_name": 0, + "bits": [ 439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1323.32-1323.36" + } + }, + "n5c2": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1324.32-1324.36" + } + }, + "n5c3": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1325.32-1325.36" + } + }, + "n5c4": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1326.32-1326.36" + } + }, + "n5c5": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1327.32-1327.36" + } + }, + "n5c6": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1328.32-1328.36" + } + }, + "n5c7": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1329.32-1329.36" + } + }, + "n5c8": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1330.32-1330.36" + } + }, + "n5c9": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1331.32-1331.36" + } + }, + "n5ca": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1332.32-1332.36" + } + }, + "n5cb": { + "hide_name": 0, + "bits": [ 3300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1333.32-1333.36" + } + }, + "n5cc": { + "hide_name": 0, + "bits": [ 2716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1334.32-1334.36" + } + }, + "n5cd": { + "hide_name": 0, + "bits": [ 3152 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1335.32-1335.36" + } + }, + "n5ce": { + "hide_name": 0, + "bits": [ 2969 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1336.32-1336.36" + } + }, + "n5cf": { + "hide_name": 0, + "bits": [ 3301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1337.32-1337.36" + } + }, + "n5d0": { + "hide_name": 0, + "bits": [ 3151 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1338.32-1338.36" + } + }, + "n5d1": { + "hide_name": 0, + "bits": [ 3368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1339.32-1339.36" + } + }, + "n5d2": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1340.32-1340.36" + } + }, + "n5d3": { + "hide_name": 0, + "bits": [ 454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1341.32-1341.36" + } + }, + "n5d4": { + "hide_name": 0, + "bits": [ 2843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1342.32-1342.36" + } + }, + "n5d5": { + "hide_name": 0, + "bits": [ 2713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1343.32-1343.36" + } + }, + "n5d6": { + "hide_name": 0, + "bits": [ 3312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1344.32-1344.36" + } + }, + "n5d7": { + "hide_name": 0, + "bits": [ 2714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1345.32-1345.36" + } + }, + "n5d8": { + "hide_name": 0, + "bits": [ 2966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1346.32-1346.36" + } + }, + "n5d9": { + "hide_name": 0, + "bits": [ 2965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1347.32-1347.36" + } + }, + "n5da": { + "hide_name": 0, + "bits": [ 2715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1348.32-1348.36" + } + }, + "n5db": { + "hide_name": 0, + "bits": [ 2967 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1349.32-1349.36" + } + }, + "n5dc": { + "hide_name": 0, + "bits": [ 464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1350.32-1350.36" + } + }, + "n5dd": { + "hide_name": 0, + "bits": [ 3369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1351.32-1351.36" + } + }, + "n5de": { + "hide_name": 0, + "bits": [ 2712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1352.32-1352.36" + } + }, + "n5df": { + "hide_name": 0, + "bits": [ 2962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1353.32-1353.36" + } + }, + "n5e0": { + "hide_name": 0, + "bits": [ 3370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1354.32-1354.36" + } + }, + "n5e1": { + "hide_name": 0, + "bits": [ 2963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1355.32-1355.36" + } + }, + "n5e2": { + "hide_name": 0, + "bits": [ 3150 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1356.32-1356.36" + } + }, + "n5e3": { + "hide_name": 0, + "bits": [ 2964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1357.32-1357.36" + } + }, + "n5e4": { + "hide_name": 0, + "bits": [ 3371 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1358.32-1358.36" + } + }, + "n5e5": { + "hide_name": 0, + "bits": [ 2961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1359.32-1359.36" + } + }, + "n5e6": { + "hide_name": 0, + "bits": [ 2875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1360.32-1360.36" + } + }, + "n5e7": { + "hide_name": 0, + "bits": [ 2844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1361.32-1361.36" + } + }, + "n5e8": { + "hide_name": 0, + "bits": [ 470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1362.32-1362.36" + } + }, + "n5e9": { + "hide_name": 0, + "bits": [ 468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1363.32-1363.36" + } + }, + "n5ea": { + "hide_name": 0, + "bits": [ 458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1364.32-1364.36" + } + }, + "n5eb": { + "hide_name": 0, + "bits": [ 3062 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1365.32-1365.36" + } + }, + "n5ec": { + "hide_name": 0, + "bits": [ 2717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1366.32-1366.36" + } + }, + "n5ed": { + "hide_name": 0, + "bits": [ 1296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1367.32-1367.36" + } + }, + "n5ee": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1368.32-1368.36" + } + }, + "n5ef": { + "hide_name": 0, + "bits": [ 3372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1369.32-1369.36" + } + }, + "n5f0": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1370.32-1370.36" + } + }, + "n5f1": { + "hide_name": 0, + "bits": [ 3373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1371.32-1371.36" + } + }, + "n5f2": { + "hide_name": 0, + "bits": [ 1926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1372.32-1372.36" + } + }, + "n5f3": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1373.32-1373.36" + } + }, + "n5f4": { + "hide_name": 0, + "bits": [ 456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1374.32-1374.36" + } + }, + "n5f5": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1375.32-1375.36" + } + }, + "n5f6": { + "hide_name": 0, + "bits": [ 462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1376.32-1376.36" + } + }, + "n5f7": { + "hide_name": 0, + "bits": [ 2968 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1377.32-1377.36" + } + }, + "n5f8": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1378.32-1378.36" + } + }, + "n5f9": { + "hide_name": 0, + "bits": [ 419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1379.32-1379.36" + } + }, + "n5fa": { + "hide_name": 0, + "bits": [ 455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1380.32-1380.36" + } + }, + "n5fb": { + "hide_name": 0, + "bits": [ 457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1381.32-1381.36" + } + }, + "n5fc": { + "hide_name": 0, + "bits": [ 459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1382.32-1382.36" + } + }, + "n5fd": { + "hide_name": 0, + "bits": [ 461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1383.32-1383.36" + } + }, + "n5fe": { + "hide_name": 0, + "bits": [ 463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1384.32-1384.36" + } + }, + "n5ff": { + "hide_name": 0, + "bits": [ 465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1385.32-1385.36" + } + }, + "n600": { + "hide_name": 0, + "bits": [ 467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1386.32-1386.36" + } + }, + "n601": { + "hide_name": 0, + "bits": [ 469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1387.32-1387.36" + } + }, + "n602": { + "hide_name": 0, + "bits": [ 471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1388.32-1388.36" + } + }, + "n603": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1389.32-1389.36" + } + }, + "n604": { + "hide_name": 0, + "bits": [ 443 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1390.32-1390.36" + } + }, + "n605": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1391.32-1391.36" + } + }, + "n606": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1392.32-1392.36" + } + }, + "n607": { + "hide_name": 0, + "bits": [ 2718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1393.32-1393.36" + } + }, + "n608": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1394.32-1394.36" + } + }, + "n609": { + "hide_name": 0, + "bits": [ 1032 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1395.32-1395.36" + } + }, + "n60a": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1396.32-1396.36" + } + }, + "n60b": { + "hide_name": 0, + "bits": [ 1076 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1397.32-1397.36" + } + }, + "n60c": { + "hide_name": 0, + "bits": [ 2977 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1398.32-1398.36" + } + }, + "n60d": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1399.32-1399.36" + } + }, + "n60e": { + "hide_name": 0, + "bits": [ 2719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1400.32-1400.36" + } + }, + "n60f": { + "hide_name": 0, + "bits": [ 1034 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1401.32-1401.36" + } + }, + "n610": { + "hide_name": 0, + "bits": [ 1075 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1402.32-1402.36" + } + }, + "n611": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1403.32-1403.36" + } + }, + "n612": { + "hide_name": 0, + "bits": [ 2720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1404.32-1404.36" + } + }, + "n613": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1405.32-1405.36" + } + }, + "n614": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1406.32-1406.36" + } + }, + "n615": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1407.32-1407.36" + } + }, + "n616": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1408.32-1408.36" + } + }, + "n617": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1409.32-1409.36" + } + }, + "n618": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1410.32-1410.36" + } + }, + "n619": { + "hide_name": 0, + "bits": [ 495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1411.32-1411.36" + } + }, + "n61a": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1412.32-1412.36" + } + }, + "n61b": { + "hide_name": 0, + "bits": [ 497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1413.32-1413.36" + } + }, + "n61c": { + "hide_name": 0, + "bits": [ 498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1414.32-1414.36" + } + }, + "n61d": { + "hide_name": 0, + "bits": [ 499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1415.32-1415.36" + } + }, + "n61e": { + "hide_name": 0, + "bits": [ 500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1416.32-1416.36" + } + }, + "n61f": { + "hide_name": 0, + "bits": [ 501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1417.32-1417.36" + } + }, + "n620": { + "hide_name": 0, + "bits": [ 502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1418.32-1418.36" + } + }, + "n621": { + "hide_name": 0, + "bits": [ 503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1419.32-1419.36" + } + }, + "n622": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1420.32-1420.36" + } + }, + "n623": { + "hide_name": 0, + "bits": [ 3374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1421.32-1421.36" + } + }, + "n624": { + "hide_name": 0, + "bits": [ 3306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1422.32-1422.36" + } + }, + "n625": { + "hide_name": 0, + "bits": [ 1326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1423.32-1423.36" + } + }, + "n626": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1424.32-1424.36" + } + }, + "n627": { + "hide_name": 0, + "bits": [ 3156 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1425.32-1425.36" + } + }, + "n628": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1426.32-1426.36" + } + }, + "n629": { + "hide_name": 0, + "bits": [ 2978 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1427.32-1427.36" + } + }, + "n62a": { + "hide_name": 0, + "bits": [ 3157 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1428.32-1428.36" + } + }, + "n62b": { + "hide_name": 0, + "bits": [ 1323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1429.32-1429.36" + } + }, + "n62c": { + "hide_name": 0, + "bits": [ 3302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1430.32-1430.36" + } + }, + "n62d": { + "hide_name": 0, + "bits": [ 2721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1431.32-1431.36" + } + }, + "n62e": { + "hide_name": 0, + "bits": [ 2722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1432.32-1432.36" + } + }, + "n62f": { + "hide_name": 0, + "bits": [ 505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1433.32-1433.36" + } + }, + "n630": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1434.32-1434.36" + } + }, + "n631": { + "hide_name": 0, + "bits": [ 507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1435.32-1435.36" + } + }, + "n632": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1436.32-1436.36" + } + }, + "n633": { + "hide_name": 0, + "bits": [ 477 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1437.32-1437.36" + } + }, + "n634": { + "hide_name": 0, + "bits": [ 479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1438.32-1438.36" + } + }, + "n635": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1439.32-1439.36" + } + }, + "n636": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1440.32-1440.36" + } + }, + "n637": { + "hide_name": 0, + "bits": [ 483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1441.32-1441.36" + } + }, + "n638": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1442.32-1442.36" + } + }, + "n639": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1443.32-1443.36" + } + }, + "n63a": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1444.32-1444.36" + } + }, + "n63b": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1445.32-1445.36" + } + }, + "n63c": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1446.32-1446.36" + } + }, + "n63d": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1447.32-1447.36" + } + }, + "n63e": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1448.32-1448.36" + } + }, + "n63f": { + "hide_name": 0, + "bits": [ 3303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1449.32-1449.36" + } + }, + "n640": { + "hide_name": 0, + "bits": [ 3304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1450.32-1450.36" + } + }, + "n641": { + "hide_name": 0, + "bits": [ 3305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1451.32-1451.36" + } + }, + "n642": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1452.32-1452.36" + } + }, + "n643": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1453.32-1453.36" + } + }, + "n644": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1454.32-1454.36" + } + }, + "n645": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1455.32-1455.36" + } + }, + "n646": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1456.32-1456.36" + } + }, + "n647": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1457.32-1457.36" + } + }, + "n648": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1458.32-1458.36" + } + }, + "n649": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1459.32-1459.36" + } + }, + "n64a": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1460.32-1460.36" + } + }, + "n64b": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1461.32-1461.36" + } + }, + "n64c": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1462.32-1462.36" + } + }, + "n64d": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1463.32-1463.36" + } + }, + "n64e": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1464.32-1464.36" + } + }, + "n64f": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1465.32-1465.36" + } + }, + "n650": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1466.32-1466.36" + } + }, + "n651": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1467.32-1467.36" + } + }, + "n652": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1468.32-1468.36" + } + }, + "n653": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1469.32-1469.36" + } + }, + "n654": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1470.32-1470.36" + } + }, + "n655": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1471.32-1471.36" + } + }, + "n656": { + "hide_name": 0, + "bits": [ 2971 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1472.32-1472.36" + } + }, + "n657": { + "hide_name": 0, + "bits": [ 1182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1473.32-1473.36" + } + }, + "n658": { + "hide_name": 0, + "bits": [ 1324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1474.32-1474.36" + } + }, + "n659": { + "hide_name": 0, + "bits": [ 2970 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1475.32-1475.36" + } + }, + "n65a": { + "hide_name": 0, + "bits": [ 3375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1476.32-1476.36" + } + }, + "n65b": { + "hide_name": 0, + "bits": [ 1180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1477.32-1477.36" + } + }, + "n65c": { + "hide_name": 0, + "bits": [ 1181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1478.32-1478.36" + } + }, + "n65d": { + "hide_name": 0, + "bits": [ 1179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1479.32-1479.36" + } + }, + "n65e": { + "hide_name": 0, + "bits": [ 2724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1480.32-1480.36" + } + }, + "n65f": { + "hide_name": 0, + "bits": [ 513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1481.32-1481.36" + } + }, + "n660": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1482.32-1482.36" + } + }, + "n661": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1483.32-1483.36" + } + }, + "n662": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1484.32-1484.36" + } + }, + "n663": { + "hide_name": 0, + "bits": [ 517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1485.32-1485.36" + } + }, + "n664": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1486.32-1486.36" + } + }, + "n665": { + "hide_name": 0, + "bits": [ 519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1487.32-1487.36" + } + }, + "n666": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1488.32-1488.36" + } + }, + "n667": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1489.32-1489.36" + } + }, + "n668": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1490.32-1490.36" + } + }, + "n669": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1491.32-1491.36" + } + }, + "n66a": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1492.32-1492.36" + } + }, + "n66b": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1493.32-1493.36" + } + }, + "n66c": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1494.32-1494.36" + } + }, + "n66d": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1495.32-1495.36" + } + }, + "n66e": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1496.32-1496.36" + } + }, + "n66f": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1497.32-1497.36" + } + }, + "n670": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1498.32-1498.36" + } + }, + "n671": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1499.32-1499.36" + } + }, + "n672": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1500.32-1500.36" + } + }, + "n673": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1501.32-1501.36" + } + }, + "n674": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1502.32-1502.36" + } + }, + "n675": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1503.32-1503.36" + } + }, + "n676": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1504.32-1504.36" + } + }, + "n677": { + "hide_name": 0, + "bits": [ 571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1505.32-1505.36" + } + }, + "n678": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1506.32-1506.36" + } + }, + "n679": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1507.32-1507.36" + } + }, + "n67a": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1508.32-1508.36" + } + }, + "n67b": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1509.32-1509.36" + } + }, + "n67c": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1510.32-1510.36" + } + }, + "n67d": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1511.32-1511.36" + } + }, + "n67e": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1512.32-1512.36" + } + }, + "n67f": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1513.32-1513.36" + } + }, + "n680": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1514.32-1514.36" + } + }, + "n681": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1515.32-1515.36" + } + }, + "n682": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1516.32-1516.36" + } + }, + "n683": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1517.32-1517.36" + } + }, + "n684": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1518.32-1518.36" + } + }, + "n685": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1519.32-1519.36" + } + }, + "n686": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1520.32-1520.36" + } + }, + "n687": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1521.32-1521.36" + } + }, + "n688": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1522.32-1522.36" + } + }, + "n689": { + "hide_name": 0, + "bits": [ 1137 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1523.32-1523.36" + } + }, + "n68a": { + "hide_name": 0, + "bits": [ 1138 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1524.32-1524.36" + } + }, + "n68b": { + "hide_name": 0, + "bits": [ 1139 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1525.32-1525.36" + } + }, + "n68c": { + "hide_name": 0, + "bits": [ 1140 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1526.32-1526.36" + } + }, + "n68d": { + "hide_name": 0, + "bits": [ 1141 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1527.32-1527.36" + } + }, + "n68e": { + "hide_name": 0, + "bits": [ 1142 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1528.32-1528.36" + } + }, + "n68f": { + "hide_name": 0, + "bits": [ 1143 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1529.32-1529.36" + } + }, + "n690": { + "hide_name": 0, + "bits": [ 1144 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1530.32-1530.36" + } + }, + "n691": { + "hide_name": 0, + "bits": [ 1145 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1531.32-1531.36" + } + }, + "n692": { + "hide_name": 0, + "bits": [ 1146 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1532.32-1532.36" + } + }, + "n693": { + "hide_name": 0, + "bits": [ 1147 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1533.32-1533.36" + } + }, + "n694": { + "hide_name": 0, + "bits": [ 1148 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1534.32-1534.36" + } + }, + "n695": { + "hide_name": 0, + "bits": [ 1149 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1535.32-1535.36" + } + }, + "n696": { + "hide_name": 0, + "bits": [ 1150 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1536.32-1536.36" + } + }, + "n697": { + "hide_name": 0, + "bits": [ 1151 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1537.32-1537.36" + } + }, + "n698": { + "hide_name": 0, + "bits": [ 1152 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1538.32-1538.36" + } + }, + "n699": { + "hide_name": 0, + "bits": [ 1153 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1539.32-1539.36" + } + }, + "n69a": { + "hide_name": 0, + "bits": [ 1154 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1540.32-1540.36" + } + }, + "n69b": { + "hide_name": 0, + "bits": [ 1155 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1541.32-1541.36" + } + }, + "n69c": { + "hide_name": 0, + "bits": [ 1156 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1542.32-1542.36" + } + }, + "n69d": { + "hide_name": 0, + "bits": [ 1125 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1543.32-1543.36" + } + }, + "n69e": { + "hide_name": 0, + "bits": [ 1126 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1544.32-1544.36" + } + }, + "n69f": { + "hide_name": 0, + "bits": [ 1127 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1545.32-1545.36" + } + }, + "n6a0": { + "hide_name": 0, + "bits": [ 1128 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1546.32-1546.36" + } + }, + "n6a1": { + "hide_name": 0, + "bits": [ 1129 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1547.32-1547.36" + } + }, + "n6a2": { + "hide_name": 0, + "bits": [ 1130 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1548.32-1548.36" + } + }, + "n6a3": { + "hide_name": 0, + "bits": [ 1131 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1549.32-1549.36" + } + }, + "n6a4": { + "hide_name": 0, + "bits": [ 1132 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1550.32-1550.36" + } + }, + "n6a5": { + "hide_name": 0, + "bits": [ 3376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1551.32-1551.36" + } + }, + "n6a6": { + "hide_name": 0, + "bits": [ 2726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1552.32-1552.36" + } + }, + "n6a7": { + "hide_name": 0, + "bits": [ 2725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1553.32-1553.36" + } + }, + "n6a8": { + "hide_name": 0, + "bits": [ 1174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1554.32-1554.36" + } + }, + "n6a9": { + "hide_name": 0, + "bits": [ 2727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1555.32-1555.36" + } + }, + "n6aa": { + "hide_name": 0, + "bits": [ 2974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1556.32-1556.36" + } + }, + "n6ab": { + "hide_name": 0, + "bits": [ 1135 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1557.32-1557.36" + } + }, + "n6ac": { + "hide_name": 0, + "bits": [ 3377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1558.32-1558.36" + } + }, + "n6ad": { + "hide_name": 0, + "bits": [ 3378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1559.32-1559.36" + } + }, + "n6ae": { + "hide_name": 0, + "bits": [ 1175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1560.32-1560.36" + } + }, + "n6af": { + "hide_name": 0, + "bits": [ 1172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1561.32-1561.36" + } + }, + "n6b0": { + "hide_name": 0, + "bits": [ 3307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1562.32-1562.36" + } + }, + "n6b1": { + "hide_name": 0, + "bits": [ 2728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1563.32-1563.36" + } + }, + "n6b2": { + "hide_name": 0, + "bits": [ 2729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1564.32-1564.36" + } + }, + "n6b3": { + "hide_name": 0, + "bits": [ 2730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1565.32-1565.36" + } + }, + "n6b4": { + "hide_name": 0, + "bits": [ 1176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1566.32-1566.36" + } + }, + "n6b5": { + "hide_name": 0, + "bits": [ 2972 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1567.32-1567.36" + } + }, + "n6b6": { + "hide_name": 0, + "bits": [ 3154 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1568.32-1568.36" + } + }, + "n6b7": { + "hide_name": 0, + "bits": [ 2731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1569.32-1569.36" + } + }, + "n6b8": { + "hide_name": 0, + "bits": [ 2733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1570.32-1570.36" + } + }, + "n6b9": { + "hide_name": 0, + "bits": [ 2734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1571.32-1571.36" + } + }, + "n6ba": { + "hide_name": 0, + "bits": [ 2732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1572.32-1572.36" + } + }, + "n6bb": { + "hide_name": 0, + "bits": [ 2973 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1573.32-1573.36" + } + }, + "n6bc": { + "hide_name": 0, + "bits": [ 1136 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1574.32-1574.36" + } + }, + "n6bd": { + "hide_name": 0, + "bits": [ 1133 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1575.32-1575.36" + } + }, + "n6be": { + "hide_name": 0, + "bits": [ 1177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1576.32-1576.36" + } + }, + "n6bf": { + "hide_name": 0, + "bits": [ 1173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1577.32-1577.36" + } + }, + "n6c0": { + "hide_name": 0, + "bits": [ 3155 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1578.32-1578.36" + } + }, + "n6c1": { + "hide_name": 0, + "bits": [ 1178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1579.32-1579.36" + } + }, + "n6c2": { + "hide_name": 0, + "bits": [ 1134 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1580.32-1580.36" + } + }, + "n6c3": { + "hide_name": 0, + "bits": [ 3311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1581.32-1581.36" + } + }, + "n6c4": { + "hide_name": 0, + "bits": [ 2736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1582.32-1582.36" + } + }, + "n6c5": { + "hide_name": 0, + "bits": [ 2740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1583.32-1583.36" + } + }, + "n6c6": { + "hide_name": 0, + "bits": [ 2975 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1584.32-1584.36" + } + }, + "n6c7": { + "hide_name": 0, + "bits": [ 2976 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1585.32-1585.36" + } + }, + "n6c8": { + "hide_name": 0, + "bits": [ 3310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1586.32-1586.36" + } + }, + "n6c9": { + "hide_name": 0, + "bits": [ 3309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1587.32-1587.36" + } + }, + "n6ca": { + "hide_name": 0, + "bits": [ 2738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1588.32-1588.36" + } + }, + "n6cb": { + "hide_name": 0, + "bits": [ 2737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1589.32-1589.36" + } + }, + "n6cc": { + "hide_name": 0, + "bits": [ 3308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1590.32-1590.36" + } + }, + "n6cd": { + "hide_name": 0, + "bits": [ 2735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1591.32-1591.36" + } + }, + "n6ce": { + "hide_name": 0, + "bits": [ 1171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1592.32-1592.36" + } + }, + "n6cf": { + "hide_name": 0, + "bits": [ 2741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1593.32-1593.36" + } + }, + "n6d0": { + "hide_name": 0, + "bits": [ 3380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1594.32-1594.36" + } + }, + "n6d1": { + "hide_name": 0, + "bits": [ 2747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1595.32-1595.36" + } + }, + "n6d2": { + "hide_name": 0, + "bits": [ 3396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1596.32-1596.36" + } + }, + "n6d3": { + "hide_name": 0, + "bits": [ 1183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1597.32-1597.36" + } + }, + "n6d4": { + "hide_name": 0, + "bits": [ 1184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1598.32-1598.36" + } + }, + "n6d5": { + "hide_name": 0, + "bits": [ 1185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1599.32-1599.36" + } + }, + "n6d6": { + "hide_name": 0, + "bits": [ 1186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1600.32-1600.36" + } + }, + "n6d7": { + "hide_name": 0, + "bits": [ 1187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1601.32-1601.36" + } + }, + "n6d8": { + "hide_name": 0, + "bits": [ 1188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1602.32-1602.36" + } + }, + "n6d9": { + "hide_name": 0, + "bits": [ 1189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1603.32-1603.36" + } + }, + "n6da": { + "hide_name": 0, + "bits": [ 1190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1604.32-1604.36" + } + }, + "n6db": { + "hide_name": 0, + "bits": [ 1159 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1605.32-1605.36" + } + }, + "n6dc": { + "hide_name": 0, + "bits": [ 1160 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1606.32-1606.36" + } + }, + "n6dd": { + "hide_name": 0, + "bits": [ 1161 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1607.32-1607.36" + } + }, + "n6de": { + "hide_name": 0, + "bits": [ 1162 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1608.32-1608.36" + } + }, + "n6df": { + "hide_name": 0, + "bits": [ 1163 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1609.32-1609.36" + } + }, + "n6e0": { + "hide_name": 0, + "bits": [ 1164 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1610.32-1610.36" + } + }, + "n6e1": { + "hide_name": 0, + "bits": [ 1165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1611.32-1611.36" + } + }, + "n6e2": { + "hide_name": 0, + "bits": [ 1166 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1612.32-1612.36" + } + }, + "n6e3": { + "hide_name": 0, + "bits": [ 1167 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1613.32-1613.36" + } + }, + "n6e4": { + "hide_name": 0, + "bits": [ 1168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1614.32-1614.36" + } + }, + "n6e5": { + "hide_name": 0, + "bits": [ 1169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1615.32-1615.36" + } + }, + "n6e6": { + "hide_name": 0, + "bits": [ 1170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1616.32-1616.36" + } + }, + "n6e7": { + "hide_name": 0, + "bits": [ 1205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1617.32-1617.36" + } + }, + "n6e8": { + "hide_name": 0, + "bits": [ 1206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1618.32-1618.36" + } + }, + "n6e9": { + "hide_name": 0, + "bits": [ 1207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1619.32-1619.36" + } + }, + "n6ea": { + "hide_name": 0, + "bits": [ 1208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1620.32-1620.36" + } + }, + "n6eb": { + "hide_name": 0, + "bits": [ 1209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1621.32-1621.36" + } + }, + "n6ec": { + "hide_name": 0, + "bits": [ 1210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1622.32-1622.36" + } + }, + "n6ed": { + "hide_name": 0, + "bits": [ 1211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1623.32-1623.36" + } + }, + "n6ee": { + "hide_name": 0, + "bits": [ 1212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1624.32-1624.36" + } + }, + "n6ef": { + "hide_name": 0, + "bits": [ 1213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1625.32-1625.36" + } + }, + "n6f0": { + "hide_name": 0, + "bits": [ 1214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1626.32-1626.36" + } + }, + "n6f1": { + "hide_name": 0, + "bits": [ 1215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1627.32-1627.36" + } + }, + "n6f2": { + "hide_name": 0, + "bits": [ 1216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1628.32-1628.36" + } + }, + "n6f3": { + "hide_name": 0, + "bits": [ 1217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1629.32-1629.36" + } + }, + "n6f4": { + "hide_name": 0, + "bits": [ 1218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1630.32-1630.36" + } + }, + "n6f5": { + "hide_name": 0, + "bits": [ 1219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1631.32-1631.36" + } + }, + "n6f6": { + "hide_name": 0, + "bits": [ 1220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1632.32-1632.36" + } + }, + "n6f7": { + "hide_name": 0, + "bits": [ 1221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1633.32-1633.36" + } + }, + "n6f8": { + "hide_name": 0, + "bits": [ 1222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1634.32-1634.36" + } + }, + "n6f9": { + "hide_name": 0, + "bits": [ 1223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1635.32-1635.36" + } + }, + "n6fa": { + "hide_name": 0, + "bits": [ 1224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1636.32-1636.36" + } + }, + "n6fb": { + "hide_name": 0, + "bits": [ 1193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1637.32-1637.36" + } + }, + "n6fc": { + "hide_name": 0, + "bits": [ 1194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1638.32-1638.36" + } + }, + "n6fd": { + "hide_name": 0, + "bits": [ 1195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1639.32-1639.36" + } + }, + "n6fe": { + "hide_name": 0, + "bits": [ 1196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1640.32-1640.36" + } + }, + "n6ff": { + "hide_name": 0, + "bits": [ 1197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1641.32-1641.36" + } + }, + "n700": { + "hide_name": 0, + "bits": [ 1198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1642.32-1642.36" + } + }, + "n701": { + "hide_name": 0, + "bits": [ 1199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1643.32-1643.36" + } + }, + "n702": { + "hide_name": 0, + "bits": [ 1200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1644.32-1644.36" + } + }, + "n703": { + "hide_name": 0, + "bits": [ 1201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1645.32-1645.36" + } + }, + "n704": { + "hide_name": 0, + "bits": [ 1202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1646.32-1646.36" + } + }, + "n705": { + "hide_name": 0, + "bits": [ 1203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1647.32-1647.36" + } + }, + "n706": { + "hide_name": 0, + "bits": [ 1204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1648.32-1648.36" + } + }, + "n707": { + "hide_name": 0, + "bits": [ 1239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1649.32-1649.36" + } + }, + "n708": { + "hide_name": 0, + "bits": [ 1240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1650.32-1650.36" + } + }, + "n709": { + "hide_name": 0, + "bits": [ 1241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1651.32-1651.36" + } + }, + "n70a": { + "hide_name": 0, + "bits": [ 1242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1652.32-1652.36" + } + }, + "n70b": { + "hide_name": 0, + "bits": [ 1243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1653.32-1653.36" + } + }, + "n70c": { + "hide_name": 0, + "bits": [ 1244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1654.32-1654.36" + } + }, + "n70d": { + "hide_name": 0, + "bits": [ 1245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1655.32-1655.36" + } + }, + "n70e": { + "hide_name": 0, + "bits": [ 1246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1656.32-1656.36" + } + }, + "n70f": { + "hide_name": 0, + "bits": [ 1247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1657.32-1657.36" + } + }, + "n710": { + "hide_name": 0, + "bits": [ 1248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1658.32-1658.36" + } + }, + "n711": { + "hide_name": 0, + "bits": [ 1249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1659.32-1659.36" + } + }, + "n712": { + "hide_name": 0, + "bits": [ 1250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1660.32-1660.36" + } + }, + "n713": { + "hide_name": 0, + "bits": [ 1251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1661.32-1661.36" + } + }, + "n714": { + "hide_name": 0, + "bits": [ 1252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1662.32-1662.36" + } + }, + "n715": { + "hide_name": 0, + "bits": [ 1253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1663.32-1663.36" + } + }, + "n716": { + "hide_name": 0, + "bits": [ 1254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1664.32-1664.36" + } + }, + "n717": { + "hide_name": 0, + "bits": [ 1255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1665.32-1665.36" + } + }, + "n718": { + "hide_name": 0, + "bits": [ 1256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1666.32-1666.36" + } + }, + "n719": { + "hide_name": 0, + "bits": [ 1257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1667.32-1667.36" + } + }, + "n71a": { + "hide_name": 0, + "bits": [ 1258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1668.32-1668.36" + } + }, + "n71b": { + "hide_name": 0, + "bits": [ 1227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1669.32-1669.36" + } + }, + "n71c": { + "hide_name": 0, + "bits": [ 1228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1670.32-1670.36" + } + }, + "n71d": { + "hide_name": 0, + "bits": [ 1229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1671.32-1671.36" + } + }, + "n71e": { + "hide_name": 0, + "bits": [ 1230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1672.32-1672.36" + } + }, + "n71f": { + "hide_name": 0, + "bits": [ 2742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1673.32-1673.36" + } + }, + "n720": { + "hide_name": 0, + "bits": [ 1232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1674.32-1674.36" + } + }, + "n721": { + "hide_name": 0, + "bits": [ 2979 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1675.32-1675.36" + } + }, + "n722": { + "hide_name": 0, + "bits": [ 1234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1676.32-1676.36" + } + }, + "n723": { + "hide_name": 0, + "bits": [ 3159 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1677.32-1677.36" + } + }, + "n724": { + "hide_name": 0, + "bits": [ 2980 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1678.32-1678.36" + } + }, + "n725": { + "hide_name": 0, + "bits": [ 3160 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1679.32-1679.36" + } + }, + "n726": { + "hide_name": 0, + "bits": [ 1273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1680.32-1680.36" + } + }, + "n727": { + "hide_name": 0, + "bits": [ 1235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1681.32-1681.36" + } + }, + "n728": { + "hide_name": 0, + "bits": [ 1236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1682.32-1682.36" + } + }, + "n729": { + "hide_name": 0, + "bits": [ 1233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1683.32-1683.36" + } + }, + "n72a": { + "hide_name": 0, + "bits": [ 3384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1684.32-1684.36" + } + }, + "n72b": { + "hide_name": 0, + "bits": [ 3162 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1685.32-1685.36" + } + }, + "n72c": { + "hide_name": 0, + "bits": [ 2982 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1686.32-1686.36" + } + }, + "n72d": { + "hide_name": 0, + "bits": [ 3161 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1687.32-1687.36" + } + }, + "n72e": { + "hide_name": 0, + "bits": [ 3385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1688.32-1688.36" + } + }, + "n72f": { + "hide_name": 0, + "bits": [ 3386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1689.32-1689.36" + } + }, + "n730": { + "hide_name": 0, + "bits": [ 3387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1690.32-1690.36" + } + }, + "n731": { + "hide_name": 0, + "bits": [ 3388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1691.32-1691.36" + } + }, + "n732": { + "hide_name": 0, + "bits": [ 2743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1692.32-1692.36" + } + }, + "n733": { + "hide_name": 0, + "bits": [ 3313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1693.32-1693.36" + } + }, + "n734": { + "hide_name": 0, + "bits": [ 3389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1694.32-1694.36" + } + }, + "n735": { + "hide_name": 0, + "bits": [ 2746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1695.32-1695.36" + } + }, + "n736": { + "hide_name": 0, + "bits": [ 2983 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1696.32-1696.36" + } + }, + "n737": { + "hide_name": 0, + "bits": [ 2745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1697.32-1697.36" + } + }, + "n738": { + "hide_name": 0, + "bits": [ 2744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1698.32-1698.36" + } + }, + "n739": { + "hide_name": 0, + "bits": [ 3163 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1699.32-1699.36" + } + }, + "n73a": { + "hide_name": 0, + "bits": [ 1237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1700.32-1700.36" + } + }, + "n73b": { + "hide_name": 0, + "bits": [ 3390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1701.32-1701.36" + } + }, + "n73c": { + "hide_name": 0, + "bits": [ 2748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1702.32-1702.36" + } + }, + "n73d": { + "hide_name": 0, + "bits": [ 3315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1703.32-1703.36" + } + }, + "n73e": { + "hide_name": 0, + "bits": [ 3391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1704.32-1704.36" + } + }, + "n73f": { + "hide_name": 0, + "bits": [ 3314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1705.32-1705.36" + } + }, + "n740": { + "hide_name": 0, + "bits": [ 3392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1706.32-1706.36" + } + }, + "n741": { + "hide_name": 0, + "bits": [ 1274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1707.32-1707.36" + } + }, + "n742": { + "hide_name": 0, + "bits": [ 1238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1708.32-1708.36" + } + }, + "n743": { + "hide_name": 0, + "bits": [ 3393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1709.32-1709.36" + } + }, + "n744": { + "hide_name": 0, + "bits": [ 3395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1710.32-1710.36" + } + }, + "n745": { + "hide_name": 0, + "bits": [ 2985 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1711.32-1711.36" + } + }, + "n746": { + "hide_name": 0, + "bits": [ 2986 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1712.32-1712.36" + } + }, + "n747": { + "hide_name": 0, + "bits": [ 3164 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1713.32-1713.36" + } + }, + "n748": { + "hide_name": 0, + "bits": [ 2752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1714.32-1714.36" + } + }, + "n749": { + "hide_name": 0, + "bits": [ 2750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1715.32-1715.36" + } + }, + "n74a": { + "hide_name": 0, + "bits": [ 2987 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1716.32-1716.36" + } + }, + "n74b": { + "hide_name": 0, + "bits": [ 2984 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1717.32-1717.36" + } + }, + "n74c": { + "hide_name": 0, + "bits": [ 2749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1718.32-1718.36" + } + }, + "n74d": { + "hide_name": 0, + "bits": [ 3394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1719.32-1719.36" + } + }, + "n74e": { + "hide_name": 0, + "bits": [ 2751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1720.32-1720.36" + } + }, + "n74f": { + "hide_name": 0, + "bits": [ 1231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1721.32-1721.36" + } + }, + "n750": { + "hide_name": 0, + "bits": [ 1275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1722.32-1722.36" + } + }, + "n751": { + "hide_name": 0, + "bits": [ 1276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1723.32-1723.36" + } + }, + "n752": { + "hide_name": 0, + "bits": [ 1277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1724.32-1724.36" + } + }, + "n753": { + "hide_name": 0, + "bits": [ 1278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1725.32-1725.36" + } + }, + "n754": { + "hide_name": 0, + "bits": [ 1279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1726.32-1726.36" + } + }, + "n755": { + "hide_name": 0, + "bits": [ 1280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1727.32-1727.36" + } + }, + "n756": { + "hide_name": 0, + "bits": [ 1281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1728.32-1728.36" + } + }, + "n757": { + "hide_name": 0, + "bits": [ 1282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1729.32-1729.36" + } + }, + "n758": { + "hide_name": 0, + "bits": [ 1283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1730.32-1730.36" + } + }, + "n759": { + "hide_name": 0, + "bits": [ 1284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1731.32-1731.36" + } + }, + "n75a": { + "hide_name": 0, + "bits": [ 1285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1732.32-1732.36" + } + }, + "n75b": { + "hide_name": 0, + "bits": [ 1286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1733.32-1733.36" + } + }, + "n75c": { + "hide_name": 0, + "bits": [ 1287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1734.32-1734.36" + } + }, + "n75d": { + "hide_name": 0, + "bits": [ 1288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1735.32-1735.36" + } + }, + "n75e": { + "hide_name": 0, + "bits": [ 1289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1736.32-1736.36" + } + }, + "n75f": { + "hide_name": 0, + "bits": [ 1290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1737.32-1737.36" + } + }, + "n760": { + "hide_name": 0, + "bits": [ 1291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1738.32-1738.36" + } + }, + "n761": { + "hide_name": 0, + "bits": [ 1292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1739.32-1739.36" + } + }, + "n762": { + "hide_name": 0, + "bits": [ 1261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1740.32-1740.36" + } + }, + "n763": { + "hide_name": 0, + "bits": [ 1262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1741.32-1741.36" + } + }, + "n764": { + "hide_name": 0, + "bits": [ 1263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1742.32-1742.36" + } + }, + "n765": { + "hide_name": 0, + "bits": [ 1264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1743.32-1743.36" + } + }, + "n766": { + "hide_name": 0, + "bits": [ 1265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1744.32-1744.36" + } + }, + "n767": { + "hide_name": 0, + "bits": [ 1266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1745.32-1745.36" + } + }, + "n768": { + "hide_name": 0, + "bits": [ 1267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1746.32-1746.36" + } + }, + "n769": { + "hide_name": 0, + "bits": [ 1268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1747.32-1747.36" + } + }, + "n76a": { + "hide_name": 0, + "bits": [ 1269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1748.32-1748.36" + } + }, + "n76b": { + "hide_name": 0, + "bits": [ 1270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1749.32-1749.36" + } + }, + "n76c": { + "hide_name": 0, + "bits": [ 1271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1750.32-1750.36" + } + }, + "n76d": { + "hide_name": 0, + "bits": [ 1272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1751.32-1751.36" + } + }, + "n76e": { + "hide_name": 0, + "bits": [ 1307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1752.32-1752.36" + } + }, + "n76f": { + "hide_name": 0, + "bits": [ 1308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1753.32-1753.36" + } + }, + "n770": { + "hide_name": 0, + "bits": [ 1309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1754.32-1754.36" + } + }, + "n771": { + "hide_name": 0, + "bits": [ 1310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1755.32-1755.36" + } + }, + "n772": { + "hide_name": 0, + "bits": [ 1311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1756.32-1756.36" + } + }, + "n773": { + "hide_name": 0, + "bits": [ 1312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1757.32-1757.36" + } + }, + "n774": { + "hide_name": 0, + "bits": [ 1313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1758.32-1758.36" + } + }, + "n775": { + "hide_name": 0, + "bits": [ 1314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1759.32-1759.36" + } + }, + "n776": { + "hide_name": 0, + "bits": [ 1315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1760.32-1760.36" + } + }, + "n777": { + "hide_name": 0, + "bits": [ 1316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1761.32-1761.36" + } + }, + "n778": { + "hide_name": 0, + "bits": [ 1317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1762.32-1762.36" + } + }, + "n779": { + "hide_name": 0, + "bits": [ 1318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1763.32-1763.36" + } + }, + "n77a": { + "hide_name": 0, + "bits": [ 1319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1764.32-1764.36" + } + }, + "n77b": { + "hide_name": 0, + "bits": [ 1320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1765.32-1765.36" + } + }, + "n77c": { + "hide_name": 0, + "bits": [ 1321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1766.32-1766.36" + } + }, + "n77d": { + "hide_name": 0, + "bits": [ 1322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1767.32-1767.36" + } + }, + "n77e": { + "hide_name": 0, + "bits": [ 3168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1768.32-1768.36" + } + }, + "n77f": { + "hide_name": 0, + "bits": [ 3167 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1769.32-1769.36" + } + }, + "n780": { + "hide_name": 0, + "bits": [ 2755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1770.32-1770.36" + } + }, + "n781": { + "hide_name": 0, + "bits": [ 3166 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1771.32-1771.36" + } + }, + "n782": { + "hide_name": 0, + "bits": [ 3316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1772.32-1772.36" + } + }, + "n783": { + "hide_name": 0, + "bits": [ 2753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1773.32-1773.36" + } + }, + "n784": { + "hide_name": 0, + "bits": [ 2989 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1774.32-1774.36" + } + }, + "n785": { + "hide_name": 0, + "bits": [ 3317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1775.32-1775.36" + } + }, + "n786": { + "hide_name": 0, + "bits": [ 3165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1776.32-1776.36" + } + }, + "n787": { + "hide_name": 0, + "bits": [ 2988 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1777.32-1777.36" + } + }, + "n788": { + "hide_name": 0, + "bits": [ 2756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1778.32-1778.36" + } + }, + "n789": { + "hide_name": 0, + "bits": [ 1073 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1779.32-1779.36" + } + }, + "n78a": { + "hide_name": 0, + "bits": [ 2990 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1780.32-1780.36" + } + }, + "n78b": { + "hide_name": 0, + "bits": [ 3170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1781.32-1781.36" + } + }, + "n78c": { + "hide_name": 0, + "bits": [ 2757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1782.32-1782.36" + } + }, + "n78d": { + "hide_name": 0, + "bits": [ 3169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1783.32-1783.36" + } + }, + "n78e": { + "hide_name": 0, + "bits": [ 2758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1784.32-1784.36" + } + }, + "n78f": { + "hide_name": 0, + "bits": [ 1033 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1785.32-1785.36" + } + }, + "n790": { + "hide_name": 0, + "bits": [ 1325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1786.32-1786.36" + } + }, + "n791": { + "hide_name": 0, + "bits": [ 3397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1787.32-1787.36" + } + }, + "n792": { + "hide_name": 0, + "bits": [ 3173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1788.32-1788.36" + } + }, + "n793": { + "hide_name": 0, + "bits": [ 2759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1789.32-1789.36" + } + }, + "n794": { + "hide_name": 0, + "bits": [ 3171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1790.32-1790.36" + } + }, + "n795": { + "hide_name": 0, + "bits": [ 2995 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1791.32-1791.36" + } + }, + "n796": { + "hide_name": 0, + "bits": [ 2993 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1792.32-1792.36" + } + }, + "n797": { + "hide_name": 0, + "bits": [ 3398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1793.32-1793.36" + } + }, + "n798": { + "hide_name": 0, + "bits": [ 3318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1794.32-1794.36" + } + }, + "n799": { + "hide_name": 0, + "bits": [ 2996 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1795.32-1795.36" + } + }, + "n79a": { + "hide_name": 0, + "bits": [ 2997 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1796.32-1796.36" + } + }, + "n79b": { + "hide_name": 0, + "bits": [ 2994 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1797.32-1797.36" + } + }, + "n79c": { + "hide_name": 0, + "bits": [ 3174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1798.32-1798.36" + } + }, + "n79d": { + "hide_name": 0, + "bits": [ 2760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1799.32-1799.36" + } + }, + "n79e": { + "hide_name": 0, + "bits": [ 2991 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1800.32-1800.36" + } + }, + "n79f": { + "hide_name": 0, + "bits": [ 1295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1801.32-1801.36" + } + }, + "n7a0": { + "hide_name": 0, + "bits": [ 1297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1802.32-1802.36" + } + }, + "n7a1": { + "hide_name": 0, + "bits": [ 1298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1803.32-1803.36" + } + }, + "n7a2": { + "hide_name": 0, + "bits": [ 1299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1804.32-1804.36" + } + }, + "n7a3": { + "hide_name": 0, + "bits": [ 1300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1805.32-1805.36" + } + }, + "n7a4": { + "hide_name": 0, + "bits": [ 1301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1806.32-1806.36" + } + }, + "n7a5": { + "hide_name": 0, + "bits": [ 1302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1807.32-1807.36" + } + }, + "n7a6": { + "hide_name": 0, + "bits": [ 1303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1808.32-1808.36" + } + }, + "n7a7": { + "hide_name": 0, + "bits": [ 1304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1809.32-1809.36" + } + }, + "n7a8": { + "hide_name": 0, + "bits": [ 1305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1810.32-1810.36" + } + }, + "n7a9": { + "hide_name": 0, + "bits": [ 1306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1811.32-1811.36" + } + }, + "n7aa": { + "hide_name": 0, + "bits": [ 1341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1812.32-1812.36" + } + }, + "n7ab": { + "hide_name": 0, + "bits": [ 1342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1813.32-1813.36" + } + }, + "n7ac": { + "hide_name": 0, + "bits": [ 1343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1814.32-1814.36" + } + }, + "n7ad": { + "hide_name": 0, + "bits": [ 1344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1815.32-1815.36" + } + }, + "n7ae": { + "hide_name": 0, + "bits": [ 1345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1816.32-1816.36" + } + }, + "n7af": { + "hide_name": 0, + "bits": [ 1346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1817.32-1817.36" + } + }, + "n7b0": { + "hide_name": 0, + "bits": [ 1347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1818.32-1818.36" + } + }, + "n7b1": { + "hide_name": 0, + "bits": [ 1348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1819.32-1819.36" + } + }, + "n7b2": { + "hide_name": 0, + "bits": [ 1349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1820.32-1820.36" + } + }, + "n7b3": { + "hide_name": 0, + "bits": [ 1350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1821.32-1821.36" + } + }, + "n7b4": { + "hide_name": 0, + "bits": [ 1351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1822.32-1822.36" + } + }, + "n7b5": { + "hide_name": 0, + "bits": [ 1352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1823.32-1823.36" + } + }, + "n7b6": { + "hide_name": 0, + "bits": [ 1353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1824.32-1824.36" + } + }, + "n7b7": { + "hide_name": 0, + "bits": [ 1354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1825.32-1825.36" + } + }, + "n7b8": { + "hide_name": 0, + "bits": [ 1355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1826.32-1826.36" + } + }, + "n7b9": { + "hide_name": 0, + "bits": [ 1356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1827.32-1827.36" + } + }, + "n7ba": { + "hide_name": 0, + "bits": [ 1357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1828.32-1828.36" + } + }, + "n7bb": { + "hide_name": 0, + "bits": [ 1358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1829.32-1829.36" + } + }, + "n7bc": { + "hide_name": 0, + "bits": [ 1359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1830.32-1830.36" + } + }, + "n7bd": { + "hide_name": 0, + "bits": [ 1360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1831.32-1831.36" + } + }, + "n7be": { + "hide_name": 0, + "bits": [ 1329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1832.32-1832.36" + } + }, + "n7bf": { + "hide_name": 0, + "bits": [ 1330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1833.32-1833.36" + } + }, + "n7c0": { + "hide_name": 0, + "bits": [ 1331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1834.32-1834.36" + } + }, + "n7c1": { + "hide_name": 0, + "bits": [ 1332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1835.32-1835.36" + } + }, + "n7c2": { + "hide_name": 0, + "bits": [ 1333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1836.32-1836.36" + } + }, + "n7c3": { + "hide_name": 0, + "bits": [ 1334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1837.32-1837.36" + } + }, + "n7c4": { + "hide_name": 0, + "bits": [ 1335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1838.32-1838.36" + } + }, + "n7c5": { + "hide_name": 0, + "bits": [ 1336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1839.32-1839.36" + } + }, + "n7c6": { + "hide_name": 0, + "bits": [ 1337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1840.32-1840.36" + } + }, + "n7c7": { + "hide_name": 0, + "bits": [ 1338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1841.32-1841.36" + } + }, + "n7c8": { + "hide_name": 0, + "bits": [ 1339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1842.32-1842.36" + } + }, + "n7c9": { + "hide_name": 0, + "bits": [ 1340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1843.32-1843.36" + } + }, + "n7ca": { + "hide_name": 0, + "bits": [ 1375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1844.32-1844.36" + } + }, + "n7cb": { + "hide_name": 0, + "bits": [ 1376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1845.32-1845.36" + } + }, + "n7cc": { + "hide_name": 0, + "bits": [ 1377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1846.32-1846.36" + } + }, + "n7cd": { + "hide_name": 0, + "bits": [ 1378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1847.32-1847.36" + } + }, + "n7ce": { + "hide_name": 0, + "bits": [ 1379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1848.32-1848.36" + } + }, + "n7cf": { + "hide_name": 0, + "bits": [ 1380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1849.32-1849.36" + } + }, + "n7d0": { + "hide_name": 0, + "bits": [ 1016 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1850.32-1850.36" + } + }, + "n7d1": { + "hide_name": 0, + "bits": [ 992 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1851.32-1851.36" + } + }, + "n7d2": { + "hide_name": 0, + "bits": [ 3319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1852.32-1852.36" + } + }, + "n7d3": { + "hide_name": 0, + "bits": [ 1014 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1853.32-1853.36" + } + }, + "n7d4": { + "hide_name": 0, + "bits": [ 3177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1854.32-1854.36" + } + }, + "n7d5": { + "hide_name": 0, + "bits": [ 2998 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1855.32-1855.36" + } + }, + "n7d6": { + "hide_name": 0, + "bits": [ 1008 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1856.32-1856.36" + } + }, + "n7d7": { + "hide_name": 0, + "bits": [ 3323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1857.32-1857.36" + } + }, + "n7d8": { + "hide_name": 0, + "bits": [ 996 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1858.32-1858.36" + } + }, + "n7d9": { + "hide_name": 0, + "bits": [ 1020 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1859.32-1859.36" + } + }, + "n7da": { + "hide_name": 0, + "bits": [ 3001 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1860.32-1860.36" + } + }, + "n7db": { + "hide_name": 0, + "bits": [ 3320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1861.32-1861.36" + } + }, + "n7dc": { + "hide_name": 0, + "bits": [ 3321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1862.32-1862.36" + } + }, + "n7dd": { + "hide_name": 0, + "bits": [ 3004 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1863.32-1863.36" + } + }, + "n7de": { + "hide_name": 0, + "bits": [ 1017 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1864.32-1864.36" + } + }, + "n7df": { + "hide_name": 0, + "bits": [ 3003 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1865.32-1865.36" + } + }, + "n7e0": { + "hide_name": 0, + "bits": [ 2761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1866.32-1866.36" + } + }, + "n7e1": { + "hide_name": 0, + "bits": [ 2765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1867.32-1867.36" + } + }, + "n7e2": { + "hide_name": 0, + "bits": [ 3322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1868.32-1868.36" + } + }, + "n7e3": { + "hide_name": 0, + "bits": [ 3178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1869.32-1869.36" + } + }, + "n7e4": { + "hide_name": 0, + "bits": [ 1010 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1870.32-1870.36" + } + }, + "n7e5": { + "hide_name": 0, + "bits": [ 3175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1871.32-1871.36" + } + }, + "n7e6": { + "hide_name": 0, + "bits": [ 2999 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1872.32-1872.36" + } + }, + "n7e7": { + "hide_name": 0, + "bits": [ 3000 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1873.32-1873.36" + } + }, + "n7e8": { + "hide_name": 0, + "bits": [ 991 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1874.32-1874.36" + } + }, + "n7e9": { + "hide_name": 0, + "bits": [ 989 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1875.32-1875.36" + } + }, + "n7ea": { + "hide_name": 0, + "bits": [ 1011 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1876.32-1876.36" + } + }, + "n7eb": { + "hide_name": 0, + "bits": [ 1007 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1877.32-1877.36" + } + }, + "n7ec": { + "hide_name": 0, + "bits": [ 993 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1878.32-1878.36" + } + }, + "n7ed": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1879.32-1879.36" + } + }, + "n7ee": { + "hide_name": 0, + "bits": [ 990 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1880.32-1880.36" + } + }, + "n7ef": { + "hide_name": 0, + "bits": [ 994 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1881.32-1881.36" + } + }, + "n7f0": { + "hide_name": 0, + "bits": [ 2763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1882.32-1882.36" + } + }, + "n7f1": { + "hide_name": 0, + "bits": [ 3399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1883.32-1883.36" + } + }, + "n7f2": { + "hide_name": 0, + "bits": [ 1012 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1884.32-1884.36" + } + }, + "n7f3": { + "hide_name": 0, + "bits": [ 3027 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1885.32-1885.36" + } + }, + "n7f4": { + "hide_name": 0, + "bits": [ 3002 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1886.32-1886.36" + } + }, + "n7f5": { + "hide_name": 0, + "bits": [ 2764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1887.32-1887.36" + } + }, + "n7f6": { + "hide_name": 0, + "bits": [ 1015 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1888.32-1888.36" + } + }, + "n7f7": { + "hide_name": 0, + "bits": [ 1009 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1889.32-1889.36" + } + }, + "n7f8": { + "hide_name": 0, + "bits": [ 995 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1890.32-1890.36" + } + }, + "n7f9": { + "hide_name": 0, + "bits": [ 2762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1891.32-1891.36" + } + }, + "n7fa": { + "hide_name": 0, + "bits": [ 3176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1892.32-1892.36" + } + }, + "n7fb": { + "hide_name": 0, + "bits": [ 1013 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1893.32-1893.36" + } + }, + "n7fc": { + "hide_name": 0, + "bits": [ 1019 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1894.32-1894.36" + } + }, + "n7fd": { + "hide_name": 0, + "bits": [ 1018 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1895.32-1895.36" + } + }, + "n7fe": { + "hide_name": 0, + "bits": [ 1381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1896.32-1896.36" + } + }, + "n7ff": { + "hide_name": 0, + "bits": [ 1382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1897.32-1897.36" + } + }, + "n800": { + "hide_name": 0, + "bits": [ 1383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1898.32-1898.36" + } + }, + "n801": { + "hide_name": 0, + "bits": [ 1384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1899.32-1899.36" + } + }, + "n802": { + "hide_name": 0, + "bits": [ 1385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1900.32-1900.36" + } + }, + "n803": { + "hide_name": 0, + "bits": [ 1386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1901.32-1901.36" + } + }, + "n804": { + "hide_name": 0, + "bits": [ 1387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1902.32-1902.36" + } + }, + "n805": { + "hide_name": 0, + "bits": [ 1388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1903.32-1903.36" + } + }, + "n806": { + "hide_name": 0, + "bits": [ 1389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1904.32-1904.36" + } + }, + "n807": { + "hide_name": 0, + "bits": [ 1390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1905.32-1905.36" + } + }, + "n808": { + "hide_name": 0, + "bits": [ 1391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1906.32-1906.36" + } + }, + "n809": { + "hide_name": 0, + "bits": [ 1392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1907.32-1907.36" + } + }, + "n80a": { + "hide_name": 0, + "bits": [ 1393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1908.32-1908.36" + } + }, + "n80b": { + "hide_name": 0, + "bits": [ 1394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1909.32-1909.36" + } + }, + "n80c": { + "hide_name": 0, + "bits": [ 1363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1910.32-1910.36" + } + }, + "n80d": { + "hide_name": 0, + "bits": [ 1364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1911.32-1911.36" + } + }, + "n80e": { + "hide_name": 0, + "bits": [ 1365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1912.32-1912.36" + } + }, + "n80f": { + "hide_name": 0, + "bits": [ 1366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1913.32-1913.36" + } + }, + "n810": { + "hide_name": 0, + "bits": [ 1367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1914.32-1914.36" + } + }, + "n811": { + "hide_name": 0, + "bits": [ 1368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1915.32-1915.36" + } + }, + "n812": { + "hide_name": 0, + "bits": [ 1369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1916.32-1916.36" + } + }, + "n813": { + "hide_name": 0, + "bits": [ 1370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1917.32-1917.36" + } + }, + "n814": { + "hide_name": 0, + "bits": [ 1371 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1918.32-1918.36" + } + }, + "n815": { + "hide_name": 0, + "bits": [ 1372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1919.32-1919.36" + } + }, + "n816": { + "hide_name": 0, + "bits": [ 1373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1920.32-1920.36" + } + }, + "n817": { + "hide_name": 0, + "bits": [ 1374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1921.32-1921.36" + } + }, + "n818": { + "hide_name": 0, + "bits": [ 1409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1922.32-1922.36" + } + }, + "n819": { + "hide_name": 0, + "bits": [ 1410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1923.32-1923.36" + } + }, + "n81a": { + "hide_name": 0, + "bits": [ 1411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1924.32-1924.36" + } + }, + "n81b": { + "hide_name": 0, + "bits": [ 1412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1925.32-1925.36" + } + }, + "n81c": { + "hide_name": 0, + "bits": [ 3333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1926.32-1926.36" + } + }, + "n81d": { + "hide_name": 0, + "bits": [ 3400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1927.32-1927.36" + } + }, + "n81e": { + "hide_name": 0, + "bits": [ 3401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1928.32-1928.36" + } + }, + "n81f": { + "hide_name": 0, + "bits": [ 3402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1929.32-1929.36" + } + }, + "n820": { + "hide_name": 0, + "bits": [ 1413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1930.32-1930.36" + } + }, + "n821": { + "hide_name": 0, + "bits": [ 1414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1931.32-1931.36" + } + }, + "n822": { + "hide_name": 0, + "bits": [ 1415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1932.32-1932.36" + } + }, + "n823": { + "hide_name": 0, + "bits": [ 1416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1933.32-1933.36" + } + }, + "n824": { + "hide_name": 0, + "bits": [ 1417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1934.32-1934.36" + } + }, + "n825": { + "hide_name": 0, + "bits": [ 1418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1935.32-1935.36" + } + }, + "n826": { + "hide_name": 0, + "bits": [ 1419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1936.32-1936.36" + } + }, + "n827": { + "hide_name": 0, + "bits": [ 1420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1937.32-1937.36" + } + }, + "n828": { + "hide_name": 0, + "bits": [ 1421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1938.32-1938.36" + } + }, + "n829": { + "hide_name": 0, + "bits": [ 1422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1939.32-1939.36" + } + }, + "n82a": { + "hide_name": 0, + "bits": [ 1423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1940.32-1940.36" + } + }, + "n82b": { + "hide_name": 0, + "bits": [ 1424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1941.32-1941.36" + } + }, + "n82c": { + "hide_name": 0, + "bits": [ 1425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1942.32-1942.36" + } + }, + "n82d": { + "hide_name": 0, + "bits": [ 1426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1943.32-1943.36" + } + }, + "n82e": { + "hide_name": 0, + "bits": [ 1427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1944.32-1944.36" + } + }, + "n82f": { + "hide_name": 0, + "bits": [ 1428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1945.32-1945.36" + } + }, + "n830": { + "hide_name": 0, + "bits": [ 1397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1946.32-1946.36" + } + }, + "n831": { + "hide_name": 0, + "bits": [ 1398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1947.32-1947.36" + } + }, + "n832": { + "hide_name": 0, + "bits": [ 1399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1948.32-1948.36" + } + }, + "n833": { + "hide_name": 0, + "bits": [ 1400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1949.32-1949.36" + } + }, + "n834": { + "hide_name": 0, + "bits": [ 1401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1950.32-1950.36" + } + }, + "n835": { + "hide_name": 0, + "bits": [ 1402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1951.32-1951.36" + } + }, + "n836": { + "hide_name": 0, + "bits": [ 1403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1952.32-1952.36" + } + }, + "n837": { + "hide_name": 0, + "bits": [ 1404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1953.32-1953.36" + } + }, + "n838": { + "hide_name": 0, + "bits": [ 1405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1954.32-1954.36" + } + }, + "n839": { + "hide_name": 0, + "bits": [ 1406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1955.32-1955.36" + } + }, + "n83a": { + "hide_name": 0, + "bits": [ 1407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1956.32-1956.36" + } + }, + "n83b": { + "hide_name": 0, + "bits": [ 1408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1957.32-1957.36" + } + }, + "n83c": { + "hide_name": 0, + "bits": [ 1443 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1958.32-1958.36" + } + }, + "n83d": { + "hide_name": 0, + "bits": [ 1444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1959.32-1959.36" + } + }, + "n83e": { + "hide_name": 0, + "bits": [ 1445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1960.32-1960.36" + } + }, + "n83f": { + "hide_name": 0, + "bits": [ 1446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1961.32-1961.36" + } + }, + "n840": { + "hide_name": 0, + "bits": [ 1447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1962.32-1962.36" + } + }, + "n841": { + "hide_name": 0, + "bits": [ 1448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1963.32-1963.36" + } + }, + "n842": { + "hide_name": 0, + "bits": [ 1449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1964.32-1964.36" + } + }, + "n843": { + "hide_name": 0, + "bits": [ 1450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1965.32-1965.36" + } + }, + "n844": { + "hide_name": 0, + "bits": [ 1451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1966.32-1966.36" + } + }, + "n845": { + "hide_name": 0, + "bits": [ 1452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1967.32-1967.36" + } + }, + "n846": { + "hide_name": 0, + "bits": [ 1453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1968.32-1968.36" + } + }, + "n847": { + "hide_name": 0, + "bits": [ 1454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1969.32-1969.36" + } + }, + "n848": { + "hide_name": 0, + "bits": [ 1455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1970.32-1970.36" + } + }, + "n849": { + "hide_name": 0, + "bits": [ 1456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1971.32-1971.36" + } + }, + "n84a": { + "hide_name": 0, + "bits": [ 1457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1972.32-1972.36" + } + }, + "n84b": { + "hide_name": 0, + "bits": [ 1458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1973.32-1973.36" + } + }, + "n84c": { + "hide_name": 0, + "bits": [ 1459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1974.32-1974.36" + } + }, + "n84d": { + "hide_name": 0, + "bits": [ 1460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1975.32-1975.36" + } + }, + "n84e": { + "hide_name": 0, + "bits": [ 1461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1976.32-1976.36" + } + }, + "n84f": { + "hide_name": 0, + "bits": [ 1462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1977.32-1977.36" + } + }, + "n850": { + "hide_name": 0, + "bits": [ 1431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1978.32-1978.36" + } + }, + "n851": { + "hide_name": 0, + "bits": [ 1432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1979.32-1979.36" + } + }, + "n852": { + "hide_name": 0, + "bits": [ 1433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1980.32-1980.36" + } + }, + "n853": { + "hide_name": 0, + "bits": [ 1434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1981.32-1981.36" + } + }, + "n854": { + "hide_name": 0, + "bits": [ 1435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1982.32-1982.36" + } + }, + "n855": { + "hide_name": 0, + "bits": [ 1436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1983.32-1983.36" + } + }, + "n856": { + "hide_name": 0, + "bits": [ 1437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1984.32-1984.36" + } + }, + "n857": { + "hide_name": 0, + "bits": [ 1438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1985.32-1985.36" + } + }, + "n858": { + "hide_name": 0, + "bits": [ 1439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1986.32-1986.36" + } + }, + "n859": { + "hide_name": 0, + "bits": [ 1440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1987.32-1987.36" + } + }, + "n85a": { + "hide_name": 0, + "bits": [ 1441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1988.32-1988.36" + } + }, + "n85b": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1989.32-1989.36" + } + }, + "n85c": { + "hide_name": 0, + "bits": [ 933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1990.32-1990.36" + } + }, + "n85d": { + "hide_name": 0, + "bits": [ 934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1991.32-1991.36" + } + }, + "n85e": { + "hide_name": 0, + "bits": [ 935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1992.32-1992.36" + } + }, + "n85f": { + "hide_name": 0, + "bits": [ 936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1993.32-1993.36" + } + }, + "n860": { + "hide_name": 0, + "bits": [ 937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1994.32-1994.36" + } + }, + "n861": { + "hide_name": 0, + "bits": [ 938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1995.32-1995.36" + } + }, + "n862": { + "hide_name": 0, + "bits": [ 939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1996.32-1996.36" + } + }, + "n863": { + "hide_name": 0, + "bits": [ 940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1997.32-1997.36" + } + }, + "n864": { + "hide_name": 0, + "bits": [ 941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1998.32-1998.36" + } + }, + "n865": { + "hide_name": 0, + "bits": [ 942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:1999.32-1999.36" + } + }, + "n866": { + "hide_name": 0, + "bits": [ 943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2000.32-2000.36" + } + }, + "n867": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2001.32-2001.36" + } + }, + "n868": { + "hide_name": 0, + "bits": [ 945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2002.32-2002.36" + } + }, + "n869": { + "hide_name": 0, + "bits": [ 946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2003.32-2003.36" + } + }, + "n86a": { + "hide_name": 0, + "bits": [ 947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2004.32-2004.36" + } + }, + "n86b": { + "hide_name": 0, + "bits": [ 948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2005.32-2005.36" + } + }, + "n86c": { + "hide_name": 0, + "bits": [ 949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2006.32-2006.36" + } + }, + "n86d": { + "hide_name": 0, + "bits": [ 950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2007.32-2007.36" + } + }, + "n86e": { + "hide_name": 0, + "bits": [ 951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2008.32-2008.36" + } + }, + "n86f": { + "hide_name": 0, + "bits": [ 952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2009.32-2009.36" + } + }, + "n870": { + "hide_name": 0, + "bits": [ 921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2010.32-2010.36" + } + }, + "n871": { + "hide_name": 0, + "bits": [ 922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2011.32-2011.36" + } + }, + "n872": { + "hide_name": 0, + "bits": [ 923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2012.32-2012.36" + } + }, + "n873": { + "hide_name": 0, + "bits": [ 924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2013.32-2013.36" + } + }, + "n874": { + "hide_name": 0, + "bits": [ 925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2014.32-2014.36" + } + }, + "n875": { + "hide_name": 0, + "bits": [ 926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2015.32-2015.36" + } + }, + "n876": { + "hide_name": 0, + "bits": [ 927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2016.32-2016.36" + } + }, + "n877": { + "hide_name": 0, + "bits": [ 928 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2017.32-2017.36" + } + }, + "n878": { + "hide_name": 0, + "bits": [ 929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2018.32-2018.36" + } + }, + "n879": { + "hide_name": 0, + "bits": [ 930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2019.32-2019.36" + } + }, + "n87a": { + "hide_name": 0, + "bits": [ 931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2020.32-2020.36" + } + }, + "n87b": { + "hide_name": 0, + "bits": [ 932 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2021.32-2021.36" + } + }, + "n87c": { + "hide_name": 0, + "bits": [ 967 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2022.32-2022.36" + } + }, + "n87d": { + "hide_name": 0, + "bits": [ 968 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2023.32-2023.36" + } + }, + "n87e": { + "hide_name": 0, + "bits": [ 969 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2024.32-2024.36" + } + }, + "n87f": { + "hide_name": 0, + "bits": [ 970 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2025.32-2025.36" + } + }, + "n880": { + "hide_name": 0, + "bits": [ 971 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2026.32-2026.36" + } + }, + "n881": { + "hide_name": 0, + "bits": [ 972 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2027.32-2027.36" + } + }, + "n882": { + "hide_name": 0, + "bits": [ 973 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2028.32-2028.36" + } + }, + "n883": { + "hide_name": 0, + "bits": [ 974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2029.32-2029.36" + } + }, + "n884": { + "hide_name": 0, + "bits": [ 975 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2030.32-2030.36" + } + }, + "n885": { + "hide_name": 0, + "bits": [ 976 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2031.32-2031.36" + } + }, + "n886": { + "hide_name": 0, + "bits": [ 977 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2032.32-2032.36" + } + }, + "n887": { + "hide_name": 0, + "bits": [ 978 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2033.32-2033.36" + } + }, + "n888": { + "hide_name": 0, + "bits": [ 979 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2034.32-2034.36" + } + }, + "n889": { + "hide_name": 0, + "bits": [ 980 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2035.32-2035.36" + } + }, + "n88a": { + "hide_name": 0, + "bits": [ 981 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2036.32-2036.36" + } + }, + "n88b": { + "hide_name": 0, + "bits": [ 982 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2037.32-2037.36" + } + }, + "n88c": { + "hide_name": 0, + "bits": [ 983 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2038.32-2038.36" + } + }, + "n88d": { + "hide_name": 0, + "bits": [ 984 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2039.32-2039.36" + } + }, + "n88e": { + "hide_name": 0, + "bits": [ 3179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2040.32-2040.36" + } + }, + "n88f": { + "hide_name": 0, + "bits": [ 3326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2041.32-2041.36" + } + }, + "n890": { + "hide_name": 0, + "bits": [ 2769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2042.32-2042.36" + } + }, + "n891": { + "hide_name": 0, + "bits": [ 2768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2043.32-2043.36" + } + }, + "n892": { + "hide_name": 0, + "bits": [ 3180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2044.32-2044.36" + } + }, + "n893": { + "hide_name": 0, + "bits": [ 956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2045.32-2045.36" + } + }, + "n894": { + "hide_name": 0, + "bits": [ 3181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2046.32-2046.36" + } + }, + "n895": { + "hide_name": 0, + "bits": [ 3007 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2047.32-2047.36" + } + }, + "n896": { + "hide_name": 0, + "bits": [ 3005 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2048.32-2048.36" + } + }, + "n897": { + "hide_name": 0, + "bits": [ 2772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2049.32-2049.36" + } + }, + "n898": { + "hide_name": 0, + "bits": [ 3006 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2050.32-2050.36" + } + }, + "n899": { + "hide_name": 0, + "bits": [ 2767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2051.32-2051.36" + } + }, + "n89a": { + "hide_name": 0, + "bits": [ 2766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2052.32-2052.36" + } + }, + "n89b": { + "hide_name": 0, + "bits": [ 2771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2053.32-2053.36" + } + }, + "n89c": { + "hide_name": 0, + "bits": [ 962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2054.32-2054.36" + } + }, + "n89d": { + "hide_name": 0, + "bits": [ 985 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2055.32-2055.36" + } + }, + "n89e": { + "hide_name": 0, + "bits": [ 3403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2056.32-2056.36" + } + }, + "n89f": { + "hide_name": 0, + "bits": [ 3404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2057.32-2057.36" + } + }, + "n8a0": { + "hide_name": 0, + "bits": [ 2775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2058.32-2058.36" + } + }, + "n8a1": { + "hide_name": 0, + "bits": [ 3008 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2059.32-2059.36" + } + }, + "n8a2": { + "hide_name": 0, + "bits": [ 2776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2060.32-2060.36" + } + }, + "n8a3": { + "hide_name": 0, + "bits": [ 3327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2061.32-2061.36" + } + }, + "n8a4": { + "hide_name": 0, + "bits": [ 3182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2062.32-2062.36" + } + }, + "n8a5": { + "hide_name": 0, + "bits": [ 2773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2063.32-2063.36" + } + }, + "n8a6": { + "hide_name": 0, + "bits": [ 2774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2064.32-2064.36" + } + }, + "n8a7": { + "hide_name": 0, + "bits": [ 3405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2065.32-2065.36" + } + }, + "n8a8": { + "hide_name": 0, + "bits": [ 3406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2066.32-2066.36" + } + }, + "n8a9": { + "hide_name": 0, + "bits": [ 3407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2067.32-2067.36" + } + }, + "n8aa": { + "hide_name": 0, + "bits": [ 3009 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2068.32-2068.36" + } + }, + "n8ab": { + "hide_name": 0, + "bits": [ 3184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2069.32-2069.36" + } + }, + "n8ac": { + "hide_name": 0, + "bits": [ 2777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2070.32-2070.36" + } + }, + "n8ad": { + "hide_name": 0, + "bits": [ 960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2071.32-2071.36" + } + }, + "n8ae": { + "hide_name": 0, + "bits": [ 958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2072.32-2072.36" + } + }, + "n8af": { + "hide_name": 0, + "bits": [ 959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2073.32-2073.36" + } + }, + "n8b0": { + "hide_name": 0, + "bits": [ 961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2074.32-2074.36" + } + }, + "n8b1": { + "hide_name": 0, + "bits": [ 3408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2075.32-2075.36" + } + }, + "n8b2": { + "hide_name": 0, + "bits": [ 964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2076.32-2076.36" + } + }, + "n8b3": { + "hide_name": 0, + "bits": [ 986 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2077.32-2077.36" + } + }, + "n8b4": { + "hide_name": 0, + "bits": [ 3410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2078.32-2078.36" + } + }, + "n8b5": { + "hide_name": 0, + "bits": [ 3409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2079.32-2079.36" + } + }, + "n8b6": { + "hide_name": 0, + "bits": [ 2779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2080.32-2080.36" + } + }, + "n8b7": { + "hide_name": 0, + "bits": [ 2780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2081.32-2081.36" + } + }, + "n8b8": { + "hide_name": 0, + "bits": [ 2781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2082.32-2082.36" + } + }, + "n8b9": { + "hide_name": 0, + "bits": [ 3329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2083.32-2083.36" + } + }, + "n8ba": { + "hide_name": 0, + "bits": [ 3010 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2084.32-2084.36" + } + }, + "n8bb": { + "hide_name": 0, + "bits": [ 3328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2085.32-2085.36" + } + }, + "n8bc": { + "hide_name": 0, + "bits": [ 3185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2086.32-2086.36" + } + }, + "n8bd": { + "hide_name": 0, + "bits": [ 3186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2087.32-2087.36" + } + }, + "n8be": { + "hide_name": 0, + "bits": [ 2778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2088.32-2088.36" + } + }, + "n8bf": { + "hide_name": 0, + "bits": [ 955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2089.32-2089.36" + } + }, + "n8c0": { + "hide_name": 0, + "bits": [ 963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2090.32-2090.36" + } + }, + "n8c1": { + "hide_name": 0, + "bits": [ 957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2091.32-2091.36" + } + }, + "n8c2": { + "hide_name": 0, + "bits": [ 3330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2092.32-2092.36" + } + }, + "n8c3": { + "hide_name": 0, + "bits": [ 966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2093.32-2093.36" + } + }, + "n8c4": { + "hide_name": 0, + "bits": [ 1002 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2094.32-2094.36" + } + }, + "n8c5": { + "hide_name": 0, + "bits": [ 1001 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2095.32-2095.36" + } + }, + "n8c6": { + "hide_name": 0, + "bits": [ 3012 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2096.32-2096.36" + } + }, + "n8c7": { + "hide_name": 0, + "bits": [ 3187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2097.32-2097.36" + } + }, + "n8c8": { + "hide_name": 0, + "bits": [ 2782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2098.32-2098.36" + } + }, + "n8c9": { + "hide_name": 0, + "bits": [ 3188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2099.32-2099.36" + } + }, + "n8ca": { + "hide_name": 0, + "bits": [ 3011 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2100.32-2100.36" + } + }, + "n8cb": { + "hide_name": 0, + "bits": [ 3189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2101.32-2101.36" + } + }, + "n8cc": { + "hide_name": 0, + "bits": [ 2783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2102.32-2102.36" + } + }, + "n8cd": { + "hide_name": 0, + "bits": [ 3411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2103.32-2103.36" + } + }, + "n8ce": { + "hide_name": 0, + "bits": [ 3016 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2104.32-2104.36" + } + }, + "n8cf": { + "hide_name": 0, + "bits": [ 3015 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2105.32-2105.36" + } + }, + "n8d0": { + "hide_name": 0, + "bits": [ 3014 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2106.32-2106.36" + } + }, + "n8d1": { + "hide_name": 0, + "bits": [ 3013 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2107.32-2107.36" + } + }, + "n8d2": { + "hide_name": 0, + "bits": [ 965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2108.32-2108.36" + } + }, + "n8d3": { + "hide_name": 0, + "bits": [ 3191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2109.32-2109.36" + } + }, + "n8d4": { + "hide_name": 0, + "bits": [ 3190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2110.32-2110.36" + } + }, + "n8d5": { + "hide_name": 0, + "bits": [ 3017 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2111.32-2111.36" + } + }, + "n8d6": { + "hide_name": 0, + "bits": [ 1006 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2112.32-2112.36" + } + }, + "n8d7": { + "hide_name": 0, + "bits": [ 3331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2113.32-2113.36" + } + }, + "n8d8": { + "hide_name": 0, + "bits": [ 3332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2114.32-2114.36" + } + }, + "n8d9": { + "hide_name": 0, + "bits": [ 1004 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2115.32-2115.36" + } + }, + "n8da": { + "hide_name": 0, + "bits": [ 3412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2116.32-2116.36" + } + }, + "n8db": { + "hide_name": 0, + "bits": [ 3018 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2117.32-2117.36" + } + }, + "n8dc": { + "hide_name": 0, + "bits": [ 3019 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2118.32-2118.36" + } + }, + "n8dd": { + "hide_name": 0, + "bits": [ 2785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2119.32-2119.36" + } + }, + "n8de": { + "hide_name": 0, + "bits": [ 2786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2120.32-2120.36" + } + }, + "n8df": { + "hide_name": 0, + "bits": [ 2787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2121.32-2121.36" + } + }, + "n8e0": { + "hide_name": 0, + "bits": [ 2784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2122.32-2122.36" + } + }, + "n8e1": { + "hide_name": 0, + "bits": [ 3192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2123.32-2123.36" + } + }, + "n8e2": { + "hide_name": 0, + "bits": [ 3193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2124.32-2124.36" + } + }, + "n8e3": { + "hide_name": 0, + "bits": [ 1005 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2125.32-2125.36" + } + }, + "n8e4": { + "hide_name": 0, + "bits": [ 1003 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2126.32-2126.36" + } + }, + "n8e5": { + "hide_name": 0, + "bits": [ 997 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2127.32-2127.36" + } + }, + "n8e6": { + "hide_name": 0, + "bits": [ 998 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2128.32-2128.36" + } + }, + "n8e7": { + "hide_name": 0, + "bits": [ 999 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2129.32-2129.36" + } + }, + "n8e8": { + "hide_name": 0, + "bits": [ 1000 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2130.32-2130.36" + } + }, + "n8e9": { + "hide_name": 0, + "bits": [ 1035 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2131.32-2131.36" + } + }, + "n8ea": { + "hide_name": 0, + "bits": [ 1036 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2132.32-2132.36" + } + }, + "n8eb": { + "hide_name": 0, + "bits": [ 1037 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2133.32-2133.36" + } + }, + "n8ec": { + "hide_name": 0, + "bits": [ 1038 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2134.32-2134.36" + } + }, + "n8ed": { + "hide_name": 0, + "bits": [ 1039 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2135.32-2135.36" + } + }, + "n8ee": { + "hide_name": 0, + "bits": [ 1040 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2136.32-2136.36" + } + }, + "n8ef": { + "hide_name": 0, + "bits": [ 1041 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2137.32-2137.36" + } + }, + "n8f0": { + "hide_name": 0, + "bits": [ 1042 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2138.32-2138.36" + } + }, + "n8f1": { + "hide_name": 0, + "bits": [ 1043 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2139.32-2139.36" + } + }, + "n8f2": { + "hide_name": 0, + "bits": [ 1044 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2140.32-2140.36" + } + }, + "n8f3": { + "hide_name": 0, + "bits": [ 1045 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2141.32-2141.36" + } + }, + "n8f4": { + "hide_name": 0, + "bits": [ 1046 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2142.32-2142.36" + } + }, + "n8f5": { + "hide_name": 0, + "bits": [ 1047 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2143.32-2143.36" + } + }, + "n8f6": { + "hide_name": 0, + "bits": [ 1048 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2144.32-2144.36" + } + }, + "n8f7": { + "hide_name": 0, + "bits": [ 1049 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2145.32-2145.36" + } + }, + "n8f8": { + "hide_name": 0, + "bits": [ 1050 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2146.32-2146.36" + } + }, + "n8f9": { + "hide_name": 0, + "bits": [ 1051 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2147.32-2147.36" + } + }, + "n8fa": { + "hide_name": 0, + "bits": [ 1052 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2148.32-2148.36" + } + }, + "n8fb": { + "hide_name": 0, + "bits": [ 1053 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2149.32-2149.36" + } + }, + "n8fc": { + "hide_name": 0, + "bits": [ 1054 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2150.32-2150.36" + } + }, + "n8fd": { + "hide_name": 0, + "bits": [ 1023 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2151.32-2151.36" + } + }, + "n8fe": { + "hide_name": 0, + "bits": [ 1024 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2152.32-2152.36" + } + }, + "n8ff": { + "hide_name": 0, + "bits": [ 1025 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2153.32-2153.36" + } + }, + "n900": { + "hide_name": 0, + "bits": [ 1026 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2154.32-2154.36" + } + }, + "n901": { + "hide_name": 0, + "bits": [ 1027 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2155.32-2155.36" + } + }, + "n902": { + "hide_name": 0, + "bits": [ 1028 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2156.32-2156.36" + } + }, + "n903": { + "hide_name": 0, + "bits": [ 1029 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2157.32-2157.36" + } + }, + "n904": { + "hide_name": 0, + "bits": [ 1030 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2158.32-2158.36" + } + }, + "n905": { + "hide_name": 0, + "bits": [ 3414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2159.32-2159.36" + } + }, + "n906": { + "hide_name": 0, + "bits": [ 3415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2160.32-2160.36" + } + }, + "n907": { + "hide_name": 0, + "bits": [ 3194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2161.32-2161.36" + } + }, + "n908": { + "hide_name": 0, + "bits": [ 3020 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2162.32-2162.36" + } + }, + "n909": { + "hide_name": 0, + "bits": [ 3022 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2163.32-2163.36" + } + }, + "n90a": { + "hide_name": 0, + "bits": [ 2790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2164.32-2164.36" + } + }, + "n90b": { + "hide_name": 0, + "bits": [ 2788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2165.32-2165.36" + } + }, + "n90c": { + "hide_name": 0, + "bits": [ 2791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2166.32-2166.36" + } + }, + "n90d": { + "hide_name": 0, + "bits": [ 3021 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2167.32-2167.36" + } + }, + "n90e": { + "hide_name": 0, + "bits": [ 2789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2168.32-2168.36" + } + }, + "n90f": { + "hide_name": 0, + "bits": [ 3195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2169.32-2169.36" + } + }, + "n910": { + "hide_name": 0, + "bits": [ 3026 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2170.32-2170.36" + } + }, + "n911": { + "hide_name": 0, + "bits": [ 2799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2171.32-2171.36" + } + }, + "n912": { + "hide_name": 0, + "bits": [ 1069 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2172.32-2172.36" + } + }, + "n913": { + "hide_name": 0, + "bits": [ 2792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2173.32-2173.36" + } + }, + "n914": { + "hide_name": 0, + "bits": [ 2798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2174.32-2174.36" + } + }, + "n915": { + "hide_name": 0, + "bits": [ 3028 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2175.32-2175.36" + } + }, + "n916": { + "hide_name": 0, + "bits": [ 3032 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2176.32-2176.36" + } + }, + "n917": { + "hide_name": 0, + "bits": [ 3196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2177.32-2177.36" + } + }, + "n918": { + "hide_name": 0, + "bits": [ 3030 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2178.32-2178.36" + } + }, + "n919": { + "hide_name": 0, + "bits": [ 3334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2179.32-2179.36" + } + }, + "n91a": { + "hide_name": 0, + "bits": [ 2795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2180.32-2180.36" + } + }, + "n91b": { + "hide_name": 0, + "bits": [ 2794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2181.32-2181.36" + } + }, + "n91c": { + "hide_name": 0, + "bits": [ 2793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2182.32-2182.36" + } + }, + "n91d": { + "hide_name": 0, + "bits": [ 3418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2183.32-2183.36" + } + }, + "n91e": { + "hide_name": 0, + "bits": [ 3029 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2184.32-2184.36" + } + }, + "n91f": { + "hide_name": 0, + "bits": [ 3197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2185.32-2185.36" + } + }, + "n920": { + "hide_name": 0, + "bits": [ 3031 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2186.32-2186.36" + } + }, + "n921": { + "hide_name": 0, + "bits": [ 2796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2187.32-2187.36" + } + }, + "n922": { + "hide_name": 0, + "bits": [ 2797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2188.32-2188.36" + } + }, + "n923": { + "hide_name": 0, + "bits": [ 1031 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2189.32-2189.36" + } + }, + "n924": { + "hide_name": 0, + "bits": [ 1071 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2190.32-2190.36" + } + }, + "n925": { + "hide_name": 0, + "bits": [ 1886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2191.32-2191.36" + } + }, + "n926": { + "hide_name": 0, + "bits": [ 3337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2192.32-2192.36" + } + }, + "n927": { + "hide_name": 0, + "bits": [ 1077 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2193.32-2193.36" + } + }, + "n928": { + "hide_name": 0, + "bits": [ 1078 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2194.32-2194.36" + } + }, + "n929": { + "hide_name": 0, + "bits": [ 3336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2195.32-2195.36" + } + }, + "n92a": { + "hide_name": 0, + "bits": [ 1079 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2196.32-2196.36" + } + }, + "n92b": { + "hide_name": 0, + "bits": [ 1080 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2197.32-2197.36" + } + }, + "n92c": { + "hide_name": 0, + "bits": [ 1081 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2198.32-2198.36" + } + }, + "n92d": { + "hide_name": 0, + "bits": [ 1082 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2199.32-2199.36" + } + }, + "n92e": { + "hide_name": 0, + "bits": [ 1084 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2200.32-2200.36" + } + }, + "n92f": { + "hide_name": 0, + "bits": [ 1083 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2201.32-2201.36" + } + }, + "n930": { + "hide_name": 0, + "bits": [ 1085 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2202.32-2202.36" + } + }, + "n931": { + "hide_name": 0, + "bits": [ 1086 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2203.32-2203.36" + } + }, + "n932": { + "hide_name": 0, + "bits": [ 1087 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2204.32-2204.36" + } + }, + "n933": { + "hide_name": 0, + "bits": [ 1088 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2205.32-2205.36" + } + }, + "n934": { + "hide_name": 0, + "bits": [ 1057 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2206.32-2206.36" + } + }, + "n935": { + "hide_name": 0, + "bits": [ 1058 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2207.32-2207.36" + } + }, + "n936": { + "hide_name": 0, + "bits": [ 1059 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2208.32-2208.36" + } + }, + "n937": { + "hide_name": 0, + "bits": [ 1060 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2209.32-2209.36" + } + }, + "n938": { + "hide_name": 0, + "bits": [ 1061 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2210.32-2210.36" + } + }, + "n939": { + "hide_name": 0, + "bits": [ 1062 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2211.32-2211.36" + } + }, + "n93a": { + "hide_name": 0, + "bits": [ 1063 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2212.32-2212.36" + } + }, + "n93b": { + "hide_name": 0, + "bits": [ 1064 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2213.32-2213.36" + } + }, + "n93c": { + "hide_name": 0, + "bits": [ 1065 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2214.32-2214.36" + } + }, + "n93d": { + "hide_name": 0, + "bits": [ 1066 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2215.32-2215.36" + } + }, + "n93e": { + "hide_name": 0, + "bits": [ 1067 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2216.32-2216.36" + } + }, + "n93f": { + "hide_name": 0, + "bits": [ 1068 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2217.32-2217.36" + } + }, + "n940": { + "hide_name": 0, + "bits": [ 1103 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2218.32-2218.36" + } + }, + "n941": { + "hide_name": 0, + "bits": [ 1104 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2219.32-2219.36" + } + }, + "n942": { + "hide_name": 0, + "bits": [ 1105 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2220.32-2220.36" + } + }, + "n943": { + "hide_name": 0, + "bits": [ 1106 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2221.32-2221.36" + } + }, + "n944": { + "hide_name": 0, + "bits": [ 1922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2222.32-2222.36" + } + }, + "n945": { + "hide_name": 0, + "bits": [ 1818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2223.32-2223.36" + } + }, + "n946": { + "hide_name": 0, + "bits": [ 3419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2224.32-2224.36" + } + }, + "n947": { + "hide_name": 0, + "bits": [ 1108 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2225.32-2225.36" + } + }, + "n948": { + "hide_name": 0, + "bits": [ 3205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2226.32-2226.36" + } + }, + "n949": { + "hide_name": 0, + "bits": [ 3204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2227.32-2227.36" + } + }, + "n94a": { + "hide_name": 0, + "bits": [ 1924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2228.32-2228.36" + } + }, + "n94b": { + "hide_name": 0, + "bits": [ 3339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2229.32-2229.36" + } + }, + "n94c": { + "hide_name": 0, + "bits": [ 3420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2230.32-2230.36" + } + }, + "n94d": { + "hide_name": 0, + "bits": [ 3421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2231.32-2231.36" + } + }, + "n94e": { + "hide_name": 0, + "bits": [ 2801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2232.32-2232.36" + } + }, + "n94f": { + "hide_name": 0, + "bits": [ 2800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2233.32-2233.36" + } + }, + "n950": { + "hide_name": 0, + "bits": [ 3198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2234.32-2234.36" + } + }, + "n951": { + "hide_name": 0, + "bits": [ 3338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2235.32-2235.36" + } + }, + "n952": { + "hide_name": 0, + "bits": [ 2802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2236.32-2236.36" + } + }, + "n953": { + "hide_name": 0, + "bits": [ 3199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2237.32-2237.36" + } + }, + "n954": { + "hide_name": 0, + "bits": [ 3200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2238.32-2238.36" + } + }, + "n955": { + "hide_name": 0, + "bits": [ 3340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2239.32-2239.36" + } + }, + "n956": { + "hide_name": 0, + "bits": [ 1923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2240.32-2240.36" + } + }, + "n957": { + "hide_name": 0, + "bits": [ 3201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2241.32-2241.36" + } + }, + "n958": { + "hide_name": 0, + "bits": [ 3033 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2242.32-2242.36" + } + }, + "n959": { + "hide_name": 0, + "bits": [ 2803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2243.32-2243.36" + } + }, + "n95a": { + "hide_name": 0, + "bits": [ 3034 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2244.32-2244.36" + } + }, + "n95b": { + "hide_name": 0, + "bits": [ 1885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2245.32-2245.36" + } + }, + "n95c": { + "hide_name": 0, + "bits": [ 1921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2246.32-2246.36" + } + }, + "n95d": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2247.32-2247.36" + } + }, + "n95e": { + "hide_name": 0, + "bits": [ 1107 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2248.32-2248.36" + } + }, + "n95f": { + "hide_name": 0, + "bits": [ 1109 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2249.32-2249.36" + } + }, + "n960": { + "hide_name": 0, + "bits": [ 1110 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2250.32-2250.36" + } + }, + "n961": { + "hide_name": 0, + "bits": [ 1111 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2251.32-2251.36" + } + }, + "n962": { + "hide_name": 0, + "bits": [ 1112 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2252.32-2252.36" + } + }, + "n963": { + "hide_name": 0, + "bits": [ 1113 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2253.32-2253.36" + } + }, + "n964": { + "hide_name": 0, + "bits": [ 1114 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2254.32-2254.36" + } + }, + "n965": { + "hide_name": 0, + "bits": [ 1115 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2255.32-2255.36" + } + }, + "n966": { + "hide_name": 0, + "bits": [ 1116 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2256.32-2256.36" + } + }, + "n967": { + "hide_name": 0, + "bits": [ 1117 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2257.32-2257.36" + } + }, + "n968": { + "hide_name": 0, + "bits": [ 1118 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2258.32-2258.36" + } + }, + "n969": { + "hide_name": 0, + "bits": [ 1119 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2259.32-2259.36" + } + }, + "n96a": { + "hide_name": 0, + "bits": [ 1120 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2260.32-2260.36" + } + }, + "n96b": { + "hide_name": 0, + "bits": [ 1121 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2261.32-2261.36" + } + }, + "n96c": { + "hide_name": 0, + "bits": [ 1122 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2262.32-2262.36" + } + }, + "n96d": { + "hide_name": 0, + "bits": [ 1091 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2263.32-2263.36" + } + }, + "n96e": { + "hide_name": 0, + "bits": [ 1092 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2264.32-2264.36" + } + }, + "n96f": { + "hide_name": 0, + "bits": [ 1093 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2265.32-2265.36" + } + }, + "n970": { + "hide_name": 0, + "bits": [ 1094 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2266.32-2266.36" + } + }, + "n971": { + "hide_name": 0, + "bits": [ 1095 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2267.32-2267.36" + } + }, + "n972": { + "hide_name": 0, + "bits": [ 1096 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2268.32-2268.36" + } + }, + "n973": { + "hide_name": 0, + "bits": [ 1098 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2269.32-2269.36" + } + }, + "n974": { + "hide_name": 0, + "bits": [ 1780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2270.32-2270.36" + } + }, + "n975": { + "hide_name": 0, + "bits": [ 1834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2271.32-2271.36" + } + }, + "n976": { + "hide_name": 0, + "bits": [ 2813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2272.32-2272.36" + } + }, + "n977": { + "hide_name": 0, + "bits": [ 2806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2273.32-2273.36" + } + }, + "n978": { + "hide_name": 0, + "bits": [ 1097 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2274.32-2274.36" + } + }, + "n979": { + "hide_name": 0, + "bits": [ 1099 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2275.32-2275.36" + } + }, + "n97a": { + "hide_name": 0, + "bits": [ 1100 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2276.32-2276.36" + } + }, + "n97b": { + "hide_name": 0, + "bits": [ 1101 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2277.32-2277.36" + } + }, + "n97c": { + "hide_name": 0, + "bits": [ 1102 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2278.32-2278.36" + } + }, + "n97d": { + "hide_name": 0, + "bits": [ 1683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2279.32-2279.36" + } + }, + "n97e": { + "hide_name": 0, + "bits": [ 1684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2280.32-2280.36" + } + }, + "n97f": { + "hide_name": 0, + "bits": [ 1685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2281.32-2281.36" + } + }, + "n980": { + "hide_name": 0, + "bits": [ 1686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2282.32-2282.36" + } + }, + "n981": { + "hide_name": 0, + "bits": [ 1687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2283.32-2283.36" + } + }, + "n982": { + "hide_name": 0, + "bits": [ 1688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2284.32-2284.36" + } + }, + "n983": { + "hide_name": 0, + "bits": [ 1689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2285.32-2285.36" + } + }, + "n984": { + "hide_name": 0, + "bits": [ 1690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2286.32-2286.36" + } + }, + "n985": { + "hide_name": 0, + "bits": [ 1691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2287.32-2287.36" + } + }, + "n986": { + "hide_name": 0, + "bits": [ 1692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2288.32-2288.36" + } + }, + "n987": { + "hide_name": 0, + "bits": [ 1693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2289.32-2289.36" + } + }, + "n988": { + "hide_name": 0, + "bits": [ 1694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2290.32-2290.36" + } + }, + "n989": { + "hide_name": 0, + "bits": [ 1695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2291.32-2291.36" + } + }, + "n98a": { + "hide_name": 0, + "bits": [ 1696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2292.32-2292.36" + } + }, + "n98b": { + "hide_name": 0, + "bits": [ 1697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2293.32-2293.36" + } + }, + "n98c": { + "hide_name": 0, + "bits": [ 1698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2294.32-2294.36" + } + }, + "n98d": { + "hide_name": 0, + "bits": [ 1699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2295.32-2295.36" + } + }, + "n98e": { + "hide_name": 0, + "bits": [ 1700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2296.32-2296.36" + } + }, + "n98f": { + "hide_name": 0, + "bits": [ 1701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2297.32-2297.36" + } + }, + "n990": { + "hide_name": 0, + "bits": [ 1702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2298.32-2298.36" + } + }, + "n991": { + "hide_name": 0, + "bits": [ 1671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2299.32-2299.36" + } + }, + "n992": { + "hide_name": 0, + "bits": [ 1672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2300.32-2300.36" + } + }, + "n993": { + "hide_name": 0, + "bits": [ 1673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2301.32-2301.36" + } + }, + "n994": { + "hide_name": 0, + "bits": [ 1674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2302.32-2302.36" + } + }, + "n995": { + "hide_name": 0, + "bits": [ 1675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2303.32-2303.36" + } + }, + "n996": { + "hide_name": 0, + "bits": [ 1676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2304.32-2304.36" + } + }, + "n997": { + "hide_name": 0, + "bits": [ 1836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2305.32-2305.36" + } + }, + "n998": { + "hide_name": 0, + "bits": [ 2804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2306.32-2306.36" + } + }, + "n999": { + "hide_name": 0, + "bits": [ 1835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2307.32-2307.36" + } + }, + "n99a": { + "hide_name": 0, + "bits": [ 2805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2308.32-2308.36" + } + }, + "n99b": { + "hide_name": 0, + "bits": [ 1826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2309.32-2309.36" + } + }, + "n99c": { + "hide_name": 0, + "bits": [ 1819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2310.32-2310.36" + } + }, + "n99d": { + "hide_name": 0, + "bits": [ 1823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2311.32-2311.36" + } + }, + "n99e": { + "hide_name": 0, + "bits": [ 1808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2312.32-2312.36" + } + }, + "n99f": { + "hide_name": 0, + "bits": [ 1822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2313.32-2313.36" + } + }, + "n9a0": { + "hide_name": 0, + "bits": [ 3208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2314.32-2314.36" + } + }, + "n9a1": { + "hide_name": 0, + "bits": [ 1828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2315.32-2315.36" + } + }, + "n9a2": { + "hide_name": 0, + "bits": [ 2811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2316.32-2316.36" + } + }, + "n9a3": { + "hide_name": 0, + "bits": [ 1830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2317.32-2317.36" + } + }, + "n9a4": { + "hide_name": 0, + "bits": [ 3040 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2318.32-2318.36" + } + }, + "n9a5": { + "hide_name": 0, + "bits": [ 3343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2319.32-2319.36" + } + }, + "n9a6": { + "hide_name": 0, + "bits": [ 3341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2320.32-2320.36" + } + }, + "n9a7": { + "hide_name": 0, + "bits": [ 1678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2321.32-2321.36" + } + }, + "n9a8": { + "hide_name": 0, + "bits": [ 1825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2322.32-2322.36" + } + }, + "n9a9": { + "hide_name": 0, + "bits": [ 2810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2323.32-2323.36" + } + }, + "n9aa": { + "hide_name": 0, + "bits": [ 3342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2324.32-2324.36" + } + }, + "n9ab": { + "hide_name": 0, + "bits": [ 3036 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2325.32-2325.36" + } + }, + "n9ac": { + "hide_name": 0, + "bits": [ 3206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2326.32-2326.36" + } + }, + "n9ad": { + "hide_name": 0, + "bits": [ 3038 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2327.32-2327.36" + } + }, + "n9ae": { + "hide_name": 0, + "bits": [ 3207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2328.32-2328.36" + } + }, + "n9af": { + "hide_name": 0, + "bits": [ 2807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2329.32-2329.36" + } + }, + "n9b0": { + "hide_name": 0, + "bits": [ 3037 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2330.32-2330.36" + } + }, + "n9b1": { + "hide_name": 0, + "bits": [ 3035 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2331.32-2331.36" + } + }, + "n9b2": { + "hide_name": 0, + "bits": [ 2809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2332.32-2332.36" + } + }, + "n9b3": { + "hide_name": 0, + "bits": [ 1821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2333.32-2333.36" + } + }, + "n9b4": { + "hide_name": 0, + "bits": [ 1837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2334.32-2334.36" + } + }, + "n9b5": { + "hide_name": 0, + "bits": [ 1827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2335.32-2335.36" + } + }, + "n9b6": { + "hide_name": 0, + "bits": [ 1809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2336.32-2336.36" + } + }, + "n9b7": { + "hide_name": 0, + "bits": [ 1831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2337.32-2337.36" + } + }, + "n9b8": { + "hide_name": 0, + "bits": [ 1829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2338.32-2338.36" + } + }, + "n9b9": { + "hide_name": 0, + "bits": [ 1784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2339.32-2339.36" + } + }, + "n9ba": { + "hide_name": 0, + "bits": [ 1838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2340.32-2340.36" + } + }, + "n9bb": { + "hide_name": 0, + "bits": [ 3422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2341.32-2341.36" + } + }, + "n9bc": { + "hide_name": 0, + "bits": [ 1820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2342.32-2342.36" + } + }, + "n9bd": { + "hide_name": 0, + "bits": [ 1810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2343.32-2343.36" + } + }, + "n9be": { + "hide_name": 0, + "bits": [ 1824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2344.32-2344.36" + } + }, + "n9bf": { + "hide_name": 0, + "bits": [ 2812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2345.32-2345.36" + } + }, + "n9c0": { + "hide_name": 0, + "bits": [ 3423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2346.32-2346.36" + } + }, + "n9c1": { + "hide_name": 0, + "bits": [ 3424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2347.32-2347.36" + } + }, + "n9c2": { + "hide_name": 0, + "bits": [ 1779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2348.32-2348.36" + } + }, + "n9c3": { + "hide_name": 0, + "bits": [ 1782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2349.32-2349.36" + } + }, + "n9c4": { + "hide_name": 0, + "bits": [ 1783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2350.32-2350.36" + } + }, + "n9c5": { + "hide_name": 0, + "bits": [ 1781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2351.32-2351.36" + } + }, + "n9c6": { + "hide_name": 0, + "bits": [ 1832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2352.32-2352.36" + } + }, + "n9c7": { + "hide_name": 0, + "bits": [ 1833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2353.32-2353.36" + } + }, + "n9c8": { + "hide_name": 0, + "bits": [ 1807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2354.32-2354.36" + } + }, + "n9c9": { + "hide_name": 0, + "bits": [ 1677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2355.32-2355.36" + } + }, + "n9ca": { + "hide_name": 0, + "bits": [ 1680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2356.32-2356.36" + } + }, + "n9cb": { + "hide_name": 0, + "bits": [ 1679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2357.32-2357.36" + } + }, + "n9cc": { + "hide_name": 0, + "bits": [ 1682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2358.32-2358.36" + } + }, + "n9cd": { + "hide_name": 0, + "bits": [ 3425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2359.32-2359.36" + } + }, + "n9ce": { + "hide_name": 0, + "bits": [ 1681 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2360.32-2360.36" + } + }, + "n9cf": { + "hide_name": 0, + "bits": [ 1717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2361.32-2361.36" + } + }, + "n9d0": { + "hide_name": 0, + "bits": [ 1718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2362.32-2362.36" + } + }, + "n9d1": { + "hide_name": 0, + "bits": [ 1719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2363.32-2363.36" + } + }, + "n9d2": { + "hide_name": 0, + "bits": [ 1720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2364.32-2364.36" + } + }, + "n9d3": { + "hide_name": 0, + "bits": [ 1721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2365.32-2365.36" + } + }, + "n9d4": { + "hide_name": 0, + "bits": [ 1722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2366.32-2366.36" + } + }, + "n9d5": { + "hide_name": 0, + "bits": [ 1723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2367.32-2367.36" + } + }, + "n9d6": { + "hide_name": 0, + "bits": [ 1724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2368.32-2368.36" + } + }, + "n9d7": { + "hide_name": 0, + "bits": [ 1725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2369.32-2369.36" + } + }, + "n9d8": { + "hide_name": 0, + "bits": [ 1726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2370.32-2370.36" + } + }, + "n9d9": { + "hide_name": 0, + "bits": [ 1727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2371.32-2371.36" + } + }, + "n9da": { + "hide_name": 0, + "bits": [ 1728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2372.32-2372.36" + } + }, + "n9db": { + "hide_name": 0, + "bits": [ 1729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2373.32-2373.36" + } + }, + "n9dc": { + "hide_name": 0, + "bits": [ 1730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2374.32-2374.36" + } + }, + "n9dd": { + "hide_name": 0, + "bits": [ 1731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2375.32-2375.36" + } + }, + "n9de": { + "hide_name": 0, + "bits": [ 1732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2376.32-2376.36" + } + }, + "n9df": { + "hide_name": 0, + "bits": [ 1733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2377.32-2377.36" + } + }, + "n9e0": { + "hide_name": 0, + "bits": [ 1734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2378.32-2378.36" + } + }, + "n9e1": { + "hide_name": 0, + "bits": [ 1735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2379.32-2379.36" + } + }, + "n9e2": { + "hide_name": 0, + "bits": [ 1736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2380.32-2380.36" + } + }, + "n9e3": { + "hide_name": 0, + "bits": [ 1705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2381.32-2381.36" + } + }, + "n9e4": { + "hide_name": 0, + "bits": [ 1706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2382.32-2382.36" + } + }, + "n9e5": { + "hide_name": 0, + "bits": [ 1707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2383.32-2383.36" + } + }, + "n9e6": { + "hide_name": 0, + "bits": [ 1708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2384.32-2384.36" + } + }, + "n9e7": { + "hide_name": 0, + "bits": [ 1709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2385.32-2385.36" + } + }, + "n9e8": { + "hide_name": 0, + "bits": [ 1710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2386.32-2386.36" + } + }, + "n9e9": { + "hide_name": 0, + "bits": [ 1711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2387.32-2387.36" + } + }, + "n9ea": { + "hide_name": 0, + "bits": [ 1712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2388.32-2388.36" + } + }, + "n9eb": { + "hide_name": 0, + "bits": [ 1713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2389.32-2389.36" + } + }, + "n9ec": { + "hide_name": 0, + "bits": [ 1714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2390.32-2390.36" + } + }, + "n9ed": { + "hide_name": 0, + "bits": [ 1715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2391.32-2391.36" + } + }, + "n9ee": { + "hide_name": 0, + "bits": [ 1716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2392.32-2392.36" + } + }, + "n9ef": { + "hide_name": 0, + "bits": [ 1751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2393.32-2393.36" + } + }, + "n9f": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2394.32-2394.35" + } + }, + "n9f0": { + "hide_name": 0, + "bits": [ 1752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2395.32-2395.36" + } + }, + "n9f1": { + "hide_name": 0, + "bits": [ 1804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2396.32-2396.36" + } + }, + "n9f2": { + "hide_name": 0, + "bits": [ 3346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2397.32-2397.36" + } + }, + "n9f3": { + "hide_name": 0, + "bits": [ 2816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2398.32-2398.36" + } + }, + "n9f4": { + "hide_name": 0, + "bits": [ 3426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2399.32-2399.36" + } + }, + "n9f5": { + "hide_name": 0, + "bits": [ 3209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2400.32-2400.36" + } + }, + "n9f6": { + "hide_name": 0, + "bits": [ 2815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2401.32-2401.36" + } + }, + "n9f7": { + "hide_name": 0, + "bits": [ 3042 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2402.32-2402.36" + } + }, + "n9f8": { + "hide_name": 0, + "bits": [ 3345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2403.32-2403.36" + } + }, + "n9f9": { + "hide_name": 0, + "bits": [ 1773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2404.32-2404.36" + } + }, + "n9fa": { + "hide_name": 0, + "bits": [ 2814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2405.32-2405.36" + } + }, + "n9fb": { + "hide_name": 0, + "bits": [ 3041 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2406.32-2406.36" + } + }, + "n9fc": { + "hide_name": 0, + "bits": [ 1803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2407.32-2407.36" + } + }, + "n9fd": { + "hide_name": 0, + "bits": [ 1775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2408.32-2408.36" + } + }, + "n9fe": { + "hide_name": 0, + "bits": [ 1753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2409.32-2409.36" + } + }, + "n9ff": { + "hide_name": 0, + "bits": [ 1754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2410.32-2410.36" + } + }, + "na0": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2411.32-2411.35" + } + }, + "na00": { + "hide_name": 0, + "bits": [ 1755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2412.32-2412.36" + } + }, + "na01": { + "hide_name": 0, + "bits": [ 1756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2413.32-2413.36" + } + }, + "na02": { + "hide_name": 0, + "bits": [ 1757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2414.32-2414.36" + } + }, + "na03": { + "hide_name": 0, + "bits": [ 1758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2415.32-2415.36" + } + }, + "na04": { + "hide_name": 0, + "bits": [ 1759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2416.32-2416.36" + } + }, + "na05": { + "hide_name": 0, + "bits": [ 1760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2417.32-2417.36" + } + }, + "na06": { + "hide_name": 0, + "bits": [ 1761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2418.32-2418.36" + } + }, + "na07": { + "hide_name": 0, + "bits": [ 1762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2419.32-2419.36" + } + }, + "na08": { + "hide_name": 0, + "bits": [ 1763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2420.32-2420.36" + } + }, + "na09": { + "hide_name": 0, + "bits": [ 1764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2421.32-2421.36" + } + }, + "na0a": { + "hide_name": 0, + "bits": [ 1776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2422.32-2422.36" + } + }, + "na0b": { + "hide_name": 0, + "bits": [ 1765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2423.32-2423.36" + } + }, + "na0c": { + "hide_name": 0, + "bits": [ 1766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2424.32-2424.36" + } + }, + "na0d": { + "hide_name": 0, + "bits": [ 1767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2425.32-2425.36" + } + }, + "na0e": { + "hide_name": 0, + "bits": [ 1768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2426.32-2426.36" + } + }, + "na0f": { + "hide_name": 0, + "bits": [ 1769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2427.32-2427.36" + } + }, + "na1": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2428.32-2428.35" + } + }, + "na10": { + "hide_name": 0, + "bits": [ 1770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2429.32-2429.36" + } + }, + "na11": { + "hide_name": 0, + "bits": [ 1739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2430.32-2430.36" + } + }, + "na12": { + "hide_name": 0, + "bits": [ 1740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2431.32-2431.36" + } + }, + "na13": { + "hide_name": 0, + "bits": [ 1741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2432.32-2432.36" + } + }, + "na14": { + "hide_name": 0, + "bits": [ 1742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2433.32-2433.36" + } + }, + "na15": { + "hide_name": 0, + "bits": [ 3427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2434.32-2434.36" + } + }, + "na16": { + "hide_name": 0, + "bits": [ 1743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2435.32-2435.36" + } + }, + "na17": { + "hide_name": 0, + "bits": [ 1744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2436.32-2436.36" + } + }, + "na18": { + "hide_name": 0, + "bits": [ 1745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2437.32-2437.36" + } + }, + "na19": { + "hide_name": 0, + "bits": [ 1746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2438.32-2438.36" + } + }, + "na1a": { + "hide_name": 0, + "bits": [ 1747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2439.32-2439.36" + } + }, + "na1b": { + "hide_name": 0, + "bits": [ 1748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2440.32-2440.36" + } + }, + "na1c": { + "hide_name": 0, + "bits": [ 1749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2441.32-2441.36" + } + }, + "na1d": { + "hide_name": 0, + "bits": [ 1750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2442.32-2442.36" + } + }, + "na1e": { + "hide_name": 0, + "bits": [ 1785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2443.32-2443.36" + } + }, + "na1f": { + "hide_name": 0, + "bits": [ 1786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2444.32-2444.36" + } + }, + "na2": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2445.32-2445.35" + } + }, + "na20": { + "hide_name": 0, + "bits": [ 1787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2446.32-2446.36" + } + }, + "na21": { + "hide_name": 0, + "bits": [ 1788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2447.32-2447.36" + } + }, + "na22": { + "hide_name": 0, + "bits": [ 1789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2448.32-2448.36" + } + }, + "na23": { + "hide_name": 0, + "bits": [ 1790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2449.32-2449.36" + } + }, + "na24": { + "hide_name": 0, + "bits": [ 1791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2450.32-2450.36" + } + }, + "na25": { + "hide_name": 0, + "bits": [ 1792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2451.32-2451.36" + } + }, + "na26": { + "hide_name": 0, + "bits": [ 1793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2452.32-2452.36" + } + }, + "na27": { + "hide_name": 0, + "bits": [ 1794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2453.32-2453.36" + } + }, + "na28": { + "hide_name": 0, + "bits": [ 1795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2454.32-2454.36" + } + }, + "na29": { + "hide_name": 0, + "bits": [ 1796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2455.32-2455.36" + } + }, + "na2a": { + "hide_name": 0, + "bits": [ 1797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2456.32-2456.36" + } + }, + "na2b": { + "hide_name": 0, + "bits": [ 1798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2457.32-2457.36" + } + }, + "na2c": { + "hide_name": 0, + "bits": [ 1799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2458.32-2458.36" + } + }, + "na2d": { + "hide_name": 0, + "bits": [ 1800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2459.32-2459.36" + } + }, + "na2e": { + "hide_name": 0, + "bits": [ 1801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2460.32-2460.36" + } + }, + "na2f": { + "hide_name": 0, + "bits": [ 1802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2461.32-2461.36" + } + }, + "na3": { + "hide_name": 0, + "bits": [ 917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2462.32-2462.35" + } + }, + "na30": { + "hide_name": 0, + "bits": [ 3043 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2463.32-2463.36" + } + }, + "na31": { + "hide_name": 0, + "bits": [ 2818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2464.32-2464.36" + } + }, + "na32": { + "hide_name": 0, + "bits": [ 3044 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2465.32-2465.36" + } + }, + "na33": { + "hide_name": 0, + "bits": [ 2817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2466.32-2466.36" + } + }, + "na34": { + "hide_name": 0, + "bits": [ 3428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2467.32-2467.36" + } + }, + "na35": { + "hide_name": 0, + "bits": [ 3347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2468.32-2468.36" + } + }, + "na36": { + "hide_name": 0, + "bits": [ 3429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2469.32-2469.36" + } + }, + "na37": { + "hide_name": 0, + "bits": [ 2819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2470.32-2470.36" + } + }, + "na38": { + "hide_name": 0, + "bits": [ 3049 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2471.32-2471.36" + } + }, + "na39": { + "hide_name": 0, + "bits": [ 3050 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2472.32-2472.36" + } + }, + "na3a": { + "hide_name": 0, + "bits": [ 1774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2473.32-2473.36" + } + }, + "na3b": { + "hide_name": 0, + "bits": [ 1778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2474.32-2474.36" + } + }, + "na3c": { + "hide_name": 0, + "bits": [ 3210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2475.32-2475.36" + } + }, + "na3d": { + "hide_name": 0, + "bits": [ 2820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2476.32-2476.36" + } + }, + "na3e": { + "hide_name": 0, + "bits": [ 2824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2477.32-2477.36" + } + }, + "na3f": { + "hide_name": 0, + "bits": [ 3348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2478.32-2478.36" + } + }, + "na4": { + "hide_name": 0, + "bits": [ 918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2479.32-2479.35" + } + }, + "na40": { + "hide_name": 0, + "bits": [ 3048 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2480.32-2480.36" + } + }, + "na41": { + "hide_name": 0, + "bits": [ 2821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2481.32-2481.36" + } + }, + "na42": { + "hide_name": 0, + "bits": [ 3211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2482.32-2482.36" + } + }, + "na43": { + "hide_name": 0, + "bits": [ 3045 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2483.32-2483.36" + } + }, + "na44": { + "hide_name": 0, + "bits": [ 3046 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2484.32-2484.36" + } + }, + "na45": { + "hide_name": 0, + "bits": [ 2822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2485.32-2485.36" + } + }, + "na46": { + "hide_name": 0, + "bits": [ 3047 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2486.32-2486.36" + } + }, + "na47": { + "hide_name": 0, + "bits": [ 2823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2487.32-2487.36" + } + }, + "na48": { + "hide_name": 0, + "bits": [ 1777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2488.32-2488.36" + } + }, + "na49": { + "hide_name": 0, + "bits": [ 1812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2489.32-2489.36" + } + }, + "na4a": { + "hide_name": 0, + "bits": [ 3350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2490.32-2490.36" + } + }, + "na4b": { + "hide_name": 0, + "bits": [ 2828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2491.32-2491.36" + } + }, + "na4c": { + "hide_name": 0, + "bits": [ 3214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2492.32-2492.36" + } + }, + "na4d": { + "hide_name": 0, + "bits": [ 3051 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2493.32-2493.36" + } + }, + "na4e": { + "hide_name": 0, + "bits": [ 3213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2494.32-2494.36" + } + }, + "na4f": { + "hide_name": 0, + "bits": [ 3212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2495.32-2495.36" + } + }, + "na5": { + "hide_name": 0, + "bits": [ 1463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2496.32-2496.35" + } + }, + "na50": { + "hide_name": 0, + "bits": [ 3430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2497.32-2497.36" + } + }, + "na51": { + "hide_name": 0, + "bits": [ 3432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2498.32-2498.36" + } + }, + "na52": { + "hide_name": 0, + "bits": [ 3052 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2499.32-2499.36" + } + }, + "na53": { + "hide_name": 0, + "bits": [ 3349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2500.32-2500.36" + } + }, + "na54": { + "hide_name": 0, + "bits": [ 2827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2501.32-2501.36" + } + }, + "na55": { + "hide_name": 0, + "bits": [ 3053 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2502.32-2502.36" + } + }, + "na56": { + "hide_name": 0, + "bits": [ 2826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2503.32-2503.36" + } + }, + "na57": { + "hide_name": 0, + "bits": [ 2825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2504.32-2504.36" + } + }, + "na58": { + "hide_name": 0, + "bits": [ 3054 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2505.32-2505.36" + } + }, + "na59": { + "hide_name": 0, + "bits": [ 3055 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2506.32-2506.36" + } + }, + "na5a": { + "hide_name": 0, + "bits": [ 1883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2507.32-2507.36" + } + }, + "na5b": { + "hide_name": 0, + "bits": [ 1811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2508.32-2508.36" + } + }, + "na5c": { + "hide_name": 0, + "bits": [ 1813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2509.32-2509.36" + } + }, + "na5d": { + "hide_name": 0, + "bits": [ 1814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2510.32-2510.36" + } + }, + "na5e": { + "hide_name": 0, + "bits": [ 1815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2511.32-2511.36" + } + }, + "na5f": { + "hide_name": 0, + "bits": [ 1816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2512.32-2512.36" + } + }, + "na6": { + "hide_name": 0, + "bits": [ 1464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2513.32-2513.35" + } + }, + "na60": { + "hide_name": 0, + "bits": [ 1817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2514.32-2514.36" + } + }, + "na61": { + "hide_name": 0, + "bits": [ 3433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2515.32-2515.36" + } + }, + "na62": { + "hide_name": 0, + "bits": [ 1853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2516.32-2516.36" + } + }, + "na63": { + "hide_name": 0, + "bits": [ 1854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2517.32-2517.36" + } + }, + "na64": { + "hide_name": 0, + "bits": [ 1855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2518.32-2518.36" + } + }, + "na65": { + "hide_name": 0, + "bits": [ 1856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2519.32-2519.36" + } + }, + "na66": { + "hide_name": 0, + "bits": [ 1857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2520.32-2520.36" + } + }, + "na67": { + "hide_name": 0, + "bits": [ 1858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2521.32-2521.36" + } + }, + "na68": { + "hide_name": 0, + "bits": [ 1859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2522.32-2522.36" + } + }, + "na69": { + "hide_name": 0, + "bits": [ 1860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2523.32-2523.36" + } + }, + "na6a": { + "hide_name": 0, + "bits": [ 1861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2524.32-2524.36" + } + }, + "na6b": { + "hide_name": 0, + "bits": [ 1862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2525.32-2525.36" + } + }, + "na6c": { + "hide_name": 0, + "bits": [ 1863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2526.32-2526.36" + } + }, + "na6d": { + "hide_name": 0, + "bits": [ 1864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2527.32-2527.36" + } + }, + "na6e": { + "hide_name": 0, + "bits": [ 1865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2528.32-2528.36" + } + }, + "na6f": { + "hide_name": 0, + "bits": [ 1866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2529.32-2529.36" + } + }, + "na7": { + "hide_name": 0, + "bits": [ 2009 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2530.32-2530.35" + } + }, + "na70": { + "hide_name": 0, + "bits": [ 1867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2531.32-2531.36" + } + }, + "na71": { + "hide_name": 0, + "bits": [ 1868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2532.32-2532.36" + } + }, + "na72": { + "hide_name": 0, + "bits": [ 1869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2533.32-2533.36" + } + }, + "na73": { + "hide_name": 0, + "bits": [ 1870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2534.32-2534.36" + } + }, + "na74": { + "hide_name": 0, + "bits": [ 1871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2535.32-2535.36" + } + }, + "na75": { + "hide_name": 0, + "bits": [ 1872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2536.32-2536.36" + } + }, + "na76": { + "hide_name": 0, + "bits": [ 1841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2537.32-2537.36" + } + }, + "na77": { + "hide_name": 0, + "bits": [ 1842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2538.32-2538.36" + } + }, + "na78": { + "hide_name": 0, + "bits": [ 1843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2539.32-2539.36" + } + }, + "na79": { + "hide_name": 0, + "bits": [ 1844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2540.32-2540.36" + } + }, + "na7a": { + "hide_name": 0, + "bits": [ 1845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2541.32-2541.36" + } + }, + "na7b": { + "hide_name": 0, + "bits": [ 1846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2542.32-2542.36" + } + }, + "na7c": { + "hide_name": 0, + "bits": [ 1847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2543.32-2543.36" + } + }, + "na7d": { + "hide_name": 0, + "bits": [ 1848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2544.32-2544.36" + } + }, + "na7e": { + "hide_name": 0, + "bits": [ 1849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2545.32-2545.36" + } + }, + "na7f": { + "hide_name": 0, + "bits": [ 1850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2546.32-2546.36" + } + }, + "na8": { + "hide_name": 0, + "bits": [ 2010 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2547.32-2547.35" + } + }, + "na80": { + "hide_name": 0, + "bits": [ 1851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2548.32-2548.36" + } + }, + "na81": { + "hide_name": 0, + "bits": [ 1852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2549.32-2549.36" + } + }, + "na82": { + "hide_name": 0, + "bits": [ 1887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2550.32-2550.36" + } + }, + "na83": { + "hide_name": 0, + "bits": [ 1888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2551.32-2551.36" + } + }, + "na84": { + "hide_name": 0, + "bits": [ 1889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2552.32-2552.36" + } + }, + "na85": { + "hide_name": 0, + "bits": [ 1890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2553.32-2553.36" + } + }, + "na86": { + "hide_name": 0, + "bits": [ 1891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2554.32-2554.36" + } + }, + "na87": { + "hide_name": 0, + "bits": [ 1892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2555.32-2555.36" + } + }, + "na88": { + "hide_name": 0, + "bits": [ 1893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2556.32-2556.36" + } + }, + "na89": { + "hide_name": 0, + "bits": [ 1894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2557.32-2557.36" + } + }, + "na8a": { + "hide_name": 0, + "bits": [ 1895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2558.32-2558.36" + } + }, + "na8b": { + "hide_name": 0, + "bits": [ 1896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2559.32-2559.36" + } + }, + "na8c": { + "hide_name": 0, + "bits": [ 1897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2560.32-2560.36" + } + }, + "na8d": { + "hide_name": 0, + "bits": [ 1898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2561.32-2561.36" + } + }, + "na8e": { + "hide_name": 0, + "bits": [ 1899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2562.32-2562.36" + } + }, + "na8f": { + "hide_name": 0, + "bits": [ 1900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2563.32-2563.36" + } + }, + "na9": { + "hide_name": 0, + "bits": [ 2378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2564.32-2564.35" + } + }, + "na90": { + "hide_name": 0, + "bits": [ 1901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2565.32-2565.36" + } + }, + "na91": { + "hide_name": 0, + "bits": [ 1902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2566.32-2566.36" + } + }, + "na92": { + "hide_name": 0, + "bits": [ 1903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2567.32-2567.36" + } + }, + "na93": { + "hide_name": 0, + "bits": [ 1904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2568.32-2568.36" + } + }, + "na94": { + "hide_name": 0, + "bits": [ 1905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2569.32-2569.36" + } + }, + "na95": { + "hide_name": 0, + "bits": [ 1906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2570.32-2570.36" + } + }, + "na96": { + "hide_name": 0, + "bits": [ 1875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2571.32-2571.36" + } + }, + "na97": { + "hide_name": 0, + "bits": [ 1876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2572.32-2572.36" + } + }, + "na98": { + "hide_name": 0, + "bits": [ 1877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2573.32-2573.36" + } + }, + "na99": { + "hide_name": 0, + "bits": [ 1878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2574.32-2574.36" + } + }, + "na9a": { + "hide_name": 0, + "bits": [ 2830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2575.32-2575.36" + } + }, + "na9b": { + "hide_name": 0, + "bits": [ 2829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2576.32-2576.36" + } + }, + "na9c": { + "hide_name": 0, + "bits": [ 3434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2577.32-2577.36" + } + }, + "na9d": { + "hide_name": 0, + "bits": [ 3435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2578.32-2578.36" + } + }, + "na9e": { + "hide_name": 0, + "bits": [ 3351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2579.32-2579.36" + } + }, + "na9f": { + "hide_name": 0, + "bits": [ 2833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2580.32-2580.36" + } + }, + "naa": { + "hide_name": 0, + "bits": [ 2379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2581.32-2581.35" + } + }, + "naa0": { + "hide_name": 0, + "bits": [ 2832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2582.32-2582.36" + } + }, + "naa1": { + "hide_name": 0, + "bits": [ 3056 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2583.32-2583.36" + } + }, + "naa2": { + "hide_name": 0, + "bits": [ 2831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2584.32-2584.36" + } + }, + "naa3": { + "hide_name": 0, + "bits": [ 1879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2585.32-2585.36" + } + }, + "naa4": { + "hide_name": 0, + "bits": [ 3436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2586.32-2586.36" + } + }, + "naa5": { + "hide_name": 0, + "bits": [ 1880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2587.32-2587.36" + } + }, + "naa6": { + "hide_name": 0, + "bits": [ 3352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2588.32-2588.36" + } + }, + "naa7": { + "hide_name": 0, + "bits": [ 1882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2589.32-2589.36" + } + }, + "naa8": { + "hide_name": 0, + "bits": [ 2835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2590.32-2590.36" + } + }, + "naa9": { + "hide_name": 0, + "bits": [ 3058 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2591.32-2591.36" + } + }, + "naaa": { + "hide_name": 0, + "bits": [ 3353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2592.32-2592.36" + } + }, + "naab": { + "hide_name": 0, + "bits": [ 2836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2593.32-2593.36" + } + }, + "naac": { + "hide_name": 0, + "bits": [ 2834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2594.32-2594.36" + } + }, + "naad": { + "hide_name": 0, + "bits": [ 3057 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2595.32-2595.36" + } + }, + "naae": { + "hide_name": 0, + "bits": [ 3437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2596.32-2596.36" + } + }, + "naaf": { + "hide_name": 0, + "bits": [ 3438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2597.32-2597.36" + } + }, + "nab": { + "hide_name": 0, + "bits": [ 2412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2598.32-2598.35" + } + }, + "nab0": { + "hide_name": 0, + "bits": [ 1881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2599.32-2599.36" + } + }, + "nab1": { + "hide_name": 0, + "bits": [ 2837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2600.32-2600.36" + } + }, + "nab2": { + "hide_name": 0, + "bits": [ 2838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2601.32-2601.36" + } + }, + "nab3": { + "hide_name": 0, + "bits": [ 3060 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2602.32-2602.36" + } + }, + "nab4": { + "hide_name": 0, + "bits": [ 3061 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2603.32-2603.36" + } + }, + "nab5": { + "hide_name": 0, + "bits": [ 2839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2604.32-2604.36" + } + }, + "nab6": { + "hide_name": 0, + "bits": [ 3439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2605.32-2605.36" + } + }, + "nab7": { + "hide_name": 0, + "bits": [ 3440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2606.32-2606.36" + } + }, + "nab8": { + "hide_name": 0, + "bits": [ 3059 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2607.32-2607.36" + } + }, + "nab9": { + "hide_name": 0, + "bits": [ 3442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2608.32-2608.36" + } + }, + "naba": { + "hide_name": 0, + "bits": [ 2549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2609.32-2609.36" + } + }, + "nabb": { + "hide_name": 0, + "bits": [ 2845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2610.32-2610.36" + } + }, + "nabc": { + "hide_name": 0, + "bits": [ 3443 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2611.32-2611.36" + } + }, + "nabd": { + "hide_name": 0, + "bits": [ 3355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2612.32-2612.36" + } + }, + "nabe": { + "hide_name": 0, + "bits": [ 2849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2613.32-2613.36" + } + }, + "nabf": { + "hide_name": 0, + "bits": [ 2846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2614.32-2614.36" + } + }, + "nac": { + "hide_name": 0, + "bits": [ 2413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2615.32-2615.35" + } + }, + "nac0": { + "hide_name": 0, + "bits": [ 2847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2616.32-2616.36" + } + }, + "nac1": { + "hide_name": 0, + "bits": [ 3218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2617.32-2617.36" + } + }, + "nac2": { + "hide_name": 0, + "bits": [ 2551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2618.32-2618.36" + } + }, + "nac3": { + "hide_name": 0, + "bits": [ 3217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2619.32-2619.36" + } + }, + "nac4": { + "hide_name": 0, + "bits": [ 2550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2620.32-2620.36" + } + }, + "nac5": { + "hide_name": 0, + "bits": [ 3063 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2621.32-2621.36" + } + }, + "nac6": { + "hide_name": 0, + "bits": [ 2848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2622.32-2622.36" + } + }, + "nac7": { + "hide_name": 0, + "bits": [ 1925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2623.32-2623.36" + } + }, + "nac8": { + "hide_name": 0, + "bits": [ 1927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2624.32-2624.36" + } + }, + "nac9": { + "hide_name": 0, + "bits": [ 1929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2625.32-2625.36" + } + }, + "naca": { + "hide_name": 0, + "bits": [ 1936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2626.32-2626.36" + } + }, + "nacb": { + "hide_name": 0, + "bits": [ 1914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2627.32-2627.36" + } + }, + "nacc": { + "hide_name": 0, + "bits": [ 1910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2628.32-2628.36" + } + }, + "nacd": { + "hide_name": 0, + "bits": [ 1931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2629.32-2629.36" + } + }, + "nace": { + "hide_name": 0, + "bits": [ 1933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2630.32-2630.36" + } + }, + "nacf": { + "hide_name": 0, + "bits": [ 1934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2631.32-2631.36" + } + }, + "nad": { + "hide_name": 0, + "bits": [ 2446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2632.32-2632.35" + } + }, + "nad0": { + "hide_name": 0, + "bits": [ 1935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2633.32-2633.36" + } + }, + "nad1": { + "hide_name": 0, + "bits": [ 1937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2634.32-2634.36" + } + }, + "nad2": { + "hide_name": 0, + "bits": [ 1938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2635.32-2635.36" + } + }, + "nad3": { + "hide_name": 0, + "bits": [ 1939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2636.32-2636.36" + } + }, + "nad4": { + "hide_name": 0, + "bits": [ 1940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2637.32-2637.36" + } + }, + "nad5": { + "hide_name": 0, + "bits": [ 1909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2638.32-2638.36" + } + }, + "nad6": { + "hide_name": 0, + "bits": [ 1911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2639.32-2639.36" + } + }, + "nad7": { + "hide_name": 0, + "bits": [ 1912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2640.32-2640.36" + } + }, + "nad8": { + "hide_name": 0, + "bits": [ 1913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2641.32-2641.36" + } + }, + "nad9": { + "hide_name": 0, + "bits": [ 1916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2642.32-2642.36" + } + }, + "nada": { + "hide_name": 0, + "bits": [ 1956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2643.32-2643.36" + } + }, + "nadb": { + "hide_name": 0, + "bits": [ 2592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2644.32-2644.36" + } + }, + "nadc": { + "hide_name": 0, + "bits": [ 1915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2645.32-2645.36" + } + }, + "nadd": { + "hide_name": 0, + "bits": [ 2851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2646.32-2646.36" + } + }, + "nade": { + "hide_name": 0, + "bits": [ 1917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2647.32-2647.36" + } + }, + "nadf": { + "hide_name": 0, + "bits": [ 1918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2648.32-2648.36" + } + }, + "nae": { + "hide_name": 0, + "bits": [ 2447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2649.32-2649.35" + } + }, + "nae0": { + "hide_name": 0, + "bits": [ 1958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2650.32-2650.36" + } + }, + "nae1": { + "hide_name": 0, + "bits": [ 1920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2651.32-2651.36" + } + }, + "nae2": { + "hide_name": 0, + "bits": [ 3219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2652.32-2652.36" + } + }, + "nae3": { + "hide_name": 0, + "bits": [ 1919 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2653.32-2653.36" + } + }, + "nae4": { + "hide_name": 0, + "bits": [ 1955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2654.32-2654.36" + } + }, + "nae5": { + "hide_name": 0, + "bits": [ 1957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2655.32-2655.36" + } + }, + "nae6": { + "hide_name": 0, + "bits": [ 1959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2656.32-2656.36" + } + }, + "nae7": { + "hide_name": 0, + "bits": [ 1960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2657.32-2657.36" + } + }, + "nae8": { + "hide_name": 0, + "bits": [ 2070 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2658.32-2658.36" + } + }, + "nae9": { + "hide_name": 0, + "bits": [ 1966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2659.32-2659.36" + } + }, + "naea": { + "hide_name": 0, + "bits": [ 1964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2660.32-2660.36" + } + }, + "naeb": { + "hide_name": 0, + "bits": [ 2102 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2661.32-2661.36" + } + }, + "naec": { + "hide_name": 0, + "bits": [ 3447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2662.32-2662.36" + } + }, + "naed": { + "hide_name": 0, + "bits": [ 1961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2663.32-2663.36" + } + }, + "naee": { + "hide_name": 0, + "bits": [ 1962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2664.32-2664.36" + } + }, + "naef": { + "hide_name": 0, + "bits": [ 3478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2665.32-2665.36" + } + }, + "naf": { + "hide_name": 0, + "bits": [ 2480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2666.32-2666.35" + } + }, + "naf0": { + "hide_name": 0, + "bits": [ 1963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2667.32-2667.36" + } + }, + "naf1": { + "hide_name": 0, + "bits": [ 1965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2668.32-2668.36" + } + }, + "naf2": { + "hide_name": 0, + "bits": [ 1967 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2669.32-2669.36" + } + }, + "naf3": { + "hide_name": 0, + "bits": [ 1968 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2670.32-2670.36" + } + }, + "naf4": { + "hide_name": 0, + "bits": [ 2100 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2671.32-2671.36" + } + }, + "naf5": { + "hide_name": 0, + "bits": [ 1969 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2672.32-2672.36" + } + }, + "naf6": { + "hide_name": 0, + "bits": [ 1970 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2673.32-2673.36" + } + }, + "naf7": { + "hide_name": 0, + "bits": [ 1971 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2674.32-2674.36" + } + }, + "naf8": { + "hide_name": 0, + "bits": [ 1972 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2675.32-2675.36" + } + }, + "naf9": { + "hide_name": 0, + "bits": [ 1973 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2676.32-2676.36" + } + }, + "nafa": { + "hide_name": 0, + "bits": [ 1974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2677.32-2677.36" + } + }, + "nafb": { + "hide_name": 0, + "bits": [ 1943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2678.32-2678.36" + } + }, + "nafc": { + "hide_name": 0, + "bits": [ 1944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2679.32-2679.36" + } + }, + "nafd": { + "hide_name": 0, + "bits": [ 1945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2680.32-2680.36" + } + }, + "nafe": { + "hide_name": 0, + "bits": [ 1946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2681.32-2681.36" + } + }, + "naff": { + "hide_name": 0, + "bits": [ 1947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2682.32-2682.36" + } + }, + "nb0": { + "hide_name": 0, + "bits": [ 2481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2683.32-2683.35" + } + }, + "nb00": { + "hide_name": 0, + "bits": [ 1948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2684.32-2684.36" + } + }, + "nb01": { + "hide_name": 0, + "bits": [ 1949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2685.32-2685.36" + } + }, + "nb02": { + "hide_name": 0, + "bits": [ 1950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2686.32-2686.36" + } + }, + "nb03": { + "hide_name": 0, + "bits": [ 1951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2687.32-2687.36" + } + }, + "nb04": { + "hide_name": 0, + "bits": [ 1952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2688.32-2688.36" + } + }, + "nb05": { + "hide_name": 0, + "bits": [ 1953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2689.32-2689.36" + } + }, + "nb06": { + "hide_name": 0, + "bits": [ 1954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2690.32-2690.36" + } + }, + "nb07": { + "hide_name": 0, + "bits": [ 1989 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2691.32-2691.36" + } + }, + "nb08": { + "hide_name": 0, + "bits": [ 1990 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2692.32-2692.36" + } + }, + "nb09": { + "hide_name": 0, + "bits": [ 1991 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2693.32-2693.36" + } + }, + "nb0a": { + "hide_name": 0, + "bits": [ 1992 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2694.32-2694.36" + } + }, + "nb0b": { + "hide_name": 0, + "bits": [ 1993 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2695.32-2695.36" + } + }, + "nb0c": { + "hide_name": 0, + "bits": [ 1994 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2696.32-2696.36" + } + }, + "nb0d": { + "hide_name": 0, + "bits": [ 1995 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2697.32-2697.36" + } + }, + "nb0e": { + "hide_name": 0, + "bits": [ 1996 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2698.32-2698.36" + } + }, + "nb0f": { + "hide_name": 0, + "bits": [ 1997 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2699.32-2699.36" + } + }, + "nb1": { + "hide_name": 0, + "bits": [ 2514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2700.32-2700.35" + } + }, + "nb10": { + "hide_name": 0, + "bits": [ 1998 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2701.32-2701.36" + } + }, + "nb11": { + "hide_name": 0, + "bits": [ 1999 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2702.32-2702.36" + } + }, + "nb12": { + "hide_name": 0, + "bits": [ 2000 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2703.32-2703.36" + } + }, + "nb13": { + "hide_name": 0, + "bits": [ 2001 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2704.32-2704.36" + } + }, + "nb14": { + "hide_name": 0, + "bits": [ 2002 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2705.32-2705.36" + } + }, + "nb15": { + "hide_name": 0, + "bits": [ 2003 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2706.32-2706.36" + } + }, + "nb16": { + "hide_name": 0, + "bits": [ 2004 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2707.32-2707.36" + } + }, + "nb17": { + "hide_name": 0, + "bits": [ 2005 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2708.32-2708.36" + } + }, + "nb18": { + "hide_name": 0, + "bits": [ 2006 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2709.32-2709.36" + } + }, + "nb19": { + "hide_name": 0, + "bits": [ 2007 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2710.32-2710.36" + } + }, + "nb1a": { + "hide_name": 0, + "bits": [ 2008 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2711.32-2711.36" + } + }, + "nb1b": { + "hide_name": 0, + "bits": [ 1977 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2712.32-2712.36" + } + }, + "nb1c": { + "hide_name": 0, + "bits": [ 1978 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2713.32-2713.36" + } + }, + "nb1d": { + "hide_name": 0, + "bits": [ 1979 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2714.32-2714.36" + } + }, + "nb1e": { + "hide_name": 0, + "bits": [ 1980 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2715.32-2715.36" + } + }, + "nb1f": { + "hide_name": 0, + "bits": [ 1981 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2716.32-2716.36" + } + }, + "nb2": { + "hide_name": 0, + "bits": [ 2515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2717.32-2717.35" + } + }, + "nb20": { + "hide_name": 0, + "bits": [ 1982 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2718.32-2718.36" + } + }, + "nb21": { + "hide_name": 0, + "bits": [ 3448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2719.32-2719.36" + } + }, + "nb22": { + "hide_name": 0, + "bits": [ 2850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2720.32-2720.36" + } + }, + "nb23": { + "hide_name": 0, + "bits": [ 2554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2721.32-2721.36" + } + }, + "nb24": { + "hide_name": 0, + "bits": [ 2852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2722.32-2722.36" + } + }, + "nb25": { + "hide_name": 0, + "bits": [ 2553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2723.32-2723.36" + } + }, + "nb26": { + "hide_name": 0, + "bits": [ 3449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2724.32-2724.36" + } + }, + "nb27": { + "hide_name": 0, + "bits": [ 2853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2725.32-2725.36" + } + }, + "nb28": { + "hide_name": 0, + "bits": [ 2855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2726.32-2726.36" + } + }, + "nb29": { + "hide_name": 0, + "bits": [ 3450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2727.32-2727.36" + } + }, + "nb2a": { + "hide_name": 0, + "bits": [ 3220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2728.32-2728.36" + } + }, + "nb2b": { + "hide_name": 0, + "bits": [ 2854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2729.32-2729.36" + } + }, + "nb2c": { + "hide_name": 0, + "bits": [ 2069 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2730.32-2730.36" + } + }, + "nb2d": { + "hide_name": 0, + "bits": [ 1594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2731.32-2731.36" + } + }, + "nb2e": { + "hide_name": 0, + "bits": [ 2555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2732.32-2732.36" + } + }, + "nb2f": { + "hide_name": 0, + "bits": [ 3064 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2733.32-2733.36" + } + }, + "nb3": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2734.32-2734.35" + } + }, + "nb30": { + "hide_name": 0, + "bits": [ 3221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2735.32-2735.36" + } + }, + "nb31": { + "hide_name": 0, + "bits": [ 3222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2736.32-2736.36" + } + }, + "nb32": { + "hide_name": 0, + "bits": [ 2559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2737.32-2737.36" + } + }, + "nb33": { + "hide_name": 0, + "bits": [ 2858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2738.32-2738.36" + } + }, + "nb34": { + "hide_name": 0, + "bits": [ 3223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2739.32-2739.36" + } + }, + "nb35": { + "hide_name": 0, + "bits": [ 2557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2740.32-2740.36" + } + }, + "nb36": { + "hide_name": 0, + "bits": [ 2558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2741.32-2741.36" + } + }, + "nb37": { + "hide_name": 0, + "bits": [ 2856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2742.32-2742.36" + } + }, + "nb38": { + "hide_name": 0, + "bits": [ 2556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2743.32-2743.36" + } + }, + "nb39": { + "hide_name": 0, + "bits": [ 3224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2744.32-2744.36" + } + }, + "nb3a": { + "hide_name": 0, + "bits": [ 2101 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2745.32-2745.36" + } + }, + "nb3b": { + "hide_name": 0, + "bits": [ 3065 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2746.32-2746.36" + } + }, + "nb3c": { + "hide_name": 0, + "bits": [ 2068 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2747.32-2747.36" + } + }, + "nb3d": { + "hide_name": 0, + "bits": [ 1570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2748.32-2748.36" + } + }, + "nb3e": { + "hide_name": 0, + "bits": [ 3066 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2749.32-2749.36" + } + }, + "nb3f": { + "hide_name": 0, + "bits": [ 2860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2750.32-2750.36" + } + }, + "nb4": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2751.32-2751.35" + } + }, + "nb40": { + "hide_name": 0, + "bits": [ 1588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2752.32-2752.36" + } + }, + "nb41": { + "hide_name": 0, + "bits": [ 3067 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2753.32-2753.36" + } + }, + "nb42": { + "hide_name": 0, + "bits": [ 2859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2754.32-2754.36" + } + }, + "nb43": { + "hide_name": 0, + "bits": [ 3068 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2755.32-2755.36" + } + }, + "nb44": { + "hide_name": 0, + "bits": [ 2582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2756.32-2756.36" + } + }, + "nb45": { + "hide_name": 0, + "bits": [ 1983 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2757.32-2757.36" + } + }, + "nb46": { + "hide_name": 0, + "bits": [ 1984 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2758.32-2758.36" + } + }, + "nb47": { + "hide_name": 0, + "bits": [ 1985 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2759.32-2759.36" + } + }, + "nb48": { + "hide_name": 0, + "bits": [ 1986 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2760.32-2760.36" + } + }, + "nb49": { + "hide_name": 0, + "bits": [ 1987 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2761.32-2761.36" + } + }, + "nb4a": { + "hide_name": 0, + "bits": [ 1988 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2762.32-2762.36" + } + }, + "nb4b": { + "hide_name": 0, + "bits": [ 1479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2763.32-2763.36" + } + }, + "nb4c": { + "hide_name": 0, + "bits": [ 1480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2764.32-2764.36" + } + }, + "nb4d": { + "hide_name": 0, + "bits": [ 1481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2765.32-2765.36" + } + }, + "nb4e": { + "hide_name": 0, + "bits": [ 1482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2766.32-2766.36" + } + }, + "nb4f": { + "hide_name": 0, + "bits": [ 3225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2767.32-2767.36" + } + }, + "nb5": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2768.32-2768.35" + } + }, + "nb50": { + "hide_name": 0, + "bits": [ 3451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2769.32-2769.36" + } + }, + "nb51": { + "hide_name": 0, + "bits": [ 3071 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2770.32-2770.36" + } + }, + "nb52": { + "hide_name": 0, + "bits": [ 3452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2771.32-2771.36" + } + }, + "nb53": { + "hide_name": 0, + "bits": [ 3070 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2772.32-2772.36" + } + }, + "nb54": { + "hide_name": 0, + "bits": [ 3226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2773.32-2773.36" + } + }, + "nb55": { + "hide_name": 0, + "bits": [ 2560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2774.32-2774.36" + } + }, + "nb56": { + "hide_name": 0, + "bits": [ 2561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2775.32-2775.36" + } + }, + "nb57": { + "hide_name": 0, + "bits": [ 1538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2776.32-2776.36" + } + }, + "nb58": { + "hide_name": 0, + "bits": [ 1540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2777.32-2777.36" + } + }, + "nb59": { + "hide_name": 0, + "bits": [ 1536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2778.32-2778.36" + } + }, + "nb5a": { + "hide_name": 0, + "bits": [ 3453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2779.32-2779.36" + } + }, + "nb5b": { + "hide_name": 0, + "bits": [ 1566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2780.32-2780.36" + } + }, + "nb5c": { + "hide_name": 0, + "bits": [ 2565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2781.32-2781.36" + } + }, + "nb5d": { + "hide_name": 0, + "bits": [ 1484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2782.32-2782.36" + } + }, + "nb5e": { + "hide_name": 0, + "bits": [ 3454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2783.32-2783.36" + } + }, + "nb5f": { + "hide_name": 0, + "bits": [ 1486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2784.32-2784.36" + } + }, + "nb6": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2785.32-2785.35" + } + }, + "nb60": { + "hide_name": 0, + "bits": [ 3460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2786.32-2786.36" + } + }, + "nb61": { + "hide_name": 0, + "bits": [ 1541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2787.32-2787.36" + } + }, + "nb62": { + "hide_name": 0, + "bits": [ 3455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2788.32-2788.36" + } + }, + "nb63": { + "hide_name": 0, + "bits": [ 1537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2789.32-2789.36" + } + }, + "nb64": { + "hide_name": 0, + "bits": [ 1539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2790.32-2790.36" + } + }, + "nb65": { + "hide_name": 0, + "bits": [ 1565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2791.32-2791.36" + } + }, + "nb66": { + "hide_name": 0, + "bits": [ 1535 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2792.32-2792.36" + } + }, + "nb67": { + "hide_name": 0, + "bits": [ 1483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2793.32-2793.36" + } + }, + "nb68": { + "hide_name": 0, + "bits": [ 1485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2794.32-2794.36" + } + }, + "nb69": { + "hide_name": 0, + "bits": [ 2099 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2795.32-2795.36" + } + }, + "nb6a": { + "hide_name": 0, + "bits": [ 1542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2796.32-2796.36" + } + }, + "nb6b": { + "hide_name": 0, + "bits": [ 1487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2797.32-2797.36" + } + }, + "nb6c": { + "hide_name": 0, + "bits": [ 1488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2798.32-2798.36" + } + }, + "nb6d": { + "hide_name": 0, + "bits": [ 1489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2799.32-2799.36" + } + }, + "nb6e": { + "hide_name": 0, + "bits": [ 1490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2800.32-2800.36" + } + }, + "nb6f": { + "hide_name": 0, + "bits": [ 1491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2801.32-2801.36" + } + }, + "nb7": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2802.32-2802.35" + } + }, + "nb70": { + "hide_name": 0, + "bits": [ 1492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2803.32-2803.36" + } + }, + "nb71": { + "hide_name": 0, + "bits": [ 1493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2804.32-2804.36" + } + }, + "nb72": { + "hide_name": 0, + "bits": [ 1494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2805.32-2805.36" + } + }, + "nb73": { + "hide_name": 0, + "bits": [ 1495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2806.32-2806.36" + } + }, + "nb74": { + "hide_name": 0, + "bits": [ 1496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2807.32-2807.36" + } + }, + "nb75": { + "hide_name": 0, + "bits": [ 1497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2808.32-2808.36" + } + }, + "nb76": { + "hide_name": 0, + "bits": [ 1498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2809.32-2809.36" + } + }, + "nb77": { + "hide_name": 0, + "bits": [ 2861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2810.32-2810.36" + } + }, + "nb78": { + "hide_name": 0, + "bits": [ 1554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2811.32-2811.36" + } + }, + "nb79": { + "hide_name": 0, + "bits": [ 1467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2812.32-2812.36" + } + }, + "nb7a": { + "hide_name": 0, + "bits": [ 1468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2813.32-2813.36" + } + }, + "nb7b": { + "hide_name": 0, + "bits": [ 1469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2814.32-2814.36" + } + }, + "nb7c": { + "hide_name": 0, + "bits": [ 1470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2815.32-2815.36" + } + }, + "nb7d": { + "hide_name": 0, + "bits": [ 1471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2816.32-2816.36" + } + }, + "nb7e": { + "hide_name": 0, + "bits": [ 1472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2817.32-2817.36" + } + }, + "nb7f": { + "hide_name": 0, + "bits": [ 1473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2818.32-2818.36" + } + }, + "nb8": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2819.32-2819.35" + } + }, + "nb80": { + "hide_name": 0, + "bits": [ 1474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2820.32-2820.36" + } + }, + "nb81": { + "hide_name": 0, + "bits": [ 1475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2821.32-2821.36" + } + }, + "nb82": { + "hide_name": 0, + "bits": [ 1476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2822.32-2822.36" + } + }, + "nb83": { + "hide_name": 0, + "bits": [ 1477 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2823.32-2823.36" + } + }, + "nb84": { + "hide_name": 0, + "bits": [ 1478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2824.32-2824.36" + } + }, + "nb85": { + "hide_name": 0, + "bits": [ 1513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2825.32-2825.36" + } + }, + "nb86": { + "hide_name": 0, + "bits": [ 1514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2826.32-2826.36" + } + }, + "nb87": { + "hide_name": 0, + "bits": [ 1515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2827.32-2827.36" + } + }, + "nb88": { + "hide_name": 0, + "bits": [ 1516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2828.32-2828.36" + } + }, + "nb89": { + "hide_name": 0, + "bits": [ 1517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2829.32-2829.36" + } + }, + "nb8a": { + "hide_name": 0, + "bits": [ 1518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2830.32-2830.36" + } + }, + "nb8b": { + "hide_name": 0, + "bits": [ 1519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2831.32-2831.36" + } + }, + "nb8c": { + "hide_name": 0, + "bits": [ 1520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2832.32-2832.36" + } + }, + "nb8d": { + "hide_name": 0, + "bits": [ 1521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2833.32-2833.36" + } + }, + "nb8e": { + "hide_name": 0, + "bits": [ 1522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2834.32-2834.36" + } + }, + "nb8f": { + "hide_name": 0, + "bits": [ 1523 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2835.32-2835.36" + } + }, + "nb9": { + "hide_name": 0, + "bits": [ 1072 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2836.32-2836.35" + } + }, + "nb90": { + "hide_name": 0, + "bits": [ 1524 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2837.32-2837.36" + } + }, + "nb91": { + "hide_name": 0, + "bits": [ 1525 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2838.32-2838.36" + } + }, + "nb92": { + "hide_name": 0, + "bits": [ 1526 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2839.32-2839.36" + } + }, + "nb93": { + "hide_name": 0, + "bits": [ 1527 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2840.32-2840.36" + } + }, + "nb94": { + "hide_name": 0, + "bits": [ 1528 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2841.32-2841.36" + } + }, + "nb95": { + "hide_name": 0, + "bits": [ 1529 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2842.32-2842.36" + } + }, + "nb96": { + "hide_name": 0, + "bits": [ 1530 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2843.32-2843.36" + } + }, + "nb97": { + "hide_name": 0, + "bits": [ 1531 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2844.32-2844.36" + } + }, + "nb98": { + "hide_name": 0, + "bits": [ 1532 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2845.32-2845.36" + } + }, + "nb99": { + "hide_name": 0, + "bits": [ 1501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2846.32-2846.36" + } + }, + "nb9a": { + "hide_name": 0, + "bits": [ 1502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2847.32-2847.36" + } + }, + "nb9b": { + "hide_name": 0, + "bits": [ 1503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2848.32-2848.36" + } + }, + "nb9c": { + "hide_name": 0, + "bits": [ 1504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2849.32-2849.36" + } + }, + "nb9d": { + "hide_name": 0, + "bits": [ 1505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2850.32-2850.36" + } + }, + "nb9e": { + "hide_name": 0, + "bits": [ 1506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2851.32-2851.36" + } + }, + "nb9f": { + "hide_name": 0, + "bits": [ 1507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2852.32-2852.36" + } + }, + "nba": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2853.32-2853.35" + } + }, + "nba0": { + "hide_name": 0, + "bits": [ 1508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2854.32-2854.36" + } + }, + "nba1": { + "hide_name": 0, + "bits": [ 1509 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2855.32-2855.36" + } + }, + "nba2": { + "hide_name": 0, + "bits": [ 1510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2856.32-2856.36" + } + }, + "nba3": { + "hide_name": 0, + "bits": [ 1511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2857.32-2857.36" + } + }, + "nba4": { + "hide_name": 0, + "bits": [ 1512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2858.32-2858.36" + } + }, + "nba5": { + "hide_name": 0, + "bits": [ 1547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2859.32-2859.36" + } + }, + "nba6": { + "hide_name": 0, + "bits": [ 1548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2860.32-2860.36" + } + }, + "nba7": { + "hide_name": 0, + "bits": [ 1549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2861.32-2861.36" + } + }, + "nba8": { + "hide_name": 0, + "bits": [ 1550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2862.32-2862.36" + } + }, + "nba9": { + "hide_name": 0, + "bits": [ 3456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2863.32-2863.36" + } + }, + "nbaa": { + "hide_name": 0, + "bits": [ 2566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2864.32-2864.36" + } + }, + "nbab": { + "hide_name": 0, + "bits": [ 3072 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2865.32-2865.36" + } + }, + "nbac": { + "hide_name": 0, + "bits": [ 1553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2866.32-2866.36" + } + }, + "nbad": { + "hide_name": 0, + "bits": [ 3227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2867.32-2867.36" + } + }, + "nbae": { + "hide_name": 0, + "bits": [ 2867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2868.32-2868.36" + } + }, + "nbaf": { + "hide_name": 0, + "bits": [ 3228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2869.32-2869.36" + } + }, + "nbb": { + "hide_name": 0, + "bits": [ 302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2870.32-2870.35" + } + }, + "nbb0": { + "hide_name": 0, + "bits": [ 2569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2871.32-2871.36" + } + }, + "nbb1": { + "hide_name": 0, + "bits": [ 2866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2872.32-2872.36" + } + }, + "nbb2": { + "hide_name": 0, + "bits": [ 1560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2873.32-2873.36" + } + }, + "nbb3": { + "hide_name": 0, + "bits": [ 1562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2874.32-2874.36" + } + }, + "nbb4": { + "hide_name": 0, + "bits": [ 1558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2875.32-2875.36" + } + }, + "nbb5": { + "hide_name": 0, + "bits": [ 3073 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2876.32-2876.36" + } + }, + "nbb6": { + "hide_name": 0, + "bits": [ 3074 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2877.32-2877.36" + } + }, + "nbb7": { + "hide_name": 0, + "bits": [ 2567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2878.32-2878.36" + } + }, + "nbb8": { + "hide_name": 0, + "bits": [ 2862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2879.32-2879.36" + } + }, + "nbb9": { + "hide_name": 0, + "bits": [ 2863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2880.32-2880.36" + } + }, + "nbba": { + "hide_name": 0, + "bits": [ 2568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2881.32-2881.36" + } + }, + "nbbb": { + "hide_name": 0, + "bits": [ 2570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2882.32-2882.36" + } + }, + "nbbc": { + "hide_name": 0, + "bits": [ 3229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2883.32-2883.36" + } + }, + "nbbd": { + "hide_name": 0, + "bits": [ 1564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2884.32-2884.36" + } + }, + "nbbe": { + "hide_name": 0, + "bits": [ 1561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2885.32-2885.36" + } + }, + "nbbf": { + "hide_name": 0, + "bits": [ 1563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2886.32-2886.36" + } + }, + "nbc": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2887.32-2887.35" + } + }, + "nbc0": { + "hide_name": 0, + "bits": [ 1551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2888.32-2888.36" + } + }, + "nbc1": { + "hide_name": 0, + "bits": [ 1555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2889.32-2889.36" + } + }, + "nbc2": { + "hide_name": 0, + "bits": [ 2864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2890.32-2890.36" + } + }, + "nbc3": { + "hide_name": 0, + "bits": [ 1556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2891.32-2891.36" + } + }, + "nbc4": { + "hide_name": 0, + "bits": [ 3459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2892.32-2892.36" + } + }, + "nbc5": { + "hide_name": 0, + "bits": [ 3075 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2893.32-2893.36" + } + }, + "nbc6": { + "hide_name": 0, + "bits": [ 1552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2894.32-2894.36" + } + }, + "nbc7": { + "hide_name": 0, + "bits": [ 2865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2895.32-2895.36" + } + }, + "nbc8": { + "hide_name": 0, + "bits": [ 1557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2896.32-2896.36" + } + }, + "nbc9": { + "hide_name": 0, + "bits": [ 1559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2897.32-2897.36" + } + }, + "nbca": { + "hide_name": 0, + "bits": [ 1543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2898.32-2898.36" + } + }, + "nbcb": { + "hide_name": 0, + "bits": [ 1544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2899.32-2899.36" + } + }, + "nbcc": { + "hide_name": 0, + "bits": [ 1545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2900.32-2900.36" + } + }, + "nbcd": { + "hide_name": 0, + "bits": [ 1546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2901.32-2901.36" + } + }, + "nbce": { + "hide_name": 0, + "bits": [ 2066 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2902.32-2902.36" + } + }, + "nbcf": { + "hide_name": 0, + "bits": [ 1581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2903.32-2903.36" + } + }, + "nbd": { + "hide_name": 0, + "bits": [ 3356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2904.32-2904.35" + } + }, + "nbd0": { + "hide_name": 0, + "bits": [ 1582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2905.32-2905.36" + } + }, + "nbd1": { + "hide_name": 0, + "bits": [ 1583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2906.32-2906.36" + } + }, + "nbd2": { + "hide_name": 0, + "bits": [ 1584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2907.32-2907.36" + } + }, + "nbd3": { + "hide_name": 0, + "bits": [ 1585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2908.32-2908.36" + } + }, + "nbd4": { + "hide_name": 0, + "bits": [ 1586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2909.32-2909.36" + } + }, + "nbd5": { + "hide_name": 0, + "bits": [ 1587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2910.32-2910.36" + } + }, + "nbd6": { + "hide_name": 0, + "bits": [ 1589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2911.32-2911.36" + } + }, + "nbd7": { + "hide_name": 0, + "bits": [ 1590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2912.32-2912.36" + } + }, + "nbd8": { + "hide_name": 0, + "bits": [ 1591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2913.32-2913.36" + } + }, + "nbd9": { + "hide_name": 0, + "bits": [ 1592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2914.32-2914.36" + } + }, + "nbda": { + "hide_name": 0, + "bits": [ 1593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2915.32-2915.36" + } + }, + "nbdb": { + "hide_name": 0, + "bits": [ 1595 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2916.32-2916.36" + } + }, + "nbdc": { + "hide_name": 0, + "bits": [ 1596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2917.32-2917.36" + } + }, + "nbdd": { + "hide_name": 0, + "bits": [ 1597 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2918.32-2918.36" + } + }, + "nbde": { + "hide_name": 0, + "bits": [ 1598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2919.32-2919.36" + } + }, + "nbdf": { + "hide_name": 0, + "bits": [ 1599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2920.32-2920.36" + } + }, + "nbe": { + "hide_name": 0, + "bits": [ 3354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2921.32-2921.35" + } + }, + "nbe0": { + "hide_name": 0, + "bits": [ 1600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2922.32-2922.36" + } + }, + "nbe1": { + "hide_name": 0, + "bits": [ 1569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2923.32-2923.36" + } + }, + "nbe2": { + "hide_name": 0, + "bits": [ 1571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2924.32-2924.36" + } + }, + "nbe3": { + "hide_name": 0, + "bits": [ 1572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2925.32-2925.36" + } + }, + "nbe4": { + "hide_name": 0, + "bits": [ 1573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2926.32-2926.36" + } + }, + "nbe5": { + "hide_name": 0, + "bits": [ 1574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2927.32-2927.36" + } + }, + "nbe6": { + "hide_name": 0, + "bits": [ 1575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2928.32-2928.36" + } + }, + "nbe7": { + "hide_name": 0, + "bits": [ 1576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2929.32-2929.36" + } + }, + "nbe8": { + "hide_name": 0, + "bits": [ 1577 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2930.32-2930.36" + } + }, + "nbe9": { + "hide_name": 0, + "bits": [ 1578 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2931.32-2931.36" + } + }, + "nbea": { + "hide_name": 0, + "bits": [ 1579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2932.32-2932.36" + } + }, + "nbeb": { + "hide_name": 0, + "bits": [ 1580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2933.32-2933.36" + } + }, + "nbec": { + "hide_name": 0, + "bits": [ 1615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2934.32-2934.36" + } + }, + "nbed": { + "hide_name": 0, + "bits": [ 1616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2935.32-2935.36" + } + }, + "nbee": { + "hide_name": 0, + "bits": [ 1617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2936.32-2936.36" + } + }, + "nbef": { + "hide_name": 0, + "bits": [ 1618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2937.32-2937.36" + } + }, + "nbf": { + "hide_name": 0, + "bits": [ 1930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2938.32-2938.35" + } + }, + "nbf0": { + "hide_name": 0, + "bits": [ 1619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2939.32-2939.36" + } + }, + "nbf1": { + "hide_name": 0, + "bits": [ 1620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2940.32-2940.36" + } + }, + "nbf2": { + "hide_name": 0, + "bits": [ 1621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2941.32-2941.36" + } + }, + "nbf3": { + "hide_name": 0, + "bits": [ 1622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2942.32-2942.36" + } + }, + "nbf4": { + "hide_name": 0, + "bits": [ 1623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2943.32-2943.36" + } + }, + "nbf5": { + "hide_name": 0, + "bits": [ 1624 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2944.32-2944.36" + } + }, + "nbf6": { + "hide_name": 0, + "bits": [ 1625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2945.32-2945.36" + } + }, + "nbf7": { + "hide_name": 0, + "bits": [ 1626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2946.32-2946.36" + } + }, + "nbf8": { + "hide_name": 0, + "bits": [ 1627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2947.32-2947.36" + } + }, + "nbf9": { + "hide_name": 0, + "bits": [ 1628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2948.32-2948.36" + } + }, + "nbfa": { + "hide_name": 0, + "bits": [ 1629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2949.32-2949.36" + } + }, + "nbfb": { + "hide_name": 0, + "bits": [ 1630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2950.32-2950.36" + } + }, + "nbfc": { + "hide_name": 0, + "bits": [ 1631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2951.32-2951.36" + } + }, + "nbfd": { + "hide_name": 0, + "bits": [ 1632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2952.32-2952.36" + } + }, + "nbfe": { + "hide_name": 0, + "bits": [ 1633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2953.32-2953.36" + } + }, + "nbff": { + "hide_name": 0, + "bits": [ 1634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2954.32-2954.36" + } + }, + "nc0": { + "hide_name": 0, + "bits": [ 3273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2955.32-2955.35" + } + }, + "nc00": { + "hide_name": 0, + "bits": [ 1603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2956.32-2956.36" + } + }, + "nc01": { + "hide_name": 0, + "bits": [ 1604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2957.32-2957.36" + } + }, + "nc02": { + "hide_name": 0, + "bits": [ 1605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2958.32-2958.36" + } + }, + "nc03": { + "hide_name": 0, + "bits": [ 1606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2959.32-2959.36" + } + }, + "nc04": { + "hide_name": 0, + "bits": [ 1607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2960.32-2960.36" + } + }, + "nc05": { + "hide_name": 0, + "bits": [ 1608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2961.32-2961.36" + } + }, + "nc06": { + "hide_name": 0, + "bits": [ 1609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2962.32-2962.36" + } + }, + "nc07": { + "hide_name": 0, + "bits": [ 1610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2963.32-2963.36" + } + }, + "nc08": { + "hide_name": 0, + "bits": [ 1611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2964.32-2964.36" + } + }, + "nc09": { + "hide_name": 0, + "bits": [ 1612 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2965.32-2965.36" + } + }, + "nc0a": { + "hide_name": 0, + "bits": [ 1613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2966.32-2966.36" + } + }, + "nc0b": { + "hide_name": 0, + "bits": [ 1614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2967.32-2967.36" + } + }, + "nc0c": { + "hide_name": 0, + "bits": [ 1649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2968.32-2968.36" + } + }, + "nc0d": { + "hide_name": 0, + "bits": [ 1650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2969.32-2969.36" + } + }, + "nc0e": { + "hide_name": 0, + "bits": [ 1651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2970.32-2970.36" + } + }, + "nc0f": { + "hide_name": 0, + "bits": [ 1652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2971.32-2971.36" + } + }, + "nc1": { + "hide_name": 0, + "bits": [ 2899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2972.32-2972.35" + } + }, + "nc10": { + "hide_name": 0, + "bits": [ 1653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2973.32-2973.36" + } + }, + "nc11": { + "hide_name": 0, + "bits": [ 1654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2974.32-2974.36" + } + }, + "nc12": { + "hide_name": 0, + "bits": [ 3081 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2975.32-2975.36" + } + }, + "nc13": { + "hide_name": 0, + "bits": [ 3230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2976.32-2976.36" + } + }, + "nc14": { + "hide_name": 0, + "bits": [ 2870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2977.32-2977.36" + } + }, + "nc15": { + "hide_name": 0, + "bits": [ 2575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2978.32-2978.36" + } + }, + "nc16": { + "hide_name": 0, + "bits": [ 3076 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2979.32-2979.36" + } + }, + "nc17": { + "hide_name": 0, + "bits": [ 2869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2980.32-2980.36" + } + }, + "nc18": { + "hide_name": 0, + "bits": [ 2868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2981.32-2981.36" + } + }, + "nc19": { + "hide_name": 0, + "bits": [ 3461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2982.32-2982.36" + } + }, + "nc1a": { + "hide_name": 0, + "bits": [ 2572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2983.32-2983.36" + } + }, + "nc1b": { + "hide_name": 0, + "bits": [ 2571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2984.32-2984.36" + } + }, + "nc1c": { + "hide_name": 0, + "bits": [ 2573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2985.32-2985.36" + } + }, + "nc1d": { + "hide_name": 0, + "bits": [ 2574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2986.32-2986.36" + } + }, + "nc1e": { + "hide_name": 0, + "bits": [ 3077 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2987.32-2987.36" + } + }, + "nc1f": { + "hide_name": 0, + "bits": [ 3462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2988.32-2988.36" + } + }, + "nc2": { + "hide_name": 0, + "bits": [ 2842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2989.32-2989.35" + } + }, + "nc20": { + "hide_name": 0, + "bits": [ 1659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2990.32-2990.36" + } + }, + "nc21": { + "hide_name": 0, + "bits": [ 3463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2991.32-2991.36" + } + }, + "nc22": { + "hide_name": 0, + "bits": [ 3080 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2992.32-2992.36" + } + }, + "nc23": { + "hide_name": 0, + "bits": [ 3079 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2993.32-2993.36" + } + }, + "nc24": { + "hide_name": 0, + "bits": [ 3464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2994.32-2994.36" + } + }, + "nc25": { + "hide_name": 0, + "bits": [ 3232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2995.32-2995.36" + } + }, + "nc26": { + "hide_name": 0, + "bits": [ 3465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2996.32-2996.36" + } + }, + "nc27": { + "hide_name": 0, + "bits": [ 2872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2997.32-2997.36" + } + }, + "nc28": { + "hide_name": 0, + "bits": [ 1656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2998.32-2998.36" + } + }, + "nc29": { + "hide_name": 0, + "bits": [ 3466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:2999.32-2999.36" + } + }, + "nc2a": { + "hide_name": 0, + "bits": [ 1660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3000.32-3000.36" + } + }, + "nc2b": { + "hide_name": 0, + "bits": [ 1658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3001.32-3001.36" + } + }, + "nc2c": { + "hide_name": 0, + "bits": [ 2871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3002.32-3002.36" + } + }, + "nc2d": { + "hide_name": 0, + "bits": [ 2578 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3003.32-3003.36" + } + }, + "nc2e": { + "hide_name": 0, + "bits": [ 3231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3004.32-3004.36" + } + }, + "nc2f": { + "hide_name": 0, + "bits": [ 2580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3005.32-3005.36" + } + }, + "nc3": { + "hide_name": 0, + "bits": [ 2924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3006.32-3006.35" + } + }, + "nc30": { + "hide_name": 0, + "bits": [ 2579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3007.32-3007.36" + } + }, + "nc31": { + "hide_name": 0, + "bits": [ 3078 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3008.32-3008.36" + } + }, + "nc32": { + "hide_name": 0, + "bits": [ 2581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3009.32-3009.36" + } + }, + "nc33": { + "hide_name": 0, + "bits": [ 1655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3010.32-3010.36" + } + }, + "nc34": { + "hide_name": 0, + "bits": [ 1657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3011.32-3011.36" + } + }, + "nc35": { + "hide_name": 0, + "bits": [ 1661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3012.32-3012.36" + } + }, + "nc36": { + "hide_name": 0, + "bits": [ 1662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3013.32-3013.36" + } + }, + "nc37": { + "hide_name": 0, + "bits": [ 1663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3014.32-3014.36" + } + }, + "nc38": { + "hide_name": 0, + "bits": [ 1664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3015.32-3015.36" + } + }, + "nc39": { + "hide_name": 0, + "bits": [ 1665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3016.32-3016.36" + } + }, + "nc3a": { + "hide_name": 0, + "bits": [ 1666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3017.32-3017.36" + } + }, + "nc3b": { + "hide_name": 0, + "bits": [ 1667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3018.32-3018.36" + } + }, + "nc3c": { + "hide_name": 0, + "bits": [ 1668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3019.32-3019.36" + } + }, + "nc3d": { + "hide_name": 0, + "bits": [ 1637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3020.32-3020.36" + } + }, + "nc3e": { + "hide_name": 0, + "bits": [ 1638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3021.32-3021.36" + } + }, + "nc3f": { + "hide_name": 0, + "bits": [ 3233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3022.32-3022.36" + } + }, + "nc4": { + "hide_name": 0, + "bits": [ 2900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3023.32-3023.35" + } + }, + "nc40": { + "hide_name": 0, + "bits": [ 1639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3024.32-3024.36" + } + }, + "nc41": { + "hide_name": 0, + "bits": [ 1640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3025.32-3025.36" + } + }, + "nc42": { + "hide_name": 0, + "bits": [ 1641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3026.32-3026.36" + } + }, + "nc43": { + "hide_name": 0, + "bits": [ 1642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3027.32-3027.36" + } + }, + "nc44": { + "hide_name": 0, + "bits": [ 1643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3028.32-3028.36" + } + }, + "nc45": { + "hide_name": 0, + "bits": [ 1644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3029.32-3029.36" + } + }, + "nc46": { + "hide_name": 0, + "bits": [ 1645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3030.32-3030.36" + } + }, + "nc47": { + "hide_name": 0, + "bits": [ 1646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3031.32-3031.36" + } + }, + "nc48": { + "hide_name": 0, + "bits": [ 1647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3032.32-3032.36" + } + }, + "nc49": { + "hide_name": 0, + "bits": [ 1648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3033.32-3033.36" + } + }, + "nc4a": { + "hide_name": 0, + "bits": [ 2071 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3034.32-3034.36" + } + }, + "nc4b": { + "hide_name": 0, + "bits": [ 2072 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3035.32-3035.36" + } + }, + "nc4c": { + "hide_name": 0, + "bits": [ 2073 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3036.32-3036.36" + } + }, + "nc4d": { + "hide_name": 0, + "bits": [ 2074 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3037.32-3037.36" + } + }, + "nc4e": { + "hide_name": 0, + "bits": [ 2075 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3038.32-3038.36" + } + }, + "nc4f": { + "hide_name": 0, + "bits": [ 2076 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3039.32-3039.36" + } + }, + "nc5": { + "hide_name": 0, + "bits": [ 2913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3040.32-3040.35" + } + }, + "nc50": { + "hide_name": 0, + "bits": [ 2077 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3041.32-3041.36" + } + }, + "nc51": { + "hide_name": 0, + "bits": [ 2078 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3042.32-3042.36" + } + }, + "nc52": { + "hide_name": 0, + "bits": [ 2079 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3043.32-3043.36" + } + }, + "nc53": { + "hide_name": 0, + "bits": [ 2080 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3044.32-3044.36" + } + }, + "nc54": { + "hide_name": 0, + "bits": [ 2081 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3045.32-3045.36" + } + }, + "nc55": { + "hide_name": 0, + "bits": [ 2082 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3046.32-3046.36" + } + }, + "nc56": { + "hide_name": 0, + "bits": [ 2083 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3047.32-3047.36" + } + }, + "nc57": { + "hide_name": 0, + "bits": [ 2084 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3048.32-3048.36" + } + }, + "nc58": { + "hide_name": 0, + "bits": [ 2085 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3049.32-3049.36" + } + }, + "nc59": { + "hide_name": 0, + "bits": [ 2086 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3050.32-3050.36" + } + }, + "nc5a": { + "hide_name": 0, + "bits": [ 2087 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3051.32-3051.36" + } + }, + "nc5b": { + "hide_name": 0, + "bits": [ 2088 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3052.32-3052.36" + } + }, + "nc5c": { + "hide_name": 0, + "bits": [ 2089 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3053.32-3053.36" + } + }, + "nc5d": { + "hide_name": 0, + "bits": [ 2090 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3054.32-3054.36" + } + }, + "nc5e": { + "hide_name": 0, + "bits": [ 2059 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3055.32-3055.36" + } + }, + "nc5f": { + "hide_name": 0, + "bits": [ 2060 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3056.32-3056.36" + } + }, + "nc6": { + "hide_name": 0, + "bits": [ 3128 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3057.32-3057.35" + } + }, + "nc60": { + "hide_name": 0, + "bits": [ 2061 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3058.32-3058.36" + } + }, + "nc61": { + "hide_name": 0, + "bits": [ 2062 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3059.32-3059.36" + } + }, + "nc62": { + "hide_name": 0, + "bits": [ 2063 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3060.32-3060.36" + } + }, + "nc63": { + "hide_name": 0, + "bits": [ 2064 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3061.32-3061.36" + } + }, + "nc64": { + "hide_name": 0, + "bits": [ 3487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3062.32-3062.36" + } + }, + "nc65": { + "hide_name": 0, + "bits": [ 2873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3063.32-3063.36" + } + }, + "nc66": { + "hide_name": 0, + "bits": [ 3488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3064.32-3064.36" + } + }, + "nc67": { + "hide_name": 0, + "bits": [ 3082 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3065.32-3065.36" + } + }, + "nc68": { + "hide_name": 0, + "bits": [ 2874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3066.32-3066.36" + } + }, + "nc69": { + "hide_name": 0, + "bits": [ 2585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3067.32-3067.36" + } + }, + "nc6a": { + "hide_name": 0, + "bits": [ 2065 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3068.32-3068.36" + } + }, + "nc6b": { + "hide_name": 0, + "bits": [ 3085 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3069.32-3069.36" + } + }, + "nc6c": { + "hide_name": 0, + "bits": [ 2583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3070.32-3070.36" + } + }, + "nc6d": { + "hide_name": 0, + "bits": [ 3083 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3071.32-3071.36" + } + }, + "nc6e": { + "hide_name": 0, + "bits": [ 2584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3072.32-3072.36" + } + }, + "nc6f": { + "hide_name": 0, + "bits": [ 2586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3073.32-3073.36" + } + }, + "nc7": { + "hide_name": 0, + "bits": [ 2840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3074.32-3074.35" + } + }, + "nc70": { + "hide_name": 0, + "bits": [ 2587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3075.32-3075.36" + } + }, + "nc71": { + "hide_name": 0, + "bits": [ 3084 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3076.32-3076.36" + } + }, + "nc72": { + "hide_name": 0, + "bits": [ 3489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3077.32-3077.36" + } + }, + "nc73": { + "hide_name": 0, + "bits": [ 3235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3078.32-3078.36" + } + }, + "nc74": { + "hide_name": 0, + "bits": [ 3479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3079.32-3079.36" + } + }, + "nc75": { + "hide_name": 0, + "bits": [ 2589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3080.32-3080.36" + } + }, + "nc76": { + "hide_name": 0, + "bits": [ 3490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3081.32-3081.36" + } + }, + "nc77": { + "hide_name": 0, + "bits": [ 3491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3082.32-3082.36" + } + }, + "nc78": { + "hide_name": 0, + "bits": [ 2590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3083.32-3083.36" + } + }, + "nc79": { + "hide_name": 0, + "bits": [ 3086 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3084.32-3084.36" + } + }, + "nc7a": { + "hide_name": 0, + "bits": [ 3234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3085.32-3085.36" + } + }, + "nc7b": { + "hide_name": 0, + "bits": [ 3087 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3086.32-3086.36" + } + }, + "nc7c": { + "hide_name": 0, + "bits": [ 2588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3087.32-3087.36" + } + }, + "nc7d": { + "hide_name": 0, + "bits": [ 2591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3088.32-3088.36" + } + }, + "nc7e": { + "hide_name": 0, + "bits": [ 2067 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3089.32-3089.36" + } + }, + "nc8": { + "hide_name": 0, + "bits": [ 2546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3090.32-3090.35" + } + }, + "nc9": { + "hide_name": 0, + "bits": [ 2547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3091.32-3091.35" + } + }, + "nca": { + "hide_name": 0, + "bits": [ 2914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3092.32-3092.35" + } + }, + "ncb": { + "hide_name": 0, + "bits": [ 2841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3093.32-3093.35" + } + }, + "ncc": { + "hide_name": 0, + "bits": [ 2608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3094.32-3094.35" + } + }, + "ncd": { + "hide_name": 0, + "bits": [ 2886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3095.32-3095.35" + } + }, + "nce": { + "hide_name": 0, + "bits": [ 3093 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3096.32-3096.35" + } + }, + "ncf": { + "hide_name": 0, + "bits": [ 2624 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3097.32-3097.35" + } + }, + "nd0": { + "hide_name": 0, + "bits": [ 2992 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3098.32-3098.35" + } + }, + "nd1": { + "hide_name": 0, + "bits": [ 2754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3099.32-3099.35" + } + }, + "nd2": { + "hide_name": 0, + "bits": [ 3183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3100.32-3100.35" + } + }, + "nd3": { + "hide_name": 0, + "bits": [ 2739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3101.32-3101.35" + } + }, + "nd4": { + "hide_name": 0, + "bits": [ 2981 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3102.32-3102.35" + } + }, + "nd5": { + "hide_name": 0, + "bits": [ 3283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3103.32-3103.35" + } + }, + "nd6": { + "hide_name": 0, + "bits": [ 3172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3104.32-3104.35" + } + }, + "nd7": { + "hide_name": 0, + "bits": [ 2770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3105.32-3105.35" + } + }, + "nd8": { + "hide_name": 0, + "bits": [ 3272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3106.32-3106.35" + } + }, + "nd9": { + "hide_name": 0, + "bits": [ 3148 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3107.32-3107.35" + } + }, + "nda": { + "hide_name": 0, + "bits": [ 3215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3108.32-3108.35" + } + }, + "ndb": { + "hide_name": 0, + "bits": [ 3158 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3109.32-3109.35" + } + }, + "ndc": { + "hide_name": 0, + "bits": [ 3244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3110.32-3110.35" + } + }, + "ndd": { + "hide_name": 0, + "bits": [ 2641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3111.32-3111.35" + } + }, + "nde": { + "hide_name": 0, + "bits": [ 2676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3112.32-3112.35" + } + }, + "ndf": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3113.32-3113.35" + } + }, + "ne0": { + "hide_name": 0, + "bits": [ 2723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3114.32-3114.35" + } + }, + "ne1": { + "hide_name": 0, + "bits": [ 3138 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3115.32-3115.35" + } + }, + "ne2": { + "hide_name": 0, + "bits": [ 2661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3116.32-3116.35" + } + }, + "ne3": { + "hide_name": 0, + "bits": [ 2958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3117.32-3117.35" + } + }, + "ne4": { + "hide_name": 0, + "bits": [ 3153 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3118.32-3118.35" + } + }, + "ne5": { + "hide_name": 0, + "bits": [ 2708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3119.32-3119.35" + } + }, + "ne6": { + "hide_name": 0, + "bits": [ 2552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3120.32-3120.35" + } + }, + "ne7": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3121.32-3121.35" + } + }, + "ne8": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3122.32-3122.35" + } + }, + "ne9": { + "hide_name": 0, + "bits": [ 2535 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3123.32-3123.35" + } + }, + "nea": { + "hide_name": 0, + "bits": [ 3361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3124.32-3124.35" + } + }, + "neb": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3125.32-3125.35" + } + }, + "nec": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3126.32-3126.35" + } + }, + "ned": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3127.32-3127.35" + } + }, + "nee": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3128.32-3128.35" + } + }, + "nef": { + "hide_name": 0, + "bits": [ 611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3129.32-3129.35" + } + }, + "nf0": { + "hide_name": 0, + "bits": [ 714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3130.32-3130.35" + } + }, + "nf1": { + "hide_name": 0, + "bits": [ 646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3131.32-3131.35" + } + }, + "nf2": { + "hide_name": 0, + "bits": [ 748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3132.32-3132.35" + } + }, + "nf3": { + "hide_name": 0, + "bits": [ 3202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3133.32-3133.35" + } + }, + "nf4": { + "hide_name": 0, + "bits": [ 645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3134.32-3134.35" + } + }, + "nf5": { + "hide_name": 0, + "bits": [ 679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3135.32-3135.35" + } + }, + "nf6": { + "hide_name": 0, + "bits": [ 713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3136.32-3136.35" + } + }, + "nf7": { + "hide_name": 0, + "bits": [ 747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3137.32-3137.35" + } + }, + "nf8": { + "hide_name": 0, + "bits": [ 3335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3138.32-3138.35" + } + }, + "nf9": { + "hide_name": 0, + "bits": [ 816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3139.32-3139.35" + } + }, + "nfa": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3140.32-3140.35" + } + }, + "nfb": { + "hide_name": 0, + "bits": [ 782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3141.32-3141.35" + } + }, + "nfc": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3142.32-3142.35" + } + }, + "nfd": { + "hide_name": 0, + "bits": [ 3203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3143.32-3143.35" + } + }, + "nfe": { + "hide_name": 0, + "bits": [ 781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3144.32-3144.35" + } + }, + "nff": { + "hide_name": 0, + "bits": [ 815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3145.32-3145.35" + } + }, + "op": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:96.16-96.18" + } + }, + "op_OBUF": { + "hide_name": 0, + "bits": [ 2014, 2098, 2130, 2225, 2299, 2327, 2106, 2183, 2343, 2231, 2213, 2203, 2285, 2173, 2112, 2044, 2223, 2239, 2111, 2353, 2361, 2245, 2369, 2122, 2371, 2375, 2261, 2377, 2229, 2096, 2283, 2367, 2131, 2281, 2022, 2325, 2289, 2169, 2126, 2132, 2155, 2163, 2161, 2337, 2191, 2108, 2093, 2097, 2129, 2329, 2271, 2104, 2024, 2305, 2109, 2165, 2124, 2263, 2321, 2255, 2303, 2018, 2205, 2189, 2351, 2133, 2269, 2128, 2110, 2095, 2107, 2048, 2227, 2215, 2291, 2094, 2219, 2127, 2259, 2333, 2199, 2335 ], + "attributes": { + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3147.14-3147.21" + } + }, + "opr": { + "hide_name": 0, + "bits": [ 2013, 418, 1070, 2224, 2298, 2326, 2105, 2182, 2342, 2230, 2212, 2202, 2284, 2172, 426, 2043, 2222, 2238, 666, 2352, 2360, 2244, 2368, 2121, 2370, 2374, 2260, 2376, 2228, 434, 2282, 2366, 1074, 2280, 2021, 2324, 2288, 2168, 2125, 1928, 2154, 2162, 2160, 2336, 2190, 612, 428, 436, 448, 2328, 2270, 2103, 2023, 2304, 680, 2164, 2123, 2262, 2320, 2254, 2302, 2017, 2204, 2188, 2350, 1932, 2268, 466, 624, 432, 578, 2047, 2226, 2214, 2290, 430, 2218, 460, 2258, 2332, 2198, 2334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_3_1.3_gnl_2000_03_3_80_80.netlist.v:3148.38-3148.41" + } + } + } + } + } +} diff --git a/src/gnl-2-4-5-1.3-gnl-2500-03-5-80-80/gnl_2_4_5_1.3_gnl_2500_03_5_80_80.json b/src/gnl-2-4-5-1.3-gnl-2500-03-5-80-80/gnl_2_4_5_1.3_gnl_2500_03_5_80_80.json new file mode 100644 index 00000000..b3f991ea --- /dev/null +++ b/src/gnl-2-4-5-1.3-gnl-2500-03-5-80-80/gnl_2_4_5_1.3_gnl_2500_03_5_80_80.json @@ -0,0 +1,140761 @@ +{ + "creator": "Yosys 0.20+45 (git sha1 029c2785e, clang 11.1.0-++20211011094159+1fdec59bffc1-1~exp1~20211011214614.8 -fPIC -Os)", + "modules": { + "\\$__ABC9_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000001010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.1-303.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + }, + "I6": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.39-290.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.43-290.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.47-290.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.51-290.53" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.55-290.57" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.59-290.61" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.63-290.65" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.30-290.31" + } + } + } + }, + "\\$__ABC9_LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000010100", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.1-322.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + }, + "I6": { + "direction": "input", + "bits": [ 9 ] + }, + "I7": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.39-308.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.43-308.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.47-308.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.51-308.53" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.55-308.57" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.59-308.61" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.63-308.65" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.67-308.69" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.30-308.31" + } + } + } + }, + "AND2B1L": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:995.1-1003.10" + }, + "parameter_default_values": { + "IS_SRI_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "SRI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:997.9-997.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:996.10-996.11" + } + }, + "SRI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_SRI_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:999.9-999.12" + } + } + } + }, + "BUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:103.1-112.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:106.11-106.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:105.12-105.13" + } + } + } + }, + "BUFGCTRL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:114.1-148.10" + }, + "parameter_default_values": { + "INIT_OUT": "0", + "IS_CE0_INVERTED": "0", + "IS_CE1_INVERTED": "0", + "IS_IGNORE0_INVERTED": "0", + "IS_IGNORE1_INVERTED": "0", + "IS_S0_INVERTED": "0", + "IS_S1_INVERTED": "0", + "PRESELECT_I0": "FALSE", + "PRESELECT_I1": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + }, + "S1": { + "direction": "input", + "bits": [ 6 ] + }, + "CE0": { + "direction": "input", + "bits": [ 7 ] + }, + "CE1": { + "direction": "input", + "bits": [ 8 ] + }, + "IGNORE0": { + "direction": "input", + "bits": [ 9 ] + }, + "IGNORE1": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "CE0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_CE0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:123.11-123.14" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "invertible_pin": "IS_CE1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:125.11-125.14" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:117.11-117.13" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:117.21-117.23" + } + }, + "IGNORE0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_IGNORE0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:127.11-127.18" + } + }, + "IGNORE1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_IGNORE1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:129.11-129.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:116.12-116.13" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_S0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:119.11-119.13" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_S1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:121.11-121.13" + } + } + } + }, + "BUFHCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:150.1-163.10" + }, + "parameter_default_values": { + "CE_TYPE": "SYNC", + "INIT_OUT": "0", + "IS_CE_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:155.11-155.13" + } + }, + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:153.11-153.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:152.12-152.13" + } + } + } + }, + "CARRY4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:367.1-436.10" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "O": { + "direction": "output", + "bits": [ 6, 7, 8, 9 ] + }, + "CI": { + "direction": "input", + "bits": [ 10 ] + }, + "CYINIT": { + "direction": "input", + "bits": [ 11 ] + }, + "DI": { + "direction": "input", + "bits": [ 12, 13, 14, 15 ] + }, + "S": { + "direction": "input", + "bits": [ 16, 17, 18, 19 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:372.16-372.18" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:369.16-369.18" + } + }, + "CYINIT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:373.16-373.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:374.16-374.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:370.16-370.17" + } + }, + "S": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:374.20-374.21" + } + } + } + }, + "CARRY8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:438.1-456.10" + }, + "parameter_default_values": { + "CARRY_TYPE": "SINGLE_CY8" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "O": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "CI": { + "direction": "input", + "bits": [ 18 ] + }, + "CI_TOP": { + "direction": "input", + "bits": [ 19 ] + }, + "DI": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ] + }, + "S": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:441.16-441.18" + } + }, + "CI_TOP": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:442.16-442.22" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:439.16-439.18" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:443.16-443.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:440.16-440.17" + } + }, + "S": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:443.20-443.21" + } + } + } + }, + "CFGLUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2431.1-2454.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "CDO": { + "direction": "output", + "bits": [ 2 ] + }, + "O5": { + "direction": "output", + "bits": [ 3 ] + }, + "O6": { + "direction": "output", + "bits": [ 4 ] + }, + "I4": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I2": { + "direction": "input", + "bits": [ 7 ] + }, + "I1": { + "direction": "input", + "bits": [ 8 ] + }, + "I0": { + "direction": "input", + "bits": [ 9 ] + }, + "CDI": { + "direction": "input", + "bits": [ 10 ] + }, + "CE": { + "direction": "input", + "bits": [ 11 ] + }, + "CLK": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CDI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2440.9-2440.12" + } + }, + "CDO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2432.10-2432.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2441.9-2441.11" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2444.9-2444.12" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2439.9-2439.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2438.9-2438.11" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2437.9-2437.11" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2436.9-2436.11" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2435.9-2435.11" + } + }, + "O5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2433.10-2433.12" + } + }, + "O6": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2434.10-2434.12" + } + } + } + }, + "DSP48": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2961.1-3189.10" + }, + "parameter_default_values": { + "AREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "LEGACY_MODE": "MULT18X18S", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "SUBTRACTREG": "00000000000000000000000000000001" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "BCIN": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159 ] + }, + "SUBTRACT": { + "direction": "input", + "bits": [ 160 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 161, 162 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276 ] + }, + "CLK": { + "direction": "input", + "bits": [ 277 ] + }, + "CEA": { + "direction": "input", + "bits": [ 278 ] + }, + "CEB": { + "direction": "input", + "bits": [ 279 ] + }, + "CEC": { + "direction": "input", + "bits": [ 280 ] + }, + "CEM": { + "direction": "input", + "bits": [ 281 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 282 ] + }, + "CECINSUB": { + "direction": "input", + "bits": [ 283 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 284 ] + }, + "CEP": { + "direction": "input", + "bits": [ 285 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 286 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 287 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 288 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 289 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 290 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 291 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 292 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2962.25-2962.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2963.25-2963.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2965.25-2965.29" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2972.26-2972.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2964.25-2964.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2967.11-2967.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 161, 162 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2970.17-2970.27" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2976.11-2976.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2977.11-2977.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2978.11-2978.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2980.11-2980.20" + } + }, + "CECINSUB": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2981.11-2981.19" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2982.11-2982.17" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2979.11-2979.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2983.11-2983.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2975.11-2975.14" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2968.17-2968.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2971.26-2971.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2966.25-2966.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2973.26-2973.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2984.11-2984.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2985.11-2985.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2986.11-2986.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2988.11-2988.21" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2989.11-2989.18" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2987.11-2987.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2990.11-2990.15" + } + }, + "SUBTRACT": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2969.11-2969.19" + } + } + } + }, + "DSP48A": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2565.1-2661.10" + }, + "parameter_default_values": { + "A0REG": "00000000000000000000000000000000", + "A1REG": "00000000000000000000000000000001", + "B0REG": "00000000000000000000000000000000", + "B1REG": "00000000000000000000000000000001", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSEL": "CARRYIN", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "RSTTYPE": "SYNC" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "D": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 275 ] + }, + "CLK": { + "direction": "input", + "bits": [ 276 ] + }, + "CEA": { + "direction": "input", + "bits": [ 277 ] + }, + "CEB": { + "direction": "input", + "bits": [ 278 ] + }, + "CEC": { + "direction": "input", + "bits": [ 279 ] + }, + "CED": { + "direction": "input", + "bits": [ 280 ] + }, + "CEM": { + "direction": "input", + "bits": [ 281 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 282 ] + }, + "CEOPMODE": { + "direction": "input", + "bits": [ 283 ] + }, + "CEP": { + "direction": "input", + "bits": [ 284 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 285 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 286 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 287 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 288 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 289 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 290 ] + }, + "RSTOPMODE": { + "direction": "input", + "bits": [ 291 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 292 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2566.25-2566.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2567.25-2567.26" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2574.26-2574.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2568.25-2568.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2571.11-2571.18" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2576.12-2576.20" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2579.11-2579.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2580.11-2580.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2581.11-2581.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2584.11-2584.20" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2582.11-2582.14" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2583.11-2583.14" + } + }, + "CEOPMODE": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2585.11-2585.19" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2586.11-2586.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2578.11-2578.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2569.25-2569.26" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2572.17-2572.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2573.26-2573.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2570.25-2570.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2575.26-2575.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2587.11-2587.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2588.11-2588.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2589.11-2589.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2592.11-2592.21" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2590.11-2590.15" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2591.11-2591.15" + } + }, + "RSTOPMODE": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2593.11-2593.20" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2594.11-2594.15" + } + } + } + }, + "DSP48A1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2665.1-2959.10" + }, + "parameter_default_values": { + "A0REG": "00000000000000000000000000000000", + "A1REG": "00000000000000000000000000000001", + "B0REG": "00000000000000000000000000000000", + "B1REG": "00000000000000000000000000000001", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSEL": "OPMODE5", + "CARRYOUTREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "RSTTYPE": "SYNC" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "D": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "M": { + "direction": "output", + "signed": 1, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 311 ] + }, + "CARRYOUTF": { + "direction": "output", + "bits": [ 312 ] + }, + "CLK": { + "direction": "input", + "bits": [ 313 ] + }, + "CEA": { + "direction": "input", + "bits": [ 314 ] + }, + "CEB": { + "direction": "input", + "bits": [ 315 ] + }, + "CEC": { + "direction": "input", + "bits": [ 316 ] + }, + "CED": { + "direction": "input", + "bits": [ 317 ] + }, + "CEM": { + "direction": "input", + "bits": [ 318 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 319 ] + }, + "CEOPMODE": { + "direction": "input", + "bits": [ 320 ] + }, + "CEP": { + "direction": "input", + "bits": [ 321 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 322 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 323 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 324 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 325 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 326 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 327 ] + }, + "RSTOPMODE": { + "direction": "input", + "bits": [ 328 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 329 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2666.25-2666.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2667.25-2667.26" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2675.26-2675.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2668.25-2668.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2671.11-2671.18" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2677.12-2677.20" + } + }, + "CARRYOUTF": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2678.12-2678.21" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2681.11-2681.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2682.11-2682.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2683.11-2683.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2686.11-2686.20" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2684.11-2684.14" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2685.11-2685.14" + } + }, + "CEOPMODE": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2687.11-2687.19" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2688.11-2688.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2680.11-2680.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2669.25-2669.26" + } + }, + "M": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2673.26-2673.27" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2672.17-2672.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2674.26-2674.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2670.25-2670.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2676.26-2676.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2689.11-2689.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2690.11-2690.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2691.11-2691.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2694.11-2694.21" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2692.11-2692.15" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2693.11-2693.15" + } + }, + "RSTOPMODE": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2695.11-2695.20" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2696.11-2696.15" + } + } + } + }, + "DSP48E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "0", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3203.1-3946.10" + }, + "parameter_default_values": { + "ACASCREG": "00000000000000000000000000000001", + "ADREG": "00000000000000000000000000000001", + "ALUMODEREG": "00000000000000000000000000000001", + "AREG": "00000000000000000000000000000001", + "AUTORESET_PATDET": "NO_RESET", + "A_INPUT": "DIRECT", + "BCASCREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "INMODEREG": "00000000000000000000000000000001", + "IS_ALUMODE_INVERTED": "0000", + "IS_CARRYIN_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_INMODE_INVERTED": "00000", + "IS_OPMODE_INVERTED": "0000000", + "MASK": "001111111111111111111111111111111111111111111111", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PATTERN": "000000000000000000000000000000000000000000000000", + "PREG": "00000000000000000000000000000001", + "SEL_MASK": "MASK", + "SEL_PATTERN": "PATTERN", + "USE_DPORT": "FALSE", + "USE_MULT": "MULTIPLY", + "USE_PATTERN_DETECT": "NO_PATDET", + "USE_SIMD": "ONE48" + }, + "ports": { + "ACOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "BCOUT": { + "direction": "output", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "CARRYCASCOUT": { + "direction": "output", + "bits": [ 50 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 51, 52, 53, 54 ] + }, + "MULTSIGNOUT": { + "direction": "output", + "bits": [ 55 ] + }, + "OVERFLOW": { + "direction": "output", + "bits": [ 56 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "PATTERNBDETECT": { + "direction": "output", + "bits": [ 105 ] + }, + "PATTERNDETECT": { + "direction": "output", + "bits": [ 106 ] + }, + "PCOUT": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + }, + "UNDERFLOW": { + "direction": "output", + "bits": [ 155 ] + }, + "A": { + "direction": "input", + "signed": 1, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ] + }, + "ACIN": { + "direction": "input", + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ] + }, + "ALUMODE": { + "direction": "input", + "bits": [ 216, 217, 218, 219 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "BCIN": { + "direction": "input", + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ] + }, + "C": { + "direction": "input", + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "CARRYCASCIN": { + "direction": "input", + "bits": [ 304 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 305 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 306, 307, 308 ] + }, + "CEA1": { + "direction": "input", + "bits": [ 309 ] + }, + "CEA2": { + "direction": "input", + "bits": [ 310 ] + }, + "CEAD": { + "direction": "input", + "bits": [ 311 ] + }, + "CEALUMODE": { + "direction": "input", + "bits": [ 312 ] + }, + "CEB1": { + "direction": "input", + "bits": [ 313 ] + }, + "CEB2": { + "direction": "input", + "bits": [ 314 ] + }, + "CEC": { + "direction": "input", + "bits": [ 315 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 316 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 317 ] + }, + "CED": { + "direction": "input", + "bits": [ 318 ] + }, + "CEINMODE": { + "direction": "input", + "bits": [ 319 ] + }, + "CEM": { + "direction": "input", + "bits": [ 320 ] + }, + "CEP": { + "direction": "input", + "bits": [ 321 ] + }, + "CLK": { + "direction": "input", + "bits": [ 322 ] + }, + "D": { + "direction": "input", + "bits": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ] + }, + "INMODE": { + "direction": "input", + "bits": [ 348, 349, 350, 351, 352 ] + }, + "MULTSIGNIN": { + "direction": "input", + "bits": [ 353 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 354, 355, 356, 357, 358, 359, 360 ] + }, + "PCIN": { + "direction": "input", + "bits": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 409 ] + }, + "RSTALLCARRYIN": { + "direction": "input", + "bits": [ 410 ] + }, + "RSTALUMODE": { + "direction": "input", + "bits": [ 411 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 412 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 413 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 414 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 415 ] + }, + "RSTINMODE": { + "direction": "input", + "bits": [ 416 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 417 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 418 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3215.25-3215.26" + } + }, + "ACIN": { + "hide_name": 0, + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3216.18-3216.22" + } + }, + "ACOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3204.19-3204.24" + } + }, + "ALUMODE": { + "hide_name": 0, + "bits": [ 216, 217, 218, 219 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3217.17-3217.24" + } + }, + "B": { + "hide_name": 0, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3218.25-3218.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3219.18-3219.22" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3205.19-3205.24" + } + }, + "C": { + "hide_name": 0, + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3220.18-3220.19" + } + }, + "CARRYCASCIN": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3221.11-3221.22" + } + }, + "CARRYCASCOUT": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3206.16-3206.28" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3222.11-3222.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 306, 307, 308 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3223.17-3223.27" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3207.22-3207.30" + } + }, + "CEA1": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3224.11-3224.15" + } + }, + "CEA2": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3225.11-3225.15" + } + }, + "CEAD": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3226.11-3226.15" + } + }, + "CEALUMODE": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3227.11-3227.20" + } + }, + "CEB1": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3228.11-3228.15" + } + }, + "CEB2": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3229.11-3229.15" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3230.11-3230.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3231.11-3231.20" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3232.11-3232.17" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3233.11-3233.14" + } + }, + "CEINMODE": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3234.11-3234.19" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3235.11-3235.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3236.11-3236.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3237.29-3237.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3238.18-3238.19" + } + }, + "INMODE": { + "hide_name": 0, + "bits": [ 348, 349, 350, 351, 352 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3239.17-3239.23" + } + }, + "MULTSIGNIN": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3240.11-3240.21" + } + }, + "MULTSIGNOUT": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3208.16-3208.27" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 354, 355, 356, 357, 358, 359, 360 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3241.17-3241.23" + } + }, + "OVERFLOW": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3209.12-3209.20" + } + }, + "P": { + "hide_name": 0, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3210.30-3210.31" + } + }, + "PATTERNBDETECT": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3211.16-3211.30" + } + }, + "PATTERNDETECT": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3212.16-3212.29" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3242.18-3242.22" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3213.19-3213.24" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3243.11-3243.15" + } + }, + "RSTALLCARRYIN": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3244.11-3244.24" + } + }, + "RSTALUMODE": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3245.11-3245.21" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3246.11-3246.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3247.11-3247.15" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3248.11-3248.18" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3249.11-3249.15" + } + }, + "RSTINMODE": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3250.11-3250.20" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3251.11-3251.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3252.11-3252.15" + } + }, + "UNDERFLOW": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3214.12-3214.21" + } + } + } + }, + "FDCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:672.1-718.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:676.9-676.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:677.9-677.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:679.9-679.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:681.9-681.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:673.14-673.15" + } + } + } + }, + "FDCE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:721.1-749.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:724.9-724.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:725.9-725.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:726.9-726.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:727.9-727.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:722.14-722.15" + } + } + } + }, + "FDCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:830.1-873.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:834.9-834.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:835.9-835.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:837.9-837.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:838.9-838.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:840.9-840.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:831.15-831.16" + } + } + } + }, + "FDCPE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:875.1-918.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:879.9-879.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:880.9-880.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:882.9-882.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:883.9-883.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:885.9-885.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:876.15-876.16" + } + } + } + }, + "FDPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:752.1-797.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:756.9-756.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:757.9-757.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:759.9-759.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:761.9-761.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:753.14-753.15" + } + } + } + }, + "FDPE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:800.1-828.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:803.9-803.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:804.9-804.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:805.9-805.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:806.9-806.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:801.14-801.15" + } + } + } + }, + "FDRE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:471.1-509.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:475.9-475.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:476.9-476.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:478.9-478.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:472.14-472.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:480.9-480.10" + } + } + } + }, + "FDRE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:512.1-533.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:515.9-515.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:516.9-516.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:517.9-517.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:513.14-513.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:518.9-518.10" + } + } + } + }, + "FDRSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:601.1-634.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CE_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + }, + "S": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:605.9-605.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:607.9-607.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:609.9-609.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:602.14-602.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:611.9-611.10" + } + }, + "S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:613.9-613.10" + } + } + } + }, + "FDRSE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:636.1-669.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CE_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + }, + "S": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:640.9-640.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:642.9-642.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:644.9-644.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:637.14-637.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:646.9-646.10" + } + }, + "S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:648.9-648.10" + } + } + } + }, + "FDSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:536.1-574.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "S": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:540.9-540.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:541.9-541.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:543.9-543.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:537.14-537.15" + } + }, + "S": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:545.9-545.10" + } + } + } + }, + "FDSE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:577.1-599.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "S": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:580.9-580.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:581.9-581.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:582.9-582.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:578.14-578.15" + } + }, + "S": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:583.9-583.10" + } + } + } + }, + "GND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:28.1-30.10" + }, + "ports": { + "G": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "G": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:28.19-28.20" + } + } + } + }, + "IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:32.1-42.10" + }, + "parameter_default_values": { + "IBUF_LOW_PWR": "00000000000000000000000000000000", + "IOSTANDARD": "default" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:35.11-35.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:33.12-33.13" + } + } + } + }, + "IBUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:44.1-53.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "IBUF_DELAY_VALUE": "0 ", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:47.11-47.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:45.12-45.13" + } + } + } + }, + "INV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:173.1-182.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:176.11-176.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_inv": "I", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:175.12-175.13" + } + } + } + }, + "IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:68.1-85.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "IO": { + "direction": "inout", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "T": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:72.11-72.12" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:70.11-70.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:71.12-71.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:73.11-73.12" + } + } + } + }, + "LDCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:920.1-940.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_G_INVERTED": "0", + "MSGON": "TRUE", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLR": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "G": { + "direction": "input", + "bits": [ 5 ] + }, + "GE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CLR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:923.9-923.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:924.9-924.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:926.9-926.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:927.9-927.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:921.14-921.15" + } + } + } + }, + "LDCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:964.1-993.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_CLR_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_GE_INVERTED": "0", + "IS_G_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLR": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "G": { + "direction": "input", + "bits": [ 5 ] + }, + "GE": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CLR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:967.9-967.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:969.9-969.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:971.9-971.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_GE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:973.9-973.11" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:975.9-975.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:965.14-965.15" + } + } + } + }, + "LDPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:942.1-962.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_G_INVERTED": "0", + "IS_PRE_INVERTED": "0", + "MSGON": "TRUE", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "G": { + "direction": "input", + "bits": [ 4 ] + }, + "GE": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:944.9-944.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:946.9-946.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:947.9-947.11" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:949.9-949.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:943.14-943.15" + } + } + } + }, + "LUT1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.1-191.10" + }, + "parameter_default_values": { + "INIT": "00" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.29-185.31" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.20-185.21" + } + } + } + }, + "LUT2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.1-202.10" + }, + "parameter_default_values": { + "INIT": "0000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.29-194.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.33-194.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.20-194.21" + } + } + } + }, + "LUT3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.1-215.10" + }, + "parameter_default_values": { + "INIT": "00000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.29-205.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.33-205.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.37-205.39" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.20-205.21" + } + } + } + }, + "LUT4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.1-230.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.29-218.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.33-218.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.37-218.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.41-218.43" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.20-218.21" + } + } + } + }, + "LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.1-247.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.29-233.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.33-233.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.37-233.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.41-233.43" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.45-233.47" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.20-233.21" + } + } + } + }, + "LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000101", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.1-269.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.29-253.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.33-253.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.37-253.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.41-253.43" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.45-253.47" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.49-253.51" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.20-253.21" + } + } + } + }, + "LUT6_2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.1-285.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O6": { + "direction": "output", + "bits": [ 2 ] + }, + "O5": { + "direction": "output", + "bits": [ 3 ] + }, + "I0": { + "direction": "input", + "bits": [ 4 ] + }, + "I1": { + "direction": "input", + "bits": [ 5 ] + }, + "I2": { + "direction": "input", + "bits": [ 6 ] + }, + "I3": { + "direction": "input", + "bits": [ 7 ] + }, + "I4": { + "direction": "input", + "bits": [ 8 ] + }, + "I5": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.43-271.45" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.47-271.49" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.51-271.53" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.55-271.57" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.59-271.61" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.63-271.65" + } + }, + "O5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.33-271.35" + } + }, + "O6": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.22-271.24" + } + } + } + }, + "MULT18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2462.1-2470.10" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2463.25-2463.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2464.25-2464.26" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2465.26-2465.27" + } + } + } + }, + "MULT18X18S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2474.1-2490.10" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "C": { + "direction": "input", + "bits": [ 74 ] + }, + "CE": { + "direction": "input", + "bits": [ 75 ] + }, + "R": { + "direction": "input", + "bits": [ 76 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2475.25-2475.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2476.25-2476.26" + } + }, + "C": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2479.11-2479.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2480.11-2480.13" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2477.30-2477.31" + } + }, + "R": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2481.11-2481.12" + } + } + } + }, + "MULT18X18SIO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2494.1-2561.10" + }, + "parameter_default_values": { + "AREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "PREG": "00000000000000000000000000000001" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "CLK": { + "direction": "input", + "bits": [ 74 ] + }, + "CEA": { + "direction": "input", + "bits": [ 75 ] + }, + "CEB": { + "direction": "input", + "bits": [ 76 ] + }, + "CEP": { + "direction": "input", + "bits": [ 77 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 78 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 79 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 80 ] + }, + "BCIN": { + "direction": "input", + "signed": 1, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2495.25-2495.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2496.25-2496.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2506.25-2506.29" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2507.26-2507.31" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2500.11-2500.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2501.11-2501.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2502.11-2502.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2499.11-2499.14" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2497.26-2497.27" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2503.11-2503.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2504.11-2504.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2505.11-2505.15" + } + } + } + }, + "MULT_AND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.1-464.10" + }, + "ports": { + "LO": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.35-462.37" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.39-462.41" + } + }, + "LO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.25-462.27" + } + } + } + }, + "MUXCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.1-326.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "DI": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.30-324.32" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.34-324.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.21-324.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.38-324.39" + } + } + } + }, + "MUXF5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.1-330.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.30-328.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.34-328.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.21-328.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.38-328.39" + } + } + } + }, + "MUXF6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.1-334.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.30-332.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.34-332.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.21-332.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.38-332.39" + } + } + } + }, + "MUXF7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.1-345.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.30-337.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.34-337.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.21-337.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.38-337.39" + } + } + } + }, + "MUXF8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.1-356.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.30-348.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.34-348.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.21-348.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.38-348.39" + } + } + } + }, + "MUXF9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.1-360.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.30-358.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.34-358.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.21-358.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.38-358.39" + } + } + } + }, + "OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:55.1-66.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IOSTANDARD": "default", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:58.11-58.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:57.12-57.13" + } + } + } + }, + "OBUFT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:87.1-101.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "DRIVE": "00000000000000000000000000001100", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "T": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:90.11-90.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:89.12-89.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:91.11-91.12" + } + } + } + }, + "OR2L": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1005.1-1013.10" + }, + "parameter_default_values": { + "IS_SRI_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "SRI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1007.9-1007.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1006.10-1006.11" + } + }, + "SRI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_SRI_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1009.9-1009.12" + } + } + } + }, + "ORCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.1-460.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.30-458.32" + } + }, + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.34-458.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.21-458.22" + } + } + } + }, + "RAM128X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1695.1-1754.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13 ] + }, + "DPRA": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1702.16-1702.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1697.16-1697.17" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1696.16-1696.19" + } + }, + "DPRA": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1703.16-1703.20" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1696.21-1696.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1700.16-1700.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1701.16-1701.18" + } + } + } + }, + "RAM128X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1127.1-1143.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.9-1129.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.13-1129.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.17-1129.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.21-1129.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.25-1129.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.29-1129.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.33-1129.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1130.9-1130.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1128.10-1128.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1133.9-1133.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1134.9-1134.11" + } + } + } + }, + "RAM128X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1145.1-1161.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.9-1147.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.13-1147.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.17-1147.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.21-1147.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.25-1147.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.29-1147.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.33-1147.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1148.9-1148.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1146.10-1146.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1151.9-1151.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1152.9-1152.11" + } + } + } + }, + "RAM16X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1440.1-1459.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.10-1447.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.14-1447.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.18-1447.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.22-1447.24" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1442.10-1442.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1441.10-1441.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.10-1448.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.17-1448.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.24-1448.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.31-1448.36" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1441.15-1441.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1445.10-1445.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1446.10-1446.12" + } + } + } + }, + "RAM16X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1461.1-1480.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.10-1468.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.14-1468.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.18-1468.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.22-1468.24" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1463.10-1463.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1462.10-1462.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.10-1469.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.17-1469.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.24-1469.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.31-1469.36" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1462.15-1462.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1466.10-1466.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1467.10-1467.12" + } + } + } + }, + "RAM16X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1019.1-1035.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "WE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.9-1021.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.13-1021.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.17-1021.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.21-1021.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1022.9-1022.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1020.10-1020.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1025.9-1025.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1026.9-1026.11" + } + } + } + }, + "RAM16X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1037.1-1053.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "WE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.9-1039.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.13-1039.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.17-1039.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.21-1039.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1040.9-1040.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1038.10-1038.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1043.9-1043.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1044.9-1044.11" + } + } + } + }, + "RAM16X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1199.1-1222.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "D0": { + "direction": "input", + "bits": [ 8 ] + }, + "D1": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.9-1201.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.13-1201.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.17-1201.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.21-1201.23" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1202.9-1202.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1202.13-1202.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1200.10-1200.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1200.14-1200.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1205.9-1205.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1206.9-1206.11" + } + } + } + }, + "RAM16X4S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1274.1-1305.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "INIT_02": "0000000000000000", + "INIT_03": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "O2": { + "direction": "output", + "bits": [ 4 ] + }, + "O3": { + "direction": "output", + "bits": [ 5 ] + }, + "A0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "A2": { + "direction": "input", + "bits": [ 8 ] + }, + "A3": { + "direction": "input", + "bits": [ 9 ] + }, + "D0": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "D2": { + "direction": "input", + "bits": [ 12 ] + }, + "D3": { + "direction": "input", + "bits": [ 13 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 14 ] + }, + "WE": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.9-1276.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.13-1276.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.17-1276.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.21-1276.23" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.9-1277.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.13-1277.15" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.17-1277.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.21-1277.23" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.10-1275.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.14-1275.16" + } + }, + "O2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.18-1275.20" + } + }, + "O3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.22-1275.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1280.9-1280.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1281.9-1281.11" + } + } + } + }, + "RAM16X8S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1340.1-1387.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "INIT_02": "0000000000000000", + "INIT_03": "0000000000000000", + "INIT_04": "0000000000000000", + "INIT_05": "0000000000000000", + "INIT_06": "0000000000000000", + "INIT_07": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A0": { + "direction": "input", + "bits": [ 10 ] + }, + "A1": { + "direction": "input", + "bits": [ 11 ] + }, + "A2": { + "direction": "input", + "bits": [ 12 ] + }, + "A3": { + "direction": "input", + "bits": [ 13 ] + }, + "D": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 22 ] + }, + "WE": { + "direction": "input", + "bits": [ 23 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.9-1342.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.13-1342.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.17-1342.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.21-1342.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1343.15-1343.16" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1341.16-1341.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1346.9-1346.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1347.9-1347.11" + } + } + } + }, + "RAM256X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1756.1-1772.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DPRA": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1763.16-1763.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1758.16-1758.17" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1757.10-1757.13" + } + }, + "DPRA": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1763.19-1763.23" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1757.15-1757.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1761.16-1761.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1762.16-1762.18" + } + } + } + }, + "RAM256X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1163.1-1178.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "D": { + "direction": "input", + "bits": [ 11 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WE": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1165.15-1165.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1166.9-1166.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1164.10-1164.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1169.9-1169.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1170.9-1170.11" + } + } + } + }, + "RAM32M": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1777.1-1879.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DOB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "DOC": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "DOD": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30, 31 ] + }, + "DIB": { + "direction": "input", + "bits": [ 32, 33 ] + }, + "DIC": { + "direction": "input", + "bits": [ 34, 35 ] + }, + "DID": { + "direction": "input", + "bits": [ 36, 37 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 38 ] + }, + "WE": { + "direction": "input", + "bits": [ 39 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.16-1782.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.23-1782.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.30-1782.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1783.16-1783.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30, 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1784.16-1784.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 32, 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1785.16-1785.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1786.16-1786.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1787.16-1787.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1778.16-1778.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1779.16-1779.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1780.16-1780.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1781.16-1781.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1790.16-1790.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1791.16-1791.18" + } + } + } + }, + "RAM32M16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1881.1-1948.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DOB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "DOC": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "DOD": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "DOE": { + "direction": "output", + "bits": [ 10, 11 ] + }, + "DOF": { + "direction": "output", + "bits": [ 12, 13 ] + }, + "DOG": { + "direction": "output", + "bits": [ 14, 15 ] + }, + "DOH": { + "direction": "output", + "bits": [ 16, 17 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 33, 34, 35, 36, 37 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 48, 49, 50, 51, 52 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59 ] + }, + "DIB": { + "direction": "input", + "bits": [ 60, 61 ] + }, + "DIC": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DID": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "DIE": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIF": { + "direction": "input", + "bits": [ 68, 69 ] + }, + "DIG": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "DIH": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "WE": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1890.15-1890.20" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1891.15-1891.20" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1892.15-1892.20" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1893.15-1893.20" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1894.15-1894.20" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1895.15-1895.20" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1896.15-1896.20" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1897.15-1897.20" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1898.15-1898.18" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 60, 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1899.15-1899.18" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1900.15-1900.18" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1901.15-1901.18" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1902.15-1902.18" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1903.15-1903.18" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1904.15-1904.18" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1905.15-1905.18" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1882.16-1882.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1883.16-1883.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1884.16-1884.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1885.16-1885.19" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1886.16-1886.19" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 12, 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1887.16-1887.19" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1888.16-1888.19" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1889.16-1889.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1908.9-1908.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1909.9-1909.11" + } + } + } + }, + "RAM32X16DR8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2106.1-2152.10" + }, + "parameter_default_values": { + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "DOE": { + "direction": "output", + "bits": [ 6 ] + }, + "DOF": { + "direction": "output", + "bits": [ 7 ] + }, + "DOG": { + "direction": "output", + "bits": [ 8 ] + }, + "DOH": { + "direction": "output", + "bits": [ 9, 10 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 17, 18, 19, 20, 21, 22 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 41, 42, 43, 44, 45, 46 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 47, 48, 49, 50, 51, 52 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59 ] + }, + "DIB": { + "direction": "input", + "bits": [ 60, 61 ] + }, + "DIC": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DID": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "DIE": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIF": { + "direction": "input", + "bits": [ 68, 69 ] + }, + "DIG": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "DIH": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "WE": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.16-2115.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.23-2115.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.30-2115.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.37-2115.42" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.44-2115.49" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.51-2115.56" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.58-2115.63" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2116.16-2116.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2117.16-2117.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 60, 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2118.16-2118.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2119.16-2119.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2120.16-2120.19" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2121.16-2121.19" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2122.16-2122.19" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2123.16-2123.19" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2124.16-2124.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2107.16-2107.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2108.16-2108.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2109.16-2109.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2110.16-2110.19" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2111.16-2111.19" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2112.16-2112.19" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2113.16-2113.19" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 9, 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2114.16-2114.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2127.16-2127.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2128.16-2128.18" + } + } + } + }, + "RAM32X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1483.1-1535.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.10-1490.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.14-1490.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.18-1490.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.22-1490.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.26-1490.28" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1485.10-1485.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1484.10-1484.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.10-1491.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.17-1491.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.24-1491.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.31-1491.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.38-1491.43" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1484.15-1484.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1488.10-1488.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1489.10-1489.12" + } + } + } + }, + "RAM32X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1538.1-1585.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1545.10-1545.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1546.10-1546.12" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1547.10-1547.12" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1548.10-1548.12" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1549.10-1549.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1540.10-1540.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1539.10-1539.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.10-1550.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.17-1550.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.24-1550.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.31-1550.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.38-1550.43" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1539.15-1539.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1543.10-1543.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1544.10-1544.12" + } + } + } + }, + "RAM32X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1055.1-1071.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "WE": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.9-1057.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.13-1057.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.17-1057.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.21-1057.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.25-1057.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1058.9-1058.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1056.10-1056.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1061.9-1061.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1062.9-1062.11" + } + } + } + }, + "RAM32X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1073.1-1089.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "WE": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.9-1075.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.13-1075.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.17-1075.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.21-1075.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.25-1075.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1076.9-1076.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1074.10-1074.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1079.9-1079.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1080.9-1080.11" + } + } + } + }, + "RAM32X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1224.1-1247.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "A4": { + "direction": "input", + "bits": [ 8 ] + }, + "D0": { + "direction": "input", + "bits": [ 9 ] + }, + "D1": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.9-1226.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.13-1226.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.17-1226.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.21-1226.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.25-1226.27" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1227.9-1227.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1227.13-1227.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1225.10-1225.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1225.14-1225.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1230.9-1230.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1231.9-1231.11" + } + } + } + }, + "RAM32X4S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1307.1-1338.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "INIT_02": "00000000000000000000000000000000", + "INIT_03": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "O2": { + "direction": "output", + "bits": [ 4 ] + }, + "O3": { + "direction": "output", + "bits": [ 5 ] + }, + "A0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "A2": { + "direction": "input", + "bits": [ 8 ] + }, + "A3": { + "direction": "input", + "bits": [ 9 ] + }, + "A4": { + "direction": "input", + "bits": [ 10 ] + }, + "D0": { + "direction": "input", + "bits": [ 11 ] + }, + "D1": { + "direction": "input", + "bits": [ 12 ] + }, + "D2": { + "direction": "input", + "bits": [ 13 ] + }, + "D3": { + "direction": "input", + "bits": [ 14 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 15 ] + }, + "WE": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.9-1309.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.13-1309.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.17-1309.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.21-1309.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.25-1309.27" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.9-1310.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.13-1310.15" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.17-1310.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.21-1310.23" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.10-1308.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.14-1308.16" + } + }, + "O2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.18-1308.20" + } + }, + "O3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.22-1308.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1313.9-1313.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1314.9-1314.11" + } + } + } + }, + "RAM32X8S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1389.1-1436.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "INIT_02": "00000000000000000000000000000000", + "INIT_03": "00000000000000000000000000000000", + "INIT_04": "00000000000000000000000000000000", + "INIT_05": "00000000000000000000000000000000", + "INIT_06": "00000000000000000000000000000000", + "INIT_07": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A0": { + "direction": "input", + "bits": [ 10 ] + }, + "A1": { + "direction": "input", + "bits": [ 11 ] + }, + "A2": { + "direction": "input", + "bits": [ 12 ] + }, + "A3": { + "direction": "input", + "bits": [ 13 ] + }, + "A4": { + "direction": "input", + "bits": [ 14 ] + }, + "D": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 23 ] + }, + "WE": { + "direction": "input", + "bits": [ 24 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.9-1391.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.13-1391.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.17-1391.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.21-1391.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.25-1391.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1392.15-1392.16" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1390.16-1390.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1395.9-1395.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1396.9-1396.11" + } + } + } + }, + "RAM512X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1180.1-1195.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ] + }, + "D": { + "direction": "input", + "bits": [ 12 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 13 ] + }, + "WE": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1182.15-1182.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1183.9-1183.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1181.10-1181.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1186.9-1186.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1187.9-1187.11" + } + } + } + }, + "RAM64M": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1951.1-2035.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22, 23 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30 ] + }, + "DIB": { + "direction": "input", + "bits": [ 31 ] + }, + "DIC": { + "direction": "input", + "bits": [ 32 ] + }, + "DID": { + "direction": "input", + "bits": [ 33 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 34 ] + }, + "WE": { + "direction": "input", + "bits": [ 35 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.16-1956.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.23-1956.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.30-1956.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1957.16-1957.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1958.16-1958.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1959.16-1959.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1960.16-1960.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1961.16-1961.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1952.16-1952.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1953.16-1953.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1954.16-1954.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1955.16-1955.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1964.16-1964.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1965.16-1965.18" + } + } + } + }, + "RAM64M8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2037.1-2104.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "DOE": { + "direction": "output", + "bits": [ 6 ] + }, + "DOF": { + "direction": "output", + "bits": [ 7 ] + }, + "DOG": { + "direction": "output", + "bits": [ 8 ] + }, + "DOH": { + "direction": "output", + "bits": [ 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 16, 17, 18, 19, 20, 21 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58 ] + }, + "DIB": { + "direction": "input", + "bits": [ 59 ] + }, + "DIC": { + "direction": "input", + "bits": [ 60 ] + }, + "DID": { + "direction": "input", + "bits": [ 61 ] + }, + "DIE": { + "direction": "input", + "bits": [ 62 ] + }, + "DIF": { + "direction": "input", + "bits": [ 63 ] + }, + "DIG": { + "direction": "input", + "bits": [ 64 ] + }, + "DIH": { + "direction": "input", + "bits": [ 65 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 66 ] + }, + "WE": { + "direction": "input", + "bits": [ 67 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2046.15-2046.20" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2047.15-2047.20" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2048.15-2048.20" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2049.15-2049.20" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2050.15-2050.20" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2051.15-2051.20" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2052.15-2052.20" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2053.15-2053.20" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2054.9-2054.12" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2055.9-2055.12" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2056.9-2056.12" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2057.9-2057.12" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2058.9-2058.12" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2059.9-2059.12" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2060.9-2060.12" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2061.9-2061.12" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2038.10-2038.13" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2039.10-2039.13" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2040.10-2040.13" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2041.10-2041.13" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2042.10-2042.13" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2043.10-2043.13" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2044.10-2044.13" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2045.10-2045.13" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2064.9-2064.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2065.9-2065.11" + } + } + } + }, + "RAM64X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1588.1-1644.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "A5": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 17 ] + }, + "DPRA5": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.10-1595.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.14-1595.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.18-1595.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.22-1595.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.26-1595.28" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.30-1595.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1590.10-1590.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1589.10-1589.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.10-1596.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.17-1596.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.24-1596.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.31-1596.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.38-1596.43" + } + }, + "DPRA5": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.45-1596.50" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1589.15-1589.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1593.10-1593.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1594.10-1594.12" + } + } + } + }, + "RAM64X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1646.1-1692.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "A5": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 17 ] + }, + "DPRA5": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.10-1653.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.14-1653.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.18-1653.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.22-1653.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.26-1653.28" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.30-1653.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1648.10-1648.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1647.10-1647.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.10-1654.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.17-1654.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.24-1654.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.31-1654.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.38-1654.43" + } + }, + "DPRA5": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.45-1654.50" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1647.15-1647.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1651.10-1651.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1652.10-1652.12" + } + } + } + }, + "RAM64X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1091.1-1107.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.9-1093.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.13-1093.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.17-1093.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.21-1093.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.25-1093.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.29-1093.31" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1094.9-1094.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1092.10-1092.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1097.9-1097.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1098.9-1098.11" + } + } + } + }, + "RAM64X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1109.1-1125.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.9-1111.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.13-1111.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.17-1111.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.21-1111.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.25-1111.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.29-1111.31" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1112.9-1112.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1110.10-1110.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1115.9-1115.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1116.9-1116.11" + } + } + } + }, + "RAM64X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1249.1-1272.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "A4": { + "direction": "input", + "bits": [ 8 ] + }, + "A5": { + "direction": "input", + "bits": [ 9 ] + }, + "D0": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WE": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.9-1251.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.13-1251.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.17-1251.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.21-1251.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.25-1251.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.29-1251.31" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1252.9-1252.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1252.13-1252.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1250.10-1250.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1250.14-1250.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1255.9-1255.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1256.9-1256.11" + } + } + } + }, + "RAM64X8SW": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2154.1-2203.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15 ] + }, + "D": { + "direction": "input", + "bits": [ 16 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 17 ] + }, + "WE": { + "direction": "input", + "bits": [ 18 ] + }, + "WSEL": { + "direction": "input", + "bits": [ 19, 20, 21 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2156.15-2156.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2157.9-2157.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2155.16-2155.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2160.9-2160.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2161.9-2161.11" + } + }, + "WSEL": { + "hide_name": 0, + "bits": [ 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2162.15-2162.19" + } + } + } + }, + "RAMB18E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3952.1-4128.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RAM_MODE": "TDP", + "RDADDR_COLLISION_HWCONFIG": "DELAYED_WRITE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "VIRTEX6", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CLKARDCLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 4 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 5 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 6 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 7 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 8 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 9 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 10 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 11 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 74, 75 ] + }, + "WEA": { + "direction": "input", + "bits": [ 76, 77 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 78, 79, 80, 81 ] + }, + "DOADO": { + "direction": "output", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 114, 115 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 116, 117 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3973.18-3973.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3974.18-3974.29" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3955.11-3955.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3958.11-3958.20" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3975.18-3975.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3976.18-3976.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3977.17-3977.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 74, 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3978.17-3978.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3981.19-3981.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3982.19-3982.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 114, 115 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3983.18-3983.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 116, 117 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3984.18-3984.25" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3960.11-3960.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3962.11-3962.18" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3963.11-3963.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3964.11-3964.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3966.11-3966.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3968.11-3968.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3970.11-3970.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3972.11-3972.18" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 76, 77 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3979.17-3979.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3980.17-3980.22" + } + } + } + }, + "RAMB36E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4130.1-4392.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "EN_ECC_READ": "FALSE", + "EN_ECC_WRITE": "FALSE", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_40": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_41": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_42": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_43": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_44": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_45": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_46": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_47": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_48": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_49": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_50": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_51": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_52": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_53": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_54": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_55": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_56": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_57": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_58": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_59": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_60": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_61": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_62": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_63": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_64": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_65": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_66": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_67": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_68": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_69": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_70": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_71": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_72": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_73": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_74": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_75": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_76": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_77": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_78": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_79": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RAM_EXTENSION_A": "NONE", + "RAM_EXTENSION_B": "NONE", + "RAM_MODE": "TDP", + "RDADDR_COLLISION_HWCONFIG": "DELAYED_WRITE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "VIRTEX6", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CASCADEOUTA": { + "direction": "output", + "bits": [ 2 ] + }, + "CASCADEOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOADO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 68, 69, 70, 71 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 72, 73, 74, 75 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "RDADDRECC": { + "direction": "output", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 93 ] + }, + "DBITERR": { + "direction": "output", + "bits": [ 94 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 95 ] + }, + "CLKARDCLK": { + "direction": "input", + "bits": [ 96 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 97 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 98 ] + }, + "CASCADEINA": { + "direction": "input", + "bits": [ 99 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 100 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 101 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 102 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 103 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 104 ] + }, + "CASCADEINB": { + "direction": "input", + "bits": [ 105 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 106 ] + }, + "INJECTDBITERR": { + "direction": "input", + "bits": [ 107 ] + }, + "INJECTSBITERR": { + "direction": "input", + "bits": [ 108 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 205, 206, 207, 208 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 209, 210, 211, 212 ] + }, + "WEA": { + "direction": "input", + "bits": [ 213, 214, 215, 216 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4165.18-4165.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4166.18-4166.29" + } + }, + "CASCADEINA": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4150.11-4150.21" + } + }, + "CASCADEINB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4161.11-4161.21" + } + }, + "CASCADEOUTA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4131.12-4131.23" + } + }, + "CASCADEOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4132.12-4132.23" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4145.11-4145.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4156.11-4156.20" + } + }, + "DBITERR": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4140.12-4140.19" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4167.18-4167.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4168.18-4168.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 205, 206, 207, 208 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4169.17-4169.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 209, 210, 211, 212 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4170.17-4170.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4133.19-4133.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4134.19-4134.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4135.18-4135.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4136.18-4136.25" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4137.18-4137.27" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4142.11-4142.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4153.11-4153.18" + } + }, + "INJECTDBITERR": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4163.11-4163.24" + } + }, + "INJECTSBITERR": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4164.11-4164.24" + } + }, + "RDADDRECC": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4138.18-4138.27" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4151.11-4151.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4162.11-4162.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4147.11-4147.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4158.11-4158.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4149.11-4149.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4160.11-4160.18" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4139.12-4139.19" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 213, 214, 215, 216 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4171.17-4171.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4172.17-4172.22" + } + } + } + }, + "ROM128X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2231.1-2237.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.9-2233.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.13-2233.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.17-2233.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.21-2233.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.25-2233.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.29-2233.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.33-2233.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2232.10-2232.11" + } + } + } + }, + "ROM16X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2207.1-2213.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.9-2209.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.13-2209.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.17-2209.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.21-2209.23" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2208.10-2208.11" + } + } + } + }, + "ROM256X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2239.1-2245.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "A7": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.9-2241.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.13-2241.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.17-2241.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.21-2241.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.25-2241.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.29-2241.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.33-2241.35" + } + }, + "A7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.37-2241.39" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2240.10-2240.11" + } + } + } + }, + "ROM32X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2215.1-2221.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.9-2217.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.13-2217.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.17-2217.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.21-2217.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.25-2217.27" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2216.10-2216.11" + } + } + } + }, + "ROM64X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2223.1-2229.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.9-2225.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.13-2225.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.17-2225.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.21-2225.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.25-2225.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.29-2225.31" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2224.10-2224.11" + } + } + } + }, + "SRL16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2250.1-2273.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "CLK": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.9-2252.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.13-2252.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.17-2252.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.21-2252.23" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2254.9-2254.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2255.9-2255.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2251.10-2251.11" + } + } + } + }, + "SRL16E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2276.1-2311.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "CE": { + "direction": "input", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.9-2278.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.13-2278.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.17-2278.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.21-2278.23" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.25-2278.27" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2281.9-2281.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2282.9-2282.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2277.10-2277.11" + } + } + } + }, + "SRLC16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2314.1-2341.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q15": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.9-2317.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.13-2317.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.17-2317.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.21-2317.23" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2319.9-2319.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2320.9-2320.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2315.10-2315.11" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2316.10-2316.13" + } + } + } + }, + "SRLC16E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2344.1-2384.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q15": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "CE": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.9-2347.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.13-2347.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.17-2347.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.21-2347.23" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.25-2347.27" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2350.9-2350.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2351.9-2351.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2345.10-2345.11" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2346.10-2346.13" + } + } + } + }, + "SRLC32E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2387.1-2429.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q31": { + "direction": "output", + "bits": [ 3 ] + }, + "A": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8 ] + }, + "CE": { + "direction": "input", + "bits": [ 9 ] + }, + "CLK": { + "direction": "input", + "bits": [ 10 ] + }, + "D": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2390.15-2390.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2391.9-2391.11" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2394.9-2394.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2395.9-2395.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2388.10-2388.11" + } + }, + "Q31": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2389.10-2389.13" + } + } + } + }, + "VCC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:24.1-26.10" + }, + "ports": { + "P": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "P": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:24.19-24.20" + } + } + } + }, + "XORCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.1-364.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "LI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.30-362.32" + } + }, + "LI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.34-362.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.21-362.22" + } + } + } + }, + "gnl_2500_03_5_80_80": { + "attributes": { + "top": "00000000000000000000000000000001", + "STRUCTURAL_NETLIST": "yes", + "ECO_CHECKSUM": "d183ba4f", + "cells_not_processed": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:91.1-39279.10" + }, + "ports": { + "ip": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81 ] + }, + "op": { + "direction": "output", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161 ] + }, + "clk": { + "direction": "input", + "bits": [ 162 ] + } + }, + "cells": { + "FD_a": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3595.5-3600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 165 ], + "Q": [ 166 ], + "R": [ 167 ] + } + }, + "FD_aa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3605.5-3610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 168 ], + "Q": [ 169 ], + "R": [ 167 ] + } + }, + "FD_ab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3615.5-3620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 170 ], + "Q": [ 171 ], + "R": [ 167 ] + } + }, + "FD_ac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3625.5-3630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 172 ], + "Q": [ 173 ], + "R": [ 167 ] + } + }, + "FD_ad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3635.5-3640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 174 ], + "Q": [ 175 ], + "R": [ 167 ] + } + }, + "FD_ae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3645.5-3650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 176 ], + "Q": [ 177 ], + "R": [ 167 ] + } + }, + "FD_af": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3655.5-3660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 178 ], + "Q": [ 179 ], + "R": [ 167 ] + } + }, + "FD_ag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3665.5-3670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 180 ], + "Q": [ 181 ], + "R": [ 167 ] + } + }, + "FD_ah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3675.5-3680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 182 ], + "Q": [ 183 ], + "R": [ 167 ] + } + }, + "FD_ai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3685.5-3690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 184 ], + "Q": [ 185 ], + "R": [ 167 ] + } + }, + "FD_aj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3695.5-3700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 186 ], + "Q": [ 187 ], + "R": [ 167 ] + } + }, + "FD_ak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3705.5-3710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 188 ], + "Q": [ 189 ], + "R": [ 167 ] + } + }, + "FD_al": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3715.5-3720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 190 ], + "Q": [ 191 ], + "R": [ 167 ] + } + }, + "FD_am": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3725.5-3730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 192 ], + "Q": [ 193 ], + "R": [ 167 ] + } + }, + "FD_an": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3735.5-3740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 194 ], + "Q": [ 195 ], + "R": [ 167 ] + } + }, + "FD_ao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3745.5-3750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 196 ], + "Q": [ 197 ], + "R": [ 167 ] + } + }, + "FD_ap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3755.5-3760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 198 ], + "Q": [ 199 ], + "R": [ 167 ] + } + }, + "FD_b": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3765.5-3770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 200 ], + "Q": [ 201 ], + "R": [ 167 ] + } + }, + "FD_ba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3775.5-3780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 202 ], + "Q": [ 203 ], + "R": [ 167 ] + } + }, + "FD_bb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3785.5-3790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 204 ], + "Q": [ 205 ], + "R": [ 167 ] + } + }, + "FD_bc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3795.5-3800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 206 ], + "Q": [ 207 ], + "R": [ 167 ] + } + }, + "FD_bd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3805.5-3810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 208 ], + "Q": [ 209 ], + "R": [ 167 ] + } + }, + "FD_be": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3815.5-3820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 210 ], + "Q": [ 211 ], + "R": [ 167 ] + } + }, + "FD_bf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3825.5-3830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 212 ], + "Q": [ 213 ], + "R": [ 167 ] + } + }, + "FD_bg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3835.5-3840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 214 ], + "Q": [ 215 ], + "R": [ 167 ] + } + }, + "FD_bh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3845.5-3850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 216 ], + "Q": [ 217 ], + "R": [ 167 ] + } + }, + "FD_bi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3855.5-3860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 218 ], + "Q": [ 219 ], + "R": [ 167 ] + } + }, + "FD_bj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3865.5-3870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 220 ], + "Q": [ 221 ], + "R": [ 167 ] + } + }, + "FD_bk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3875.5-3880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 222 ], + "Q": [ 223 ], + "R": [ 167 ] + } + }, + "FD_bl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3885.5-3890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 224 ], + "Q": [ 225 ], + "R": [ 167 ] + } + }, + "FD_bm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3895.5-3900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 226 ], + "Q": [ 227 ], + "R": [ 167 ] + } + }, + "FD_bn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3905.5-3910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 228 ], + "Q": [ 229 ], + "R": [ 167 ] + } + }, + "FD_bo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3915.5-3920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 230 ], + "Q": [ 231 ], + "R": [ 167 ] + } + }, + "FD_bp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3925.5-3930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 232 ], + "Q": [ 233 ], + "R": [ 167 ] + } + }, + "FD_c": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3935.5-3940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 234 ], + "Q": [ 235 ], + "R": [ 167 ] + } + }, + "FD_ca": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3945.5-3950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 236 ], + "Q": [ 237 ], + "R": [ 167 ] + } + }, + "FD_cb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3955.5-3960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 238 ], + "Q": [ 239 ], + "R": [ 167 ] + } + }, + "FD_cc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3965.5-3970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 240 ], + "Q": [ 241 ], + "R": [ 167 ] + } + }, + "FD_cd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3975.5-3980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 242 ], + "Q": [ 243 ], + "R": [ 167 ] + } + }, + "FD_ce": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3985.5-3990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 244 ], + "Q": [ 245 ], + "R": [ 167 ] + } + }, + "FD_cf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3995.5-4000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 246 ], + "Q": [ 247 ], + "R": [ 167 ] + } + }, + "FD_cg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4005.5-4010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 248 ], + "Q": [ 249 ], + "R": [ 167 ] + } + }, + "FD_ch": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4015.5-4020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 250 ], + "Q": [ 251 ], + "R": [ 167 ] + } + }, + "FD_ci": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4025.5-4030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 252 ], + "Q": [ 253 ], + "R": [ 167 ] + } + }, + "FD_cj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4035.5-4040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 254 ], + "Q": [ 255 ], + "R": [ 167 ] + } + }, + "FD_ck": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4045.5-4050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 256 ], + "Q": [ 257 ], + "R": [ 167 ] + } + }, + "FD_cl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4055.5-4060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 258 ], + "Q": [ 259 ], + "R": [ 167 ] + } + }, + "FD_cm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4065.5-4070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 260 ], + "Q": [ 261 ], + "R": [ 167 ] + } + }, + "FD_cn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4075.5-4080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 262 ], + "Q": [ 263 ], + "R": [ 167 ] + } + }, + "FD_co": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4085.5-4090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 264 ], + "Q": [ 265 ], + "R": [ 167 ] + } + }, + "FD_cp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4095.5-4100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 266 ], + "Q": [ 267 ], + "R": [ 167 ] + } + }, + "FD_d": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4105.5-4110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 268 ], + "Q": [ 269 ], + "R": [ 167 ] + } + }, + "FD_da": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4115.5-4120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 270 ], + "Q": [ 271 ], + "R": [ 167 ] + } + }, + "FD_db": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4125.5-4130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 272 ], + "Q": [ 273 ], + "R": [ 167 ] + } + }, + "FD_dc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4135.5-4140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 274 ], + "Q": [ 275 ], + "R": [ 167 ] + } + }, + "FD_dd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4145.5-4150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 276 ], + "Q": [ 277 ], + "R": [ 167 ] + } + }, + "FD_de": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4155.5-4160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 278 ], + "Q": [ 279 ], + "R": [ 167 ] + } + }, + "FD_df": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4165.5-4170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 280 ], + "Q": [ 281 ], + "R": [ 167 ] + } + }, + "FD_dg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4175.5-4180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 282 ], + "Q": [ 283 ], + "R": [ 167 ] + } + }, + "FD_dh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4185.5-4190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 284 ], + "Q": [ 285 ], + "R": [ 167 ] + } + }, + "FD_di": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4195.5-4200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 286 ], + "Q": [ 287 ], + "R": [ 167 ] + } + }, + "FD_dj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4205.5-4210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 288 ], + "Q": [ 289 ], + "R": [ 167 ] + } + }, + "FD_dk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4215.5-4220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 290 ], + "Q": [ 291 ], + "R": [ 167 ] + } + }, + "FD_dl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4225.5-4230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 292 ], + "Q": [ 293 ], + "R": [ 167 ] + } + }, + "FD_dm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4235.5-4240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 294 ], + "Q": [ 295 ], + "R": [ 167 ] + } + }, + "FD_dn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4245.5-4250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 296 ], + "Q": [ 297 ], + "R": [ 167 ] + } + }, + "FD_do": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4255.5-4260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 298 ], + "Q": [ 299 ], + "R": [ 167 ] + } + }, + "FD_dp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4265.5-4270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 300 ], + "Q": [ 301 ], + "R": [ 167 ] + } + }, + "FD_e": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4275.5-4280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 302 ], + "Q": [ 303 ], + "R": [ 167 ] + } + }, + "FD_ea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4285.5-4290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 304 ], + "Q": [ 305 ], + "R": [ 167 ] + } + }, + "FD_eb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4295.5-4300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 306 ], + "Q": [ 307 ], + "R": [ 167 ] + } + }, + "FD_ec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4305.5-4310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 308 ], + "Q": [ 309 ], + "R": [ 167 ] + } + }, + "FD_ed": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4315.5-4320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 310 ], + "Q": [ 311 ], + "R": [ 167 ] + } + }, + "FD_ee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4325.5-4330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 312 ], + "Q": [ 313 ], + "R": [ 167 ] + } + }, + "FD_ef": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4335.5-4340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 314 ], + "Q": [ 315 ], + "R": [ 167 ] + } + }, + "FD_eg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4345.5-4350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 316 ], + "Q": [ 317 ], + "R": [ 167 ] + } + }, + "FD_eh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4355.5-4360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 318 ], + "Q": [ 319 ], + "R": [ 167 ] + } + }, + "FD_ei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4365.5-4370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 320 ], + "Q": [ 321 ], + "R": [ 167 ] + } + }, + "FD_ej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4375.5-4380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 322 ], + "Q": [ 323 ], + "R": [ 167 ] + } + }, + "FD_ek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4385.5-4390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 324 ], + "Q": [ 325 ], + "R": [ 167 ] + } + }, + "FD_el": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4395.5-4400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 326 ], + "Q": [ 327 ], + "R": [ 167 ] + } + }, + "FD_em": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4405.5-4410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 328 ], + "Q": [ 329 ], + "R": [ 167 ] + } + }, + "FD_en": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4415.5-4420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 330 ], + "Q": [ 331 ], + "R": [ 167 ] + } + }, + "FD_eo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4425.5-4430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 332 ], + "Q": [ 333 ], + "R": [ 167 ] + } + }, + "FD_ep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4435.5-4440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 334 ], + "Q": [ 335 ], + "R": [ 167 ] + } + }, + "FD_f": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4445.5-4450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 336 ], + "Q": [ 337 ], + "R": [ 167 ] + } + }, + "FD_fa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4455.5-4460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 338 ], + "Q": [ 339 ], + "R": [ 167 ] + } + }, + "FD_fb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4465.5-4470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 340 ], + "Q": [ 341 ], + "R": [ 167 ] + } + }, + "FD_fc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4475.5-4480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 342 ], + "Q": [ 343 ], + "R": [ 167 ] + } + }, + "FD_fd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4485.5-4490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 344 ], + "Q": [ 345 ], + "R": [ 167 ] + } + }, + "FD_fe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4495.5-4500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 346 ], + "Q": [ 347 ], + "R": [ 167 ] + } + }, + "FD_ff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4505.5-4510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 348 ], + "Q": [ 349 ], + "R": [ 167 ] + } + }, + "FD_fg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4515.5-4520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 350 ], + "Q": [ 351 ], + "R": [ 167 ] + } + }, + "FD_fh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4525.5-4530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 352 ], + "Q": [ 353 ], + "R": [ 167 ] + } + }, + "FD_fi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4535.5-4540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 354 ], + "Q": [ 355 ], + "R": [ 167 ] + } + }, + "FD_fj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4545.5-4550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 356 ], + "Q": [ 357 ], + "R": [ 167 ] + } + }, + "FD_fk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4555.5-4560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 358 ], + "Q": [ 359 ], + "R": [ 167 ] + } + }, + "FD_fl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4565.5-4570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 360 ], + "Q": [ 361 ], + "R": [ 167 ] + } + }, + "FD_fm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4575.5-4580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 362 ], + "Q": [ 363 ], + "R": [ 167 ] + } + }, + "FD_fn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4585.5-4590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 364 ], + "Q": [ 365 ], + "R": [ 167 ] + } + }, + "FD_fo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4595.5-4600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 366 ], + "Q": [ 367 ], + "R": [ 167 ] + } + }, + "FD_fp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4605.5-4610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 368 ], + "Q": [ 369 ], + "R": [ 167 ] + } + }, + "FD_g": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4615.5-4620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 370 ], + "Q": [ 371 ], + "R": [ 167 ] + } + }, + "FD_h": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4625.5-4630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 372 ], + "Q": [ 373 ], + "R": [ 167 ] + } + }, + "FD_ha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4635.5-4640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 374 ], + "Q": [ 375 ], + "R": [ 167 ] + } + }, + "FD_haa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4645.5-4650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 376 ], + "Q": [ 377 ], + "R": [ 167 ] + } + }, + "FD_hab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4655.5-4660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 378 ], + "Q": [ 379 ], + "R": [ 167 ] + } + }, + "FD_hac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4665.5-4670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 380 ], + "Q": [ 381 ], + "R": [ 167 ] + } + }, + "FD_had": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4675.5-4680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 382 ], + "Q": [ 383 ], + "R": [ 167 ] + } + }, + "FD_hae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4685.5-4690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 384 ], + "Q": [ 385 ], + "R": [ 167 ] + } + }, + "FD_haf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4695.5-4700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 386 ], + "Q": [ 387 ], + "R": [ 167 ] + } + }, + "FD_hag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4705.5-4710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 388 ], + "Q": [ 389 ], + "R": [ 167 ] + } + }, + "FD_hah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4715.5-4720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 390 ], + "Q": [ 391 ], + "R": [ 167 ] + } + }, + "FD_hai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4725.5-4730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 392 ], + "Q": [ 393 ], + "R": [ 167 ] + } + }, + "FD_haj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4735.5-4740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 394 ], + "Q": [ 395 ], + "R": [ 167 ] + } + }, + "FD_hak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4745.5-4750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 396 ], + "Q": [ 397 ], + "R": [ 167 ] + } + }, + "FD_hal": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4755.5-4760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 398 ], + "Q": [ 399 ], + "R": [ 167 ] + } + }, + "FD_ham": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4765.5-4770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 400 ], + "Q": [ 401 ], + "R": [ 167 ] + } + }, + "FD_han": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4775.5-4780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 402 ], + "Q": [ 403 ], + "R": [ 167 ] + } + }, + "FD_hao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4785.5-4790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 404 ], + "Q": [ 405 ], + "R": [ 167 ] + } + }, + "FD_hap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4795.5-4800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 406 ], + "Q": [ 407 ], + "R": [ 167 ] + } + }, + "FD_hb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4805.5-4810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 408 ], + "Q": [ 409 ], + "R": [ 167 ] + } + }, + "FD_hba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4815.5-4820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 410 ], + "Q": [ 411 ], + "R": [ 167 ] + } + }, + "FD_hbb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4825.5-4830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 412 ], + "Q": [ 413 ], + "R": [ 167 ] + } + }, + "FD_hbc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4835.5-4840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 414 ], + "Q": [ 415 ], + "R": [ 167 ] + } + }, + "FD_hbd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4845.5-4850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 416 ], + "Q": [ 417 ], + "R": [ 167 ] + } + }, + "FD_hbe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4855.5-4860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 418 ], + "Q": [ 419 ], + "R": [ 167 ] + } + }, + "FD_hbf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4865.5-4870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 420 ], + "Q": [ 421 ], + "R": [ 167 ] + } + }, + "FD_hbg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4875.5-4880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 422 ], + "Q": [ 423 ], + "R": [ 167 ] + } + }, + "FD_hbh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4885.5-4890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 424 ], + "Q": [ 425 ], + "R": [ 167 ] + } + }, + "FD_hbi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4895.5-4900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 426 ], + "Q": [ 427 ], + "R": [ 167 ] + } + }, + "FD_hbj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4905.5-4910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 428 ], + "Q": [ 429 ], + "R": [ 167 ] + } + }, + "FD_hbk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4915.5-4920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 430 ], + "Q": [ 431 ], + "R": [ 167 ] + } + }, + "FD_hbl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4925.5-4930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 432 ], + "Q": [ 433 ], + "R": [ 167 ] + } + }, + "FD_hbm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4935.5-4940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 434 ], + "Q": [ 435 ], + "R": [ 167 ] + } + }, + "FD_hbn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4945.5-4950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 436 ], + "Q": [ 437 ], + "R": [ 167 ] + } + }, + "FD_hbo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4955.5-4960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 438 ], + "Q": [ 439 ], + "R": [ 167 ] + } + }, + "FD_hbp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4965.5-4970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 440 ], + "Q": [ 441 ], + "R": [ 167 ] + } + }, + "FD_hc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4975.5-4980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 442 ], + "Q": [ 443 ], + "R": [ 167 ] + } + }, + "FD_hca": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4985.5-4990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 444 ], + "Q": [ 445 ], + "R": [ 167 ] + } + }, + "FD_hcb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:4995.5-5000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 446 ], + "Q": [ 447 ], + "R": [ 167 ] + } + }, + "FD_hcc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5005.5-5010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 448 ], + "Q": [ 449 ], + "R": [ 167 ] + } + }, + "FD_hcd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5015.5-5020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 450 ], + "Q": [ 451 ], + "R": [ 167 ] + } + }, + "FD_hce": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5025.5-5030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 452 ], + "Q": [ 453 ], + "R": [ 167 ] + } + }, + "FD_hcf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5035.5-5040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 454 ], + "Q": [ 455 ], + "R": [ 167 ] + } + }, + "FD_hcg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5045.5-5050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 456 ], + "Q": [ 457 ], + "R": [ 167 ] + } + }, + "FD_hch": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5055.5-5060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 458 ], + "Q": [ 459 ], + "R": [ 167 ] + } + }, + "FD_hci": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5065.5-5070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 460 ], + "Q": [ 461 ], + "R": [ 167 ] + } + }, + "FD_hcj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5075.5-5080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 462 ], + "Q": [ 463 ], + "R": [ 167 ] + } + }, + "FD_hck": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5085.5-5090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 464 ], + "Q": [ 465 ], + "R": [ 167 ] + } + }, + "FD_hcl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5095.5-5100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 466 ], + "Q": [ 467 ], + "R": [ 167 ] + } + }, + "FD_hcm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5105.5-5110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 468 ], + "Q": [ 469 ], + "R": [ 167 ] + } + }, + "FD_hcn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5115.5-5120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 470 ], + "Q": [ 471 ], + "R": [ 167 ] + } + }, + "FD_hco": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5125.5-5130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 472 ], + "Q": [ 473 ], + "R": [ 167 ] + } + }, + "FD_hcp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5135.5-5140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 474 ], + "Q": [ 475 ], + "R": [ 167 ] + } + }, + "FD_hd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5145.5-5150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 476 ], + "Q": [ 477 ], + "R": [ 167 ] + } + }, + "FD_hda": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5155.5-5160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 478 ], + "Q": [ 479 ], + "R": [ 167 ] + } + }, + "FD_hdb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5165.5-5170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 480 ], + "Q": [ 481 ], + "R": [ 167 ] + } + }, + "FD_hdc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5175.5-5180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 482 ], + "Q": [ 483 ], + "R": [ 167 ] + } + }, + "FD_hdd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5185.5-5190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 484 ], + "Q": [ 485 ], + "R": [ 167 ] + } + }, + "FD_hde": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5195.5-5200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 486 ], + "Q": [ 487 ], + "R": [ 167 ] + } + }, + "FD_hdf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5205.5-5210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 488 ], + "Q": [ 489 ], + "R": [ 167 ] + } + }, + "FD_hdg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5215.5-5220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 490 ], + "Q": [ 491 ], + "R": [ 167 ] + } + }, + "FD_hdh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5225.5-5230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 492 ], + "Q": [ 493 ], + "R": [ 167 ] + } + }, + "FD_hdi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5235.5-5240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 494 ], + "Q": [ 495 ], + "R": [ 167 ] + } + }, + "FD_hdj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5245.5-5250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 496 ], + "Q": [ 497 ], + "R": [ 167 ] + } + }, + "FD_hdk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5255.5-5260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 498 ], + "Q": [ 499 ], + "R": [ 167 ] + } + }, + "FD_hdl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5265.5-5270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 500 ], + "Q": [ 501 ], + "R": [ 167 ] + } + }, + "FD_hdm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5275.5-5280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 502 ], + "Q": [ 503 ], + "R": [ 167 ] + } + }, + "FD_hdn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5285.5-5290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 504 ], + "Q": [ 505 ], + "R": [ 167 ] + } + }, + "FD_hdo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5295.5-5300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 506 ], + "Q": [ 507 ], + "R": [ 167 ] + } + }, + "FD_hdp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5305.5-5310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 508 ], + "Q": [ 509 ], + "R": [ 167 ] + } + }, + "FD_he": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5315.5-5320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 510 ], + "Q": [ 511 ], + "R": [ 167 ] + } + }, + "FD_hea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5325.5-5330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 512 ], + "Q": [ 513 ], + "R": [ 167 ] + } + }, + "FD_heb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5335.5-5340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 514 ], + "Q": [ 515 ], + "R": [ 167 ] + } + }, + "FD_hec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5345.5-5350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 516 ], + "Q": [ 517 ], + "R": [ 167 ] + } + }, + "FD_hed": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5355.5-5360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 518 ], + "Q": [ 519 ], + "R": [ 167 ] + } + }, + "FD_hee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5365.5-5370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 520 ], + "Q": [ 521 ], + "R": [ 167 ] + } + }, + "FD_hef": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5375.5-5380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 522 ], + "Q": [ 523 ], + "R": [ 167 ] + } + }, + "FD_heg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5385.5-5390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 524 ], + "Q": [ 525 ], + "R": [ 167 ] + } + }, + "FD_heh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5395.5-5400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 526 ], + "Q": [ 527 ], + "R": [ 167 ] + } + }, + "FD_hei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5405.5-5410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 528 ], + "Q": [ 529 ], + "R": [ 167 ] + } + }, + "FD_hej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5415.5-5420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 530 ], + "Q": [ 531 ], + "R": [ 167 ] + } + }, + "FD_hek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5425.5-5430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 532 ], + "Q": [ 533 ], + "R": [ 167 ] + } + }, + "FD_hel": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5435.5-5440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 534 ], + "Q": [ 535 ], + "R": [ 167 ] + } + }, + "FD_hem": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5445.5-5450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 536 ], + "Q": [ 537 ], + "R": [ 167 ] + } + }, + "FD_hen": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5455.5-5460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 538 ], + "Q": [ 539 ], + "R": [ 167 ] + } + }, + "FD_heo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5465.5-5470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 540 ], + "Q": [ 541 ], + "R": [ 167 ] + } + }, + "FD_hep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5475.5-5480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 542 ], + "Q": [ 543 ], + "R": [ 167 ] + } + }, + "FD_hf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5485.5-5490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 544 ], + "Q": [ 545 ], + "R": [ 167 ] + } + }, + "FD_hfa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5495.5-5500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 546 ], + "Q": [ 547 ], + "R": [ 167 ] + } + }, + "FD_hfb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5505.5-5510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 548 ], + "Q": [ 549 ], + "R": [ 167 ] + } + }, + "FD_hfc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5515.5-5520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 550 ], + "Q": [ 551 ], + "R": [ 167 ] + } + }, + "FD_hfd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5525.5-5530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 552 ], + "Q": [ 553 ], + "R": [ 167 ] + } + }, + "FD_hfe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5535.5-5540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 554 ], + "Q": [ 555 ], + "R": [ 167 ] + } + }, + "FD_hff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5545.5-5550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 556 ], + "Q": [ 557 ], + "R": [ 167 ] + } + }, + "FD_hfg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5555.5-5560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 558 ], + "Q": [ 559 ], + "R": [ 167 ] + } + }, + "FD_hfh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5565.5-5570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 560 ], + "Q": [ 561 ], + "R": [ 167 ] + } + }, + "FD_hfi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5575.5-5580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 562 ], + "Q": [ 563 ], + "R": [ 167 ] + } + }, + "FD_hfj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5585.5-5590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 564 ], + "Q": [ 565 ], + "R": [ 167 ] + } + }, + "FD_hfk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5595.5-5600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 566 ], + "Q": [ 567 ], + "R": [ 167 ] + } + }, + "FD_hfl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5605.5-5610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 568 ], + "Q": [ 569 ], + "R": [ 167 ] + } + }, + "FD_hfm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5615.5-5620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 570 ], + "Q": [ 571 ], + "R": [ 167 ] + } + }, + "FD_hfn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5625.5-5630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 572 ], + "Q": [ 573 ], + "R": [ 167 ] + } + }, + "FD_hfo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5635.5-5640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 574 ], + "Q": [ 575 ], + "R": [ 167 ] + } + }, + "FD_hfp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5645.5-5650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 576 ], + "Q": [ 577 ], + "R": [ 167 ] + } + }, + "FD_hg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5655.5-5660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 578 ], + "Q": [ 579 ], + "R": [ 167 ] + } + }, + "FD_hga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5665.5-5670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 580 ], + "Q": [ 581 ], + "R": [ 167 ] + } + }, + "FD_hgb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5675.5-5680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 582 ], + "Q": [ 583 ], + "R": [ 167 ] + } + }, + "FD_hgc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5685.5-5690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 584 ], + "Q": [ 585 ], + "R": [ 167 ] + } + }, + "FD_hgd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5695.5-5700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 586 ], + "Q": [ 587 ], + "R": [ 167 ] + } + }, + "FD_hge": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5705.5-5710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 588 ], + "Q": [ 589 ], + "R": [ 167 ] + } + }, + "FD_hgf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5715.5-5720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 590 ], + "Q": [ 591 ], + "R": [ 167 ] + } + }, + "FD_hgg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5725.5-5730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 592 ], + "Q": [ 593 ], + "R": [ 167 ] + } + }, + "FD_hgh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5735.5-5740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 594 ], + "Q": [ 595 ], + "R": [ 167 ] + } + }, + "FD_hgi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5745.5-5750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 596 ], + "Q": [ 597 ], + "R": [ 167 ] + } + }, + "FD_hgj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5755.5-5760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 598 ], + "Q": [ 599 ], + "R": [ 167 ] + } + }, + "FD_hgk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5765.5-5770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 600 ], + "Q": [ 601 ], + "R": [ 167 ] + } + }, + "FD_hgl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5775.5-5780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 602 ], + "Q": [ 603 ], + "R": [ 167 ] + } + }, + "FD_hgm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5785.5-5790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 604 ], + "Q": [ 605 ], + "R": [ 167 ] + } + }, + "FD_hgn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5795.5-5800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 606 ], + "Q": [ 607 ], + "R": [ 167 ] + } + }, + "FD_hgo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5805.5-5810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 608 ], + "Q": [ 609 ], + "R": [ 167 ] + } + }, + "FD_hgp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5815.5-5820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 610 ], + "Q": [ 611 ], + "R": [ 167 ] + } + }, + "FD_hh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5825.5-5830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 612 ], + "Q": [ 613 ], + "R": [ 167 ] + } + }, + "FD_hha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5835.5-5840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 614 ], + "Q": [ 615 ], + "R": [ 167 ] + } + }, + "FD_hhb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5845.5-5850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 616 ], + "Q": [ 617 ], + "R": [ 167 ] + } + }, + "FD_hhc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5855.5-5860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 618 ], + "Q": [ 619 ], + "R": [ 167 ] + } + }, + "FD_hhd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5865.5-5870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 620 ], + "Q": [ 621 ], + "R": [ 167 ] + } + }, + "FD_hhe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5875.5-5880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 622 ], + "Q": [ 623 ], + "R": [ 167 ] + } + }, + "FD_hhf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5885.5-5890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 624 ], + "Q": [ 625 ], + "R": [ 167 ] + } + }, + "FD_hhg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5895.5-5900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 626 ], + "Q": [ 627 ], + "R": [ 167 ] + } + }, + "FD_hhh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5905.5-5910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 628 ], + "Q": [ 629 ], + "R": [ 167 ] + } + }, + "FD_hhi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5915.5-5920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 630 ], + "Q": [ 631 ], + "R": [ 167 ] + } + }, + "FD_hhj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5925.5-5930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 632 ], + "Q": [ 633 ], + "R": [ 167 ] + } + }, + "FD_hhk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5935.5-5940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 634 ], + "Q": [ 635 ], + "R": [ 167 ] + } + }, + "FD_hhl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5945.5-5950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 636 ], + "Q": [ 637 ], + "R": [ 167 ] + } + }, + "FD_hhm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5955.5-5960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 638 ], + "Q": [ 639 ], + "R": [ 167 ] + } + }, + "FD_hhn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5965.5-5970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 640 ], + "Q": [ 641 ], + "R": [ 167 ] + } + }, + "FD_hho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5975.5-5980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 642 ], + "Q": [ 643 ], + "R": [ 167 ] + } + }, + "FD_hhp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5985.5-5990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 644 ], + "Q": [ 645 ], + "R": [ 167 ] + } + }, + "FD_hi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:5995.5-6000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 646 ], + "Q": [ 647 ], + "R": [ 167 ] + } + }, + "FD_hia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6005.5-6010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 648 ], + "Q": [ 649 ], + "R": [ 167 ] + } + }, + "FD_hib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6015.5-6020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 650 ], + "Q": [ 651 ], + "R": [ 167 ] + } + }, + "FD_hic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6025.5-6030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 652 ], + "Q": [ 653 ], + "R": [ 167 ] + } + }, + "FD_hid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6035.5-6040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 654 ], + "Q": [ 655 ], + "R": [ 167 ] + } + }, + "FD_hie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6045.5-6050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 656 ], + "Q": [ 657 ], + "R": [ 167 ] + } + }, + "FD_hif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6055.5-6060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 658 ], + "Q": [ 659 ], + "R": [ 167 ] + } + }, + "FD_hig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6065.5-6070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 660 ], + "Q": [ 661 ], + "R": [ 167 ] + } + }, + "FD_hih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6075.5-6080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 662 ], + "Q": [ 663 ], + "R": [ 167 ] + } + }, + "FD_hii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6085.5-6090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 664 ], + "Q": [ 665 ], + "R": [ 167 ] + } + }, + "FD_hij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6095.5-6100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 666 ], + "Q": [ 667 ], + "R": [ 167 ] + } + }, + "FD_hik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6105.5-6110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 668 ], + "Q": [ 669 ], + "R": [ 167 ] + } + }, + "FD_hil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6115.5-6120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 670 ], + "Q": [ 671 ], + "R": [ 167 ] + } + }, + "FD_him": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6125.5-6130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 672 ], + "Q": [ 673 ], + "R": [ 167 ] + } + }, + "FD_hin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6135.5-6140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 674 ], + "Q": [ 675 ], + "R": [ 167 ] + } + }, + "FD_hio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6145.5-6150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 676 ], + "Q": [ 677 ], + "R": [ 167 ] + } + }, + "FD_hip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6155.5-6160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 678 ], + "Q": [ 679 ], + "R": [ 167 ] + } + }, + "FD_hj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6165.5-6170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 680 ], + "Q": [ 681 ], + "R": [ 167 ] + } + }, + "FD_hja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6175.5-6180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 682 ], + "Q": [ 683 ], + "R": [ 167 ] + } + }, + "FD_hjb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6185.5-6190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 684 ], + "Q": [ 685 ], + "R": [ 167 ] + } + }, + "FD_hjc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6195.5-6200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 686 ], + "Q": [ 687 ], + "R": [ 167 ] + } + }, + "FD_hjd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6205.5-6210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 688 ], + "Q": [ 689 ], + "R": [ 167 ] + } + }, + "FD_hje": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6215.5-6220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 690 ], + "Q": [ 691 ], + "R": [ 167 ] + } + }, + "FD_hjf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6225.5-6230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 692 ], + "Q": [ 693 ], + "R": [ 167 ] + } + }, + "FD_hjg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6235.5-6240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 694 ], + "Q": [ 695 ], + "R": [ 167 ] + } + }, + "FD_hjh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6245.5-6250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 696 ], + "Q": [ 697 ], + "R": [ 167 ] + } + }, + "FD_hji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6255.5-6260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 698 ], + "Q": [ 699 ], + "R": [ 167 ] + } + }, + "FD_hjj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6265.5-6270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 700 ], + "Q": [ 701 ], + "R": [ 167 ] + } + }, + "FD_hjk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6275.5-6280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 702 ], + "Q": [ 703 ], + "R": [ 167 ] + } + }, + "FD_hjl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6285.5-6290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 704 ], + "Q": [ 705 ], + "R": [ 167 ] + } + }, + "FD_hjm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6295.5-6300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 706 ], + "Q": [ 707 ], + "R": [ 167 ] + } + }, + "FD_hjn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6305.5-6310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 708 ], + "Q": [ 709 ], + "R": [ 167 ] + } + }, + "FD_hjo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6315.5-6320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 710 ], + "Q": [ 711 ], + "R": [ 167 ] + } + }, + "FD_hjp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6325.5-6330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 712 ], + "Q": [ 713 ], + "R": [ 167 ] + } + }, + "FD_hk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6335.5-6340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 714 ], + "Q": [ 715 ], + "R": [ 167 ] + } + }, + "FD_hka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6345.5-6350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 716 ], + "Q": [ 717 ], + "R": [ 167 ] + } + }, + "FD_hkb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6355.5-6360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 718 ], + "Q": [ 719 ], + "R": [ 167 ] + } + }, + "FD_hkc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6365.5-6370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 720 ], + "Q": [ 721 ], + "R": [ 167 ] + } + }, + "FD_hkd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6375.5-6380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 722 ], + "Q": [ 723 ], + "R": [ 167 ] + } + }, + "FD_hke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6385.5-6390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 724 ], + "Q": [ 725 ], + "R": [ 167 ] + } + }, + "FD_hkf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6395.5-6400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 726 ], + "Q": [ 727 ], + "R": [ 167 ] + } + }, + "FD_hkg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6405.5-6410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 728 ], + "Q": [ 729 ], + "R": [ 167 ] + } + }, + "FD_hkh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6415.5-6420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 730 ], + "Q": [ 731 ], + "R": [ 167 ] + } + }, + "FD_hki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6425.5-6430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 732 ], + "Q": [ 733 ], + "R": [ 167 ] + } + }, + "FD_hkj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6435.5-6440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 734 ], + "Q": [ 735 ], + "R": [ 167 ] + } + }, + "FD_hkk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6445.5-6450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 736 ], + "Q": [ 737 ], + "R": [ 167 ] + } + }, + "FD_hkl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6455.5-6460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 738 ], + "Q": [ 739 ], + "R": [ 167 ] + } + }, + "FD_hkm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6465.5-6470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 740 ], + "Q": [ 741 ], + "R": [ 167 ] + } + }, + "FD_hkn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6475.5-6480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 742 ], + "Q": [ 743 ], + "R": [ 167 ] + } + }, + "FD_hko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6485.5-6490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 744 ], + "Q": [ 745 ], + "R": [ 167 ] + } + }, + "FD_hkp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6495.5-6500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 746 ], + "Q": [ 747 ], + "R": [ 167 ] + } + }, + "FD_hl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6505.5-6510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 748 ], + "Q": [ 749 ], + "R": [ 167 ] + } + }, + "FD_hla": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6515.5-6520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 750 ], + "Q": [ 751 ], + "R": [ 167 ] + } + }, + "FD_hlb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6525.5-6530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 752 ], + "Q": [ 753 ], + "R": [ 167 ] + } + }, + "FD_hlc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6535.5-6540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 754 ], + "Q": [ 755 ], + "R": [ 167 ] + } + }, + "FD_hld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6545.5-6550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 756 ], + "Q": [ 757 ], + "R": [ 167 ] + } + }, + "FD_hle": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6555.5-6560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 758 ], + "Q": [ 759 ], + "R": [ 167 ] + } + }, + "FD_hlf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6565.5-6570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 760 ], + "Q": [ 761 ], + "R": [ 167 ] + } + }, + "FD_hlg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6575.5-6580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 762 ], + "Q": [ 763 ], + "R": [ 167 ] + } + }, + "FD_hlh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6585.5-6590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 764 ], + "Q": [ 765 ], + "R": [ 167 ] + } + }, + "FD_hli": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6595.5-6600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 766 ], + "Q": [ 767 ], + "R": [ 167 ] + } + }, + "FD_hlj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6605.5-6610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 768 ], + "Q": [ 769 ], + "R": [ 167 ] + } + }, + "FD_hlk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6615.5-6620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 770 ], + "Q": [ 771 ], + "R": [ 167 ] + } + }, + "FD_hll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6625.5-6630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 772 ], + "Q": [ 773 ], + "R": [ 167 ] + } + }, + "FD_hlm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6635.5-6640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 774 ], + "Q": [ 775 ], + "R": [ 167 ] + } + }, + "FD_hln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6645.5-6650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 776 ], + "Q": [ 777 ], + "R": [ 167 ] + } + }, + "FD_hlo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6655.5-6660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 778 ], + "Q": [ 779 ], + "R": [ 167 ] + } + }, + "FD_hlp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6665.5-6670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 780 ], + "Q": [ 781 ], + "R": [ 167 ] + } + }, + "FD_hm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6675.5-6680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 782 ], + "Q": [ 783 ], + "R": [ 167 ] + } + }, + "FD_hma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6685.5-6690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 784 ], + "Q": [ 785 ], + "R": [ 167 ] + } + }, + "FD_hmb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6695.5-6700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 786 ], + "Q": [ 787 ], + "R": [ 167 ] + } + }, + "FD_hmc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6705.5-6710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 788 ], + "Q": [ 789 ], + "R": [ 167 ] + } + }, + "FD_hmd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6715.5-6720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 790 ], + "Q": [ 791 ], + "R": [ 167 ] + } + }, + "FD_hme": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6725.5-6730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 792 ], + "Q": [ 793 ], + "R": [ 167 ] + } + }, + "FD_hmf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6735.5-6740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 794 ], + "Q": [ 795 ], + "R": [ 167 ] + } + }, + "FD_hmg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6745.5-6750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 796 ], + "Q": [ 797 ], + "R": [ 167 ] + } + }, + "FD_hmh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6755.5-6760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 798 ], + "Q": [ 799 ], + "R": [ 167 ] + } + }, + "FD_hmi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6765.5-6770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 800 ], + "Q": [ 801 ], + "R": [ 167 ] + } + }, + "FD_hmj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6775.5-6780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 802 ], + "Q": [ 803 ], + "R": [ 167 ] + } + }, + "FD_hmk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6785.5-6790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 804 ], + "Q": [ 805 ], + "R": [ 167 ] + } + }, + "FD_hml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6795.5-6800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 806 ], + "Q": [ 807 ], + "R": [ 167 ] + } + }, + "FD_hmm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6805.5-6810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 808 ], + "Q": [ 809 ], + "R": [ 167 ] + } + }, + "FD_hmn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6815.5-6820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 810 ], + "Q": [ 811 ], + "R": [ 167 ] + } + }, + "FD_hmo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6825.5-6830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 812 ], + "Q": [ 813 ], + "R": [ 167 ] + } + }, + "FD_hmp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6835.5-6840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 814 ], + "Q": [ 815 ], + "R": [ 167 ] + } + }, + "FD_hn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6845.5-6850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 816 ], + "Q": [ 817 ], + "R": [ 167 ] + } + }, + "FD_hna": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6855.5-6860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 818 ], + "Q": [ 819 ], + "R": [ 167 ] + } + }, + "FD_hnb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6865.5-6870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 820 ], + "Q": [ 821 ], + "R": [ 167 ] + } + }, + "FD_hnc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6875.5-6880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 822 ], + "Q": [ 823 ], + "R": [ 167 ] + } + }, + "FD_hnd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6885.5-6890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 824 ], + "Q": [ 825 ], + "R": [ 167 ] + } + }, + "FD_hne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6895.5-6900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 826 ], + "Q": [ 827 ], + "R": [ 167 ] + } + }, + "FD_hnf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6905.5-6910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 828 ], + "Q": [ 829 ], + "R": [ 167 ] + } + }, + "FD_hng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6915.5-6920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 830 ], + "Q": [ 831 ], + "R": [ 167 ] + } + }, + "FD_hnh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6925.5-6930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 832 ], + "Q": [ 833 ], + "R": [ 167 ] + } + }, + "FD_hni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6935.5-6940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 834 ], + "Q": [ 835 ], + "R": [ 167 ] + } + }, + "FD_hnj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6945.5-6950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 836 ], + "Q": [ 837 ], + "R": [ 167 ] + } + }, + "FD_hnk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6955.5-6960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 838 ], + "Q": [ 839 ], + "R": [ 167 ] + } + }, + "FD_hnl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6965.5-6970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 840 ], + "Q": [ 841 ], + "R": [ 167 ] + } + }, + "FD_hnm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6975.5-6980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 842 ], + "Q": [ 843 ], + "R": [ 167 ] + } + }, + "FD_hnn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6985.5-6990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 844 ], + "Q": [ 845 ], + "R": [ 167 ] + } + }, + "FD_hno": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:6995.5-7000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 846 ], + "Q": [ 847 ], + "R": [ 167 ] + } + }, + "FD_hnp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7005.5-7010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 848 ], + "Q": [ 849 ], + "R": [ 167 ] + } + }, + "FD_ho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7015.5-7020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 850 ], + "Q": [ 851 ], + "R": [ 167 ] + } + }, + "FD_hoa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7025.5-7030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 852 ], + "Q": [ 853 ], + "R": [ 167 ] + } + }, + "FD_hob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7035.5-7040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 854 ], + "Q": [ 855 ], + "R": [ 167 ] + } + }, + "FD_hoc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7045.5-7050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 856 ], + "Q": [ 857 ], + "R": [ 167 ] + } + }, + "FD_hod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7055.5-7060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 858 ], + "Q": [ 859 ], + "R": [ 167 ] + } + }, + "FD_hoe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7065.5-7070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 860 ], + "Q": [ 861 ], + "R": [ 167 ] + } + }, + "FD_hof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7075.5-7080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 862 ], + "Q": [ 863 ], + "R": [ 167 ] + } + }, + "FD_hog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7085.5-7090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 864 ], + "Q": [ 865 ], + "R": [ 167 ] + } + }, + "FD_hoh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7095.5-7100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 866 ], + "Q": [ 867 ], + "R": [ 167 ] + } + }, + "FD_hoi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7105.5-7110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 868 ], + "Q": [ 869 ], + "R": [ 167 ] + } + }, + "FD_hoj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7115.5-7120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 870 ], + "Q": [ 871 ], + "R": [ 167 ] + } + }, + "FD_hok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7125.5-7130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 872 ], + "Q": [ 873 ], + "R": [ 167 ] + } + }, + "FD_hol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7135.5-7140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 874 ], + "Q": [ 875 ], + "R": [ 167 ] + } + }, + "FD_hom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7145.5-7150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 876 ], + "Q": [ 877 ], + "R": [ 167 ] + } + }, + "FD_hon": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7155.5-7160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 878 ], + "Q": [ 879 ], + "R": [ 167 ] + } + }, + "FD_hoo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7165.5-7170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 880 ], + "Q": [ 881 ], + "R": [ 167 ] + } + }, + "FD_hop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7175.5-7180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 882 ], + "Q": [ 883 ], + "R": [ 167 ] + } + }, + "FD_hp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7185.5-7190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 884 ], + "Q": [ 885 ], + "R": [ 167 ] + } + }, + "FD_hpa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7195.5-7200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 886 ], + "Q": [ 887 ], + "R": [ 167 ] + } + }, + "FD_hpb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7205.5-7210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 888 ], + "Q": [ 889 ], + "R": [ 167 ] + } + }, + "FD_hpc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7215.5-7220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 890 ], + "Q": [ 891 ], + "R": [ 167 ] + } + }, + "FD_hpd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7225.5-7230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 892 ], + "Q": [ 893 ], + "R": [ 167 ] + } + }, + "FD_hpe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7235.5-7240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 894 ], + "Q": [ 895 ], + "R": [ 167 ] + } + }, + "FD_hpf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7245.5-7250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 896 ], + "Q": [ 897 ], + "R": [ 167 ] + } + }, + "FD_hpg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7255.5-7260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 898 ], + "Q": [ 899 ], + "R": [ 167 ] + } + }, + "FD_hph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7265.5-7270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 900 ], + "Q": [ 901 ], + "R": [ 167 ] + } + }, + "FD_hpi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7275.5-7280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 902 ], + "Q": [ 903 ], + "R": [ 167 ] + } + }, + "FD_hpj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7285.5-7290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 904 ], + "Q": [ 905 ], + "R": [ 167 ] + } + }, + "FD_hpk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7295.5-7300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 906 ], + "Q": [ 907 ], + "R": [ 167 ] + } + }, + "FD_hpl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7305.5-7310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 908 ], + "Q": [ 909 ], + "R": [ 167 ] + } + }, + "FD_hpm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7315.5-7320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 910 ], + "Q": [ 911 ], + "R": [ 167 ] + } + }, + "FD_hpn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7325.5-7330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 912 ], + "Q": [ 913 ], + "R": [ 167 ] + } + }, + "FD_hpo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7335.5-7340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 914 ], + "Q": [ 915 ], + "R": [ 167 ] + } + }, + "FD_hpp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7345.5-7350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 916 ], + "Q": [ 917 ], + "R": [ 167 ] + } + }, + "FD_i": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7355.5-7360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 918 ], + "Q": [ 919 ], + "R": [ 167 ] + } + }, + "FD_ia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7365.5-7370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 920 ], + "Q": [ 921 ], + "R": [ 167 ] + } + }, + "FD_iaa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7375.5-7380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 922 ], + "Q": [ 923 ], + "R": [ 167 ] + } + }, + "FD_iab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7385.5-7390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 924 ], + "Q": [ 925 ], + "R": [ 167 ] + } + }, + "FD_iac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7395.5-7400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 926 ], + "Q": [ 927 ], + "R": [ 167 ] + } + }, + "FD_iad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7405.5-7410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 928 ], + "Q": [ 929 ], + "R": [ 167 ] + } + }, + "FD_iae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7415.5-7420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 930 ], + "Q": [ 931 ], + "R": [ 167 ] + } + }, + "FD_iaf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7425.5-7430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 932 ], + "Q": [ 933 ], + "R": [ 167 ] + } + }, + "FD_iag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7435.5-7440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 934 ], + "Q": [ 935 ], + "R": [ 167 ] + } + }, + "FD_iah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7445.5-7450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 936 ], + "Q": [ 937 ], + "R": [ 167 ] + } + }, + "FD_iai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7455.5-7460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 938 ], + "Q": [ 939 ], + "R": [ 167 ] + } + }, + "FD_iaj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7465.5-7470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 940 ], + "Q": [ 941 ], + "R": [ 167 ] + } + }, + "FD_iak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7475.5-7480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 942 ], + "Q": [ 943 ], + "R": [ 167 ] + } + }, + "FD_ial": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7485.5-7490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 944 ], + "Q": [ 945 ], + "R": [ 167 ] + } + }, + "FD_iam": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7495.5-7500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 946 ], + "Q": [ 947 ], + "R": [ 167 ] + } + }, + "FD_ian": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7505.5-7510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 948 ], + "Q": [ 949 ], + "R": [ 167 ] + } + }, + "FD_iao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7515.5-7520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 950 ], + "Q": [ 951 ], + "R": [ 167 ] + } + }, + "FD_iap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7525.5-7530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 952 ], + "Q": [ 953 ], + "R": [ 167 ] + } + }, + "FD_ib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7535.5-7540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 954 ], + "Q": [ 955 ], + "R": [ 167 ] + } + }, + "FD_iba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7545.5-7550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 956 ], + "Q": [ 957 ], + "R": [ 167 ] + } + }, + "FD_ibb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7555.5-7560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 958 ], + "Q": [ 959 ], + "R": [ 167 ] + } + }, + "FD_ibc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7565.5-7570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 960 ], + "Q": [ 961 ], + "R": [ 167 ] + } + }, + "FD_ibd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7575.5-7580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 962 ], + "Q": [ 963 ], + "R": [ 167 ] + } + }, + "FD_ibe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7585.5-7590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 964 ], + "Q": [ 965 ], + "R": [ 167 ] + } + }, + "FD_ibf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7595.5-7600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 966 ], + "Q": [ 967 ], + "R": [ 167 ] + } + }, + "FD_ibg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7605.5-7610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 968 ], + "Q": [ 969 ], + "R": [ 167 ] + } + }, + "FD_ibh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7615.5-7620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 970 ], + "Q": [ 971 ], + "R": [ 167 ] + } + }, + "FD_ibi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7625.5-7630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 972 ], + "Q": [ 973 ], + "R": [ 167 ] + } + }, + "FD_ibj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7635.5-7640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 974 ], + "Q": [ 975 ], + "R": [ 167 ] + } + }, + "FD_ibk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7645.5-7650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 976 ], + "Q": [ 977 ], + "R": [ 167 ] + } + }, + "FD_ibl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7655.5-7660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 978 ], + "Q": [ 979 ], + "R": [ 167 ] + } + }, + "FD_ibm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7665.5-7670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 980 ], + "Q": [ 981 ], + "R": [ 167 ] + } + }, + "FD_ibn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7675.5-7680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 982 ], + "Q": [ 983 ], + "R": [ 167 ] + } + }, + "FD_ibo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7685.5-7690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 984 ], + "Q": [ 985 ], + "R": [ 167 ] + } + }, + "FD_ibp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7695.5-7700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 986 ], + "Q": [ 987 ], + "R": [ 167 ] + } + }, + "FD_ic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7705.5-7710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 988 ], + "Q": [ 989 ], + "R": [ 167 ] + } + }, + "FD_ica": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7715.5-7720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 990 ], + "Q": [ 991 ], + "R": [ 167 ] + } + }, + "FD_icb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7725.5-7730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 992 ], + "Q": [ 993 ], + "R": [ 167 ] + } + }, + "FD_icc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7735.5-7740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 994 ], + "Q": [ 995 ], + "R": [ 167 ] + } + }, + "FD_icd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7745.5-7750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 996 ], + "Q": [ 997 ], + "R": [ 167 ] + } + }, + "FD_ice": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7755.5-7760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 998 ], + "Q": [ 999 ], + "R": [ 167 ] + } + }, + "FD_icf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7765.5-7770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1000 ], + "Q": [ 1001 ], + "R": [ 167 ] + } + }, + "FD_icg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7775.5-7780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1002 ], + "Q": [ 1003 ], + "R": [ 167 ] + } + }, + "FD_ich": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7785.5-7790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1004 ], + "Q": [ 1005 ], + "R": [ 167 ] + } + }, + "FD_ici": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7795.5-7800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1006 ], + "Q": [ 1007 ], + "R": [ 167 ] + } + }, + "FD_icj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7805.5-7810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1008 ], + "Q": [ 1009 ], + "R": [ 167 ] + } + }, + "FD_ick": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7815.5-7820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1010 ], + "Q": [ 1011 ], + "R": [ 167 ] + } + }, + "FD_icl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7825.5-7830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1012 ], + "Q": [ 1013 ], + "R": [ 167 ] + } + }, + "FD_icm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7835.5-7840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1014 ], + "Q": [ 1015 ], + "R": [ 167 ] + } + }, + "FD_icn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7845.5-7850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1016 ], + "Q": [ 1017 ], + "R": [ 167 ] + } + }, + "FD_ico": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7855.5-7860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1018 ], + "Q": [ 1019 ], + "R": [ 167 ] + } + }, + "FD_icp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7865.5-7870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1020 ], + "Q": [ 1021 ], + "R": [ 167 ] + } + }, + "FD_id": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7875.5-7880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1022 ], + "Q": [ 1023 ], + "R": [ 167 ] + } + }, + "FD_ida": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7885.5-7890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1024 ], + "Q": [ 1025 ], + "R": [ 167 ] + } + }, + "FD_idb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7895.5-7900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1026 ], + "Q": [ 1027 ], + "R": [ 167 ] + } + }, + "FD_idc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7905.5-7910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1028 ], + "Q": [ 1029 ], + "R": [ 167 ] + } + }, + "FD_idd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7915.5-7920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1030 ], + "Q": [ 1031 ], + "R": [ 167 ] + } + }, + "FD_ide": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7925.5-7930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1032 ], + "Q": [ 1033 ], + "R": [ 167 ] + } + }, + "FD_idf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7935.5-7940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1034 ], + "Q": [ 1035 ], + "R": [ 167 ] + } + }, + "FD_idg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7945.5-7950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1036 ], + "Q": [ 1037 ], + "R": [ 167 ] + } + }, + "FD_idh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7955.5-7960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1038 ], + "Q": [ 1039 ], + "R": [ 167 ] + } + }, + "FD_idi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7965.5-7970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1040 ], + "Q": [ 1041 ], + "R": [ 167 ] + } + }, + "FD_idj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7975.5-7980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1042 ], + "Q": [ 1043 ], + "R": [ 167 ] + } + }, + "FD_idk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7985.5-7990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1044 ], + "Q": [ 1045 ], + "R": [ 167 ] + } + }, + "FD_idl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:7995.5-8000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1046 ], + "Q": [ 1047 ], + "R": [ 167 ] + } + }, + "FD_idm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8005.5-8010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1048 ], + "Q": [ 1049 ], + "R": [ 167 ] + } + }, + "FD_idn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8015.5-8020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1050 ], + "Q": [ 1051 ], + "R": [ 167 ] + } + }, + "FD_ido": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8025.5-8030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1052 ], + "Q": [ 1053 ], + "R": [ 167 ] + } + }, + "FD_idp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8035.5-8040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1054 ], + "Q": [ 1055 ], + "R": [ 167 ] + } + }, + "FD_ie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8045.5-8050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1056 ], + "Q": [ 1057 ], + "R": [ 167 ] + } + }, + "FD_iea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8055.5-8060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1058 ], + "Q": [ 1059 ], + "R": [ 167 ] + } + }, + "FD_ieb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8065.5-8070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1060 ], + "Q": [ 1061 ], + "R": [ 167 ] + } + }, + "FD_iec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8075.5-8080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1062 ], + "Q": [ 1063 ], + "R": [ 167 ] + } + }, + "FD_ied": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8085.5-8090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1064 ], + "Q": [ 1065 ], + "R": [ 167 ] + } + }, + "FD_iee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8095.5-8100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1066 ], + "Q": [ 1067 ], + "R": [ 167 ] + } + }, + "FD_ief": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8105.5-8110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1068 ], + "Q": [ 1069 ], + "R": [ 167 ] + } + }, + "FD_ieg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8115.5-8120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1070 ], + "Q": [ 1071 ], + "R": [ 167 ] + } + }, + "FD_ieh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8125.5-8130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1072 ], + "Q": [ 1073 ], + "R": [ 167 ] + } + }, + "FD_iei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8135.5-8140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1074 ], + "Q": [ 1075 ], + "R": [ 167 ] + } + }, + "FD_iej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8145.5-8150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1076 ], + "Q": [ 1077 ], + "R": [ 167 ] + } + }, + "FD_iek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8155.5-8160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1078 ], + "Q": [ 1079 ], + "R": [ 167 ] + } + }, + "FD_iel": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8165.5-8170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1080 ], + "Q": [ 1081 ], + "R": [ 167 ] + } + }, + "FD_iem": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8175.5-8180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1082 ], + "Q": [ 1083 ], + "R": [ 167 ] + } + }, + "FD_ien": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8185.5-8190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1084 ], + "Q": [ 1085 ], + "R": [ 167 ] + } + }, + "FD_ieo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8195.5-8200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1086 ], + "Q": [ 1087 ], + "R": [ 167 ] + } + }, + "FD_iep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8205.5-8210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1088 ], + "Q": [ 1089 ], + "R": [ 167 ] + } + }, + "FD_if": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8215.5-8220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1090 ], + "Q": [ 1091 ], + "R": [ 167 ] + } + }, + "FD_ifa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8225.5-8230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1092 ], + "Q": [ 1093 ], + "R": [ 167 ] + } + }, + "FD_ifb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8235.5-8240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1094 ], + "Q": [ 1095 ], + "R": [ 167 ] + } + }, + "FD_ifc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8245.5-8250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1096 ], + "Q": [ 1097 ], + "R": [ 167 ] + } + }, + "FD_ifd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8255.5-8260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1098 ], + "Q": [ 1099 ], + "R": [ 167 ] + } + }, + "FD_ife": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8265.5-8270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1100 ], + "Q": [ 1101 ], + "R": [ 167 ] + } + }, + "FD_iff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8275.5-8280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1102 ], + "Q": [ 1103 ], + "R": [ 167 ] + } + }, + "FD_ifg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8285.5-8290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1104 ], + "Q": [ 1105 ], + "R": [ 167 ] + } + }, + "FD_ifh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8295.5-8300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1106 ], + "Q": [ 1107 ], + "R": [ 167 ] + } + }, + "FD_ifi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8305.5-8310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1108 ], + "Q": [ 1109 ], + "R": [ 167 ] + } + }, + "FD_ifj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8315.5-8320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1110 ], + "Q": [ 1111 ], + "R": [ 167 ] + } + }, + "FD_ifk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8325.5-8330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1112 ], + "Q": [ 1113 ], + "R": [ 167 ] + } + }, + "FD_ifl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8335.5-8340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1114 ], + "Q": [ 1115 ], + "R": [ 167 ] + } + }, + "FD_ifm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8345.5-8350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1116 ], + "Q": [ 1117 ], + "R": [ 167 ] + } + }, + "FD_ifn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8355.5-8360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1118 ], + "Q": [ 1119 ], + "R": [ 167 ] + } + }, + "FD_ifo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8365.5-8370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1120 ], + "Q": [ 1121 ], + "R": [ 167 ] + } + }, + "FD_ifp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8375.5-8380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1122 ], + "Q": [ 1123 ], + "R": [ 167 ] + } + }, + "FD_ig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8385.5-8390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1124 ], + "Q": [ 1125 ], + "R": [ 167 ] + } + }, + "FD_iga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8395.5-8400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1126 ], + "Q": [ 1127 ], + "R": [ 167 ] + } + }, + "FD_igb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8405.5-8410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1128 ], + "Q": [ 1129 ], + "R": [ 167 ] + } + }, + "FD_igc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8415.5-8420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1130 ], + "Q": [ 1131 ], + "R": [ 167 ] + } + }, + "FD_igd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8425.5-8430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1132 ], + "Q": [ 1133 ], + "R": [ 167 ] + } + }, + "FD_ige": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8435.5-8440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1134 ], + "Q": [ 1135 ], + "R": [ 167 ] + } + }, + "FD_igf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8445.5-8450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1136 ], + "Q": [ 1137 ], + "R": [ 167 ] + } + }, + "FD_igg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8455.5-8460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1138 ], + "Q": [ 1139 ], + "R": [ 167 ] + } + }, + "FD_igh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8465.5-8470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1140 ], + "Q": [ 1141 ], + "R": [ 167 ] + } + }, + "FD_igi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8475.5-8480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1142 ], + "Q": [ 1143 ], + "R": [ 167 ] + } + }, + "FD_igj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8485.5-8490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1144 ], + "Q": [ 1145 ], + "R": [ 167 ] + } + }, + "FD_igk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8495.5-8500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1146 ], + "Q": [ 1147 ], + "R": [ 167 ] + } + }, + "FD_igl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8505.5-8510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1148 ], + "Q": [ 1149 ], + "R": [ 167 ] + } + }, + "FD_igm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8515.5-8520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1150 ], + "Q": [ 1151 ], + "R": [ 167 ] + } + }, + "FD_ign": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8525.5-8530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1152 ], + "Q": [ 1153 ], + "R": [ 167 ] + } + }, + "FD_igo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8535.5-8540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1154 ], + "Q": [ 1155 ], + "R": [ 167 ] + } + }, + "FD_igp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8545.5-8550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1156 ], + "Q": [ 1157 ], + "R": [ 167 ] + } + }, + "FD_ih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8555.5-8560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1158 ], + "Q": [ 1159 ], + "R": [ 167 ] + } + }, + "FD_iha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8565.5-8570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1160 ], + "Q": [ 1161 ], + "R": [ 167 ] + } + }, + "FD_ihb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8575.5-8580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1162 ], + "Q": [ 1163 ], + "R": [ 167 ] + } + }, + "FD_ihc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8585.5-8590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1164 ], + "Q": [ 1165 ], + "R": [ 167 ] + } + }, + "FD_ihd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8595.5-8600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1166 ], + "Q": [ 1167 ], + "R": [ 167 ] + } + }, + "FD_ihe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8605.5-8610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1168 ], + "Q": [ 1169 ], + "R": [ 167 ] + } + }, + "FD_ihf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8615.5-8620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1170 ], + "Q": [ 1171 ], + "R": [ 167 ] + } + }, + "FD_ihg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8625.5-8630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1172 ], + "Q": [ 1173 ], + "R": [ 167 ] + } + }, + "FD_ihh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8635.5-8640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1174 ], + "Q": [ 1175 ], + "R": [ 167 ] + } + }, + "FD_ihi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8645.5-8650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1176 ], + "Q": [ 1177 ], + "R": [ 167 ] + } + }, + "FD_ihj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8655.5-8660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1178 ], + "Q": [ 1179 ], + "R": [ 167 ] + } + }, + "FD_ihk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8665.5-8670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1180 ], + "Q": [ 1181 ], + "R": [ 167 ] + } + }, + "FD_ihl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8675.5-8680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1182 ], + "Q": [ 1183 ], + "R": [ 167 ] + } + }, + "FD_ihm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8685.5-8690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1184 ], + "Q": [ 1185 ], + "R": [ 167 ] + } + }, + "FD_ihn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8695.5-8700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1186 ], + "Q": [ 1187 ], + "R": [ 167 ] + } + }, + "FD_iho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8705.5-8710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1188 ], + "Q": [ 1189 ], + "R": [ 167 ] + } + }, + "FD_ihp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8715.5-8720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1190 ], + "Q": [ 1191 ], + "R": [ 167 ] + } + }, + "FD_ii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8725.5-8730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1192 ], + "Q": [ 1193 ], + "R": [ 167 ] + } + }, + "FD_iia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8735.5-8740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1194 ], + "Q": [ 1195 ], + "R": [ 167 ] + } + }, + "FD_iib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8745.5-8750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1196 ], + "Q": [ 1197 ], + "R": [ 167 ] + } + }, + "FD_iic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8755.5-8760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1198 ], + "Q": [ 1199 ], + "R": [ 167 ] + } + }, + "FD_iid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8765.5-8770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1200 ], + "Q": [ 1201 ], + "R": [ 167 ] + } + }, + "FD_iie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8775.5-8780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1202 ], + "Q": [ 1203 ], + "R": [ 167 ] + } + }, + "FD_iif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8785.5-8790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1204 ], + "Q": [ 1205 ], + "R": [ 167 ] + } + }, + "FD_iig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8795.5-8800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1206 ], + "Q": [ 1207 ], + "R": [ 167 ] + } + }, + "FD_iih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8805.5-8810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1208 ], + "Q": [ 1209 ], + "R": [ 167 ] + } + }, + "FD_iii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8815.5-8820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1210 ], + "Q": [ 1211 ], + "R": [ 167 ] + } + }, + "FD_iij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8825.5-8830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1212 ], + "Q": [ 1213 ], + "R": [ 167 ] + } + }, + "FD_iik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8835.5-8840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1214 ], + "Q": [ 1215 ], + "R": [ 167 ] + } + }, + "FD_iil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8845.5-8850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1216 ], + "Q": [ 1217 ], + "R": [ 167 ] + } + }, + "FD_iim": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8855.5-8860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1218 ], + "Q": [ 1219 ], + "R": [ 167 ] + } + }, + "FD_iin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8865.5-8870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1220 ], + "Q": [ 1221 ], + "R": [ 167 ] + } + }, + "FD_iio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8875.5-8880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1222 ], + "Q": [ 1223 ], + "R": [ 167 ] + } + }, + "FD_iip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8885.5-8890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1224 ], + "Q": [ 1225 ], + "R": [ 167 ] + } + }, + "FD_ij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8895.5-8900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1226 ], + "Q": [ 1227 ], + "R": [ 167 ] + } + }, + "FD_ija": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8905.5-8910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1228 ], + "Q": [ 1229 ], + "R": [ 167 ] + } + }, + "FD_ijb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8915.5-8920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1230 ], + "Q": [ 1231 ], + "R": [ 167 ] + } + }, + "FD_ijc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8925.5-8930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1232 ], + "Q": [ 1233 ], + "R": [ 167 ] + } + }, + "FD_ijd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8935.5-8940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1234 ], + "Q": [ 1235 ], + "R": [ 167 ] + } + }, + "FD_ije": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8945.5-8950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1236 ], + "Q": [ 1237 ], + "R": [ 167 ] + } + }, + "FD_ijf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8955.5-8960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1238 ], + "Q": [ 1239 ], + "R": [ 167 ] + } + }, + "FD_ijg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8965.5-8970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1240 ], + "Q": [ 1241 ], + "R": [ 167 ] + } + }, + "FD_ijh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8975.5-8980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1242 ], + "Q": [ 1243 ], + "R": [ 167 ] + } + }, + "FD_iji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8985.5-8990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1244 ], + "Q": [ 1245 ], + "R": [ 167 ] + } + }, + "FD_ijj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:8995.5-9000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1246 ], + "Q": [ 1247 ], + "R": [ 167 ] + } + }, + "FD_ijk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9005.5-9010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1248 ], + "Q": [ 1249 ], + "R": [ 167 ] + } + }, + "FD_ijl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9015.5-9020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1250 ], + "Q": [ 1251 ], + "R": [ 167 ] + } + }, + "FD_ijm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9025.5-9030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1252 ], + "Q": [ 1253 ], + "R": [ 167 ] + } + }, + "FD_ijn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9035.5-9040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1254 ], + "Q": [ 1255 ], + "R": [ 167 ] + } + }, + "FD_ijo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9045.5-9050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1256 ], + "Q": [ 1257 ], + "R": [ 167 ] + } + }, + "FD_ijp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9055.5-9060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1258 ], + "Q": [ 1259 ], + "R": [ 167 ] + } + }, + "FD_ik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9065.5-9070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1260 ], + "Q": [ 1261 ], + "R": [ 167 ] + } + }, + "FD_ika": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9075.5-9080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1262 ], + "Q": [ 1263 ], + "R": [ 167 ] + } + }, + "FD_ikb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9085.5-9090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1264 ], + "Q": [ 1265 ], + "R": [ 167 ] + } + }, + "FD_ikc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9095.5-9100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1266 ], + "Q": [ 1267 ], + "R": [ 167 ] + } + }, + "FD_ikd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9105.5-9110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1268 ], + "Q": [ 1269 ], + "R": [ 167 ] + } + }, + "FD_ike": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9115.5-9120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1270 ], + "Q": [ 1271 ], + "R": [ 167 ] + } + }, + "FD_ikf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9125.5-9130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1272 ], + "Q": [ 1273 ], + "R": [ 167 ] + } + }, + "FD_ikg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9135.5-9140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1274 ], + "Q": [ 1275 ], + "R": [ 167 ] + } + }, + "FD_ikh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9145.5-9150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1276 ], + "Q": [ 1277 ], + "R": [ 167 ] + } + }, + "FD_iki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9155.5-9160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1278 ], + "Q": [ 1279 ], + "R": [ 167 ] + } + }, + "FD_ikj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9165.5-9170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1280 ], + "Q": [ 1281 ], + "R": [ 167 ] + } + }, + "FD_ikk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9175.5-9180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1282 ], + "Q": [ 1283 ], + "R": [ 167 ] + } + }, + "FD_ikl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9185.5-9190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1284 ], + "Q": [ 1285 ], + "R": [ 167 ] + } + }, + "FD_ikm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9195.5-9200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1286 ], + "Q": [ 1287 ], + "R": [ 167 ] + } + }, + "FD_ikn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9205.5-9210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1288 ], + "Q": [ 1289 ], + "R": [ 167 ] + } + }, + "FD_iko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9215.5-9220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1290 ], + "Q": [ 1291 ], + "R": [ 167 ] + } + }, + "FD_ikp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9225.5-9230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1292 ], + "Q": [ 1293 ], + "R": [ 167 ] + } + }, + "FD_il": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9235.5-9240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1294 ], + "Q": [ 1295 ], + "R": [ 167 ] + } + }, + "FD_ila": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9245.5-9250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1296 ], + "Q": [ 1297 ], + "R": [ 167 ] + } + }, + "FD_ilb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9255.5-9260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1298 ], + "Q": [ 1299 ], + "R": [ 167 ] + } + }, + "FD_ilc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9265.5-9270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1300 ], + "Q": [ 1301 ], + "R": [ 167 ] + } + }, + "FD_ild": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9275.5-9280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1302 ], + "Q": [ 1303 ], + "R": [ 167 ] + } + }, + "FD_ile": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9285.5-9290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1304 ], + "Q": [ 1305 ], + "R": [ 167 ] + } + }, + "FD_ilf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9295.5-9300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1306 ], + "Q": [ 1307 ], + "R": [ 167 ] + } + }, + "FD_ilg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9305.5-9310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1308 ], + "Q": [ 1309 ], + "R": [ 167 ] + } + }, + "FD_ilh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9315.5-9320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1310 ], + "Q": [ 1311 ], + "R": [ 167 ] + } + }, + "FD_ili": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9325.5-9330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1312 ], + "Q": [ 1313 ], + "R": [ 167 ] + } + }, + "FD_ilj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9335.5-9340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1314 ], + "Q": [ 1315 ], + "R": [ 167 ] + } + }, + "FD_ilk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9345.5-9350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1316 ], + "Q": [ 1317 ], + "R": [ 167 ] + } + }, + "FD_ill": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9355.5-9360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1318 ], + "Q": [ 1319 ], + "R": [ 167 ] + } + }, + "FD_ilm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9365.5-9370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1320 ], + "Q": [ 1321 ], + "R": [ 167 ] + } + }, + "FD_iln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9375.5-9380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1322 ], + "Q": [ 1323 ], + "R": [ 167 ] + } + }, + "FD_ilo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9385.5-9390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1324 ], + "Q": [ 1325 ], + "R": [ 167 ] + } + }, + "FD_ilp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9395.5-9400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1326 ], + "Q": [ 1327 ], + "R": [ 167 ] + } + }, + "FD_im": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9405.5-9410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1328 ], + "Q": [ 1329 ], + "R": [ 167 ] + } + }, + "FD_ima": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9415.5-9420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1330 ], + "Q": [ 1331 ], + "R": [ 167 ] + } + }, + "FD_imb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9425.5-9430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1332 ], + "Q": [ 1333 ], + "R": [ 167 ] + } + }, + "FD_imc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9435.5-9440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1334 ], + "Q": [ 1335 ], + "R": [ 167 ] + } + }, + "FD_imd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9445.5-9450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1336 ], + "Q": [ 1337 ], + "R": [ 167 ] + } + }, + "FD_ime": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9455.5-9460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1338 ], + "Q": [ 1339 ], + "R": [ 167 ] + } + }, + "FD_imf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9465.5-9470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1340 ], + "Q": [ 1341 ], + "R": [ 167 ] + } + }, + "FD_img": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9475.5-9480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1342 ], + "Q": [ 1343 ], + "R": [ 167 ] + } + }, + "FD_imh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9485.5-9490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1344 ], + "Q": [ 1345 ], + "R": [ 167 ] + } + }, + "FD_imi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9495.5-9500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1346 ], + "Q": [ 1347 ], + "R": [ 167 ] + } + }, + "FD_imj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9505.5-9510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1348 ], + "Q": [ 1349 ], + "R": [ 167 ] + } + }, + "FD_imk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9515.5-9520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1350 ], + "Q": [ 1351 ], + "R": [ 167 ] + } + }, + "FD_iml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9525.5-9530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1352 ], + "Q": [ 1353 ], + "R": [ 167 ] + } + }, + "FD_imm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9535.5-9540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1354 ], + "Q": [ 1355 ], + "R": [ 167 ] + } + }, + "FD_imn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9545.5-9550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1356 ], + "Q": [ 1357 ], + "R": [ 167 ] + } + }, + "FD_imo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9555.5-9560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1358 ], + "Q": [ 1359 ], + "R": [ 167 ] + } + }, + "FD_imp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9565.5-9570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1360 ], + "Q": [ 1361 ], + "R": [ 167 ] + } + }, + "FD_in": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9575.5-9580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1362 ], + "Q": [ 1363 ], + "R": [ 167 ] + } + }, + "FD_ina": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9585.5-9590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1364 ], + "Q": [ 1365 ], + "R": [ 167 ] + } + }, + "FD_inb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9595.5-9600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1366 ], + "Q": [ 1367 ], + "R": [ 167 ] + } + }, + "FD_inc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9605.5-9610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1368 ], + "Q": [ 1369 ], + "R": [ 167 ] + } + }, + "FD_ind": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9615.5-9620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1370 ], + "Q": [ 1371 ], + "R": [ 167 ] + } + }, + "FD_ine": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9625.5-9630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1372 ], + "Q": [ 1373 ], + "R": [ 167 ] + } + }, + "FD_inf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9635.5-9640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1374 ], + "Q": [ 1375 ], + "R": [ 167 ] + } + }, + "FD_ing": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9645.5-9650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1376 ], + "Q": [ 1377 ], + "R": [ 167 ] + } + }, + "FD_inh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9655.5-9660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1378 ], + "Q": [ 1379 ], + "R": [ 167 ] + } + }, + "FD_ini": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9665.5-9670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1380 ], + "Q": [ 1381 ], + "R": [ 167 ] + } + }, + "FD_inj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9675.5-9680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1382 ], + "Q": [ 1383 ], + "R": [ 167 ] + } + }, + "FD_ink": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9685.5-9690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1384 ], + "Q": [ 1385 ], + "R": [ 167 ] + } + }, + "FD_inl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9695.5-9700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1386 ], + "Q": [ 1387 ], + "R": [ 167 ] + } + }, + "FD_inm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9705.5-9710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1388 ], + "Q": [ 1389 ], + "R": [ 167 ] + } + }, + "FD_inn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9715.5-9720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1390 ], + "Q": [ 1391 ], + "R": [ 167 ] + } + }, + "FD_ino": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9725.5-9730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1392 ], + "Q": [ 1393 ], + "R": [ 167 ] + } + }, + "FD_inp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9735.5-9740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1394 ], + "Q": [ 1395 ], + "R": [ 167 ] + } + }, + "FD_io": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9745.5-9750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1396 ], + "Q": [ 1397 ], + "R": [ 167 ] + } + }, + "FD_ioa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9755.5-9760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1398 ], + "Q": [ 1399 ], + "R": [ 167 ] + } + }, + "FD_iob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9765.5-9770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1400 ], + "Q": [ 1401 ], + "R": [ 167 ] + } + }, + "FD_ioc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9775.5-9780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1402 ], + "Q": [ 1403 ], + "R": [ 167 ] + } + }, + "FD_iod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9785.5-9790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1404 ], + "Q": [ 1405 ], + "R": [ 167 ] + } + }, + "FD_ioe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9795.5-9800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1406 ], + "Q": [ 1407 ], + "R": [ 167 ] + } + }, + "FD_iof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9805.5-9810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1408 ], + "Q": [ 1409 ], + "R": [ 167 ] + } + }, + "FD_iog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9815.5-9820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1410 ], + "Q": [ 1411 ], + "R": [ 167 ] + } + }, + "FD_ioh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9825.5-9830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1412 ], + "Q": [ 1413 ], + "R": [ 167 ] + } + }, + "FD_ioi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9835.5-9840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1414 ], + "Q": [ 1415 ], + "R": [ 167 ] + } + }, + "FD_ioj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9845.5-9850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1416 ], + "Q": [ 1417 ], + "R": [ 167 ] + } + }, + "FD_iok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9855.5-9860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1418 ], + "Q": [ 1419 ], + "R": [ 167 ] + } + }, + "FD_iol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9865.5-9870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1420 ], + "Q": [ 1421 ], + "R": [ 167 ] + } + }, + "FD_iom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9875.5-9880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1422 ], + "Q": [ 1423 ], + "R": [ 167 ] + } + }, + "FD_ion": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9885.5-9890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1424 ], + "Q": [ 1425 ], + "R": [ 167 ] + } + }, + "FD_ioo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9895.5-9900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1426 ], + "Q": [ 1427 ], + "R": [ 167 ] + } + }, + "FD_iop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9905.5-9910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1428 ], + "Q": [ 1429 ], + "R": [ 167 ] + } + }, + "FD_ip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9915.5-9920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1430 ], + "Q": [ 1431 ], + "R": [ 167 ] + } + }, + "FD_ipa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9925.5-9930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1432 ], + "Q": [ 1433 ], + "R": [ 167 ] + } + }, + "FD_ipb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9935.5-9940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1434 ], + "Q": [ 1435 ], + "R": [ 167 ] + } + }, + "FD_ipc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9945.5-9950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1436 ], + "Q": [ 1437 ], + "R": [ 167 ] + } + }, + "FD_ipd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9955.5-9960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1438 ], + "Q": [ 1439 ], + "R": [ 167 ] + } + }, + "FD_ipe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9965.5-9970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1440 ], + "Q": [ 1441 ], + "R": [ 167 ] + } + }, + "FD_ipf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9975.5-9980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1442 ], + "Q": [ 1443 ], + "R": [ 167 ] + } + }, + "FD_ipg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9985.5-9990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1444 ], + "Q": [ 1445 ], + "R": [ 167 ] + } + }, + "FD_iph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:9995.5-10000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1446 ], + "Q": [ 1447 ], + "R": [ 167 ] + } + }, + "FD_ipi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10005.5-10010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1448 ], + "Q": [ 1449 ], + "R": [ 167 ] + } + }, + "FD_ipj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10015.5-10020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1450 ], + "Q": [ 1451 ], + "R": [ 167 ] + } + }, + "FD_ipk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10025.5-10030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1452 ], + "Q": [ 1453 ], + "R": [ 167 ] + } + }, + "FD_ipl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10035.5-10040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1454 ], + "Q": [ 1455 ], + "R": [ 167 ] + } + }, + "FD_ipm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10045.5-10050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1456 ], + "Q": [ 1457 ], + "R": [ 167 ] + } + }, + "FD_ipn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10055.5-10060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1458 ], + "Q": [ 1459 ], + "R": [ 167 ] + } + }, + "FD_ipo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10065.5-10070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1460 ], + "Q": [ 1461 ], + "R": [ 167 ] + } + }, + "FD_ipp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10075.5-10080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1462 ], + "Q": [ 1463 ], + "R": [ 167 ] + } + }, + "FD_j": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10085.5-10090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1464 ], + "Q": [ 1465 ], + "R": [ 167 ] + } + }, + "FD_ja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10095.5-10100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1466 ], + "Q": [ 1467 ], + "R": [ 167 ] + } + }, + "FD_jaa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10105.5-10110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1468 ], + "Q": [ 1469 ], + "R": [ 167 ] + } + }, + "FD_jab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10115.5-10120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1470 ], + "Q": [ 1471 ], + "R": [ 167 ] + } + }, + "FD_jac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10125.5-10130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1472 ], + "Q": [ 1473 ], + "R": [ 167 ] + } + }, + "FD_jad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10135.5-10140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1474 ], + "Q": [ 1475 ], + "R": [ 167 ] + } + }, + "FD_jae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10145.5-10150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 371 ], + "Q": [ 1476 ], + "R": [ 167 ] + } + }, + "FD_jaf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10155.5-10160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 373 ], + "Q": [ 1477 ], + "R": [ 167 ] + } + }, + "FD_jag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10165.5-10170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1478 ], + "Q": [ 1479 ], + "R": [ 167 ] + } + }, + "FD_jah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10175.5-10180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1480 ], + "Q": [ 1481 ], + "R": [ 167 ] + } + }, + "FD_jai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10185.5-10190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1482 ], + "Q": [ 1483 ], + "R": [ 167 ] + } + }, + "FD_jaj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10195.5-10200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1484 ], + "Q": [ 1485 ], + "R": [ 167 ] + } + }, + "FD_jak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10205.5-10210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1486 ], + "Q": [ 1487 ], + "R": [ 167 ] + } + }, + "FD_jal": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10215.5-10220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1488 ], + "Q": [ 1489 ], + "R": [ 167 ] + } + }, + "FD_jam": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10225.5-10230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1490 ], + "Q": [ 1491 ], + "R": [ 167 ] + } + }, + "FD_jan": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10235.5-10240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1492 ], + "Q": [ 1493 ], + "R": [ 167 ] + } + }, + "FD_jao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10245.5-10250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1494 ], + "Q": [ 1495 ], + "R": [ 167 ] + } + }, + "FD_jap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10255.5-10260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1496 ], + "Q": [ 1497 ], + "R": [ 167 ] + } + }, + "FD_jb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10265.5-10270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1498 ], + "Q": [ 1499 ], + "R": [ 167 ] + } + }, + "FD_jba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10275.5-10280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1465 ], + "Q": [ 1500 ], + "R": [ 167 ] + } + }, + "FD_jbb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10285.5-10290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 509 ], + "Q": [ 1501 ], + "R": [ 167 ] + } + }, + "FD_jbc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10295.5-10300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1502 ], + "Q": [ 1503 ], + "R": [ 167 ] + } + }, + "FD_jbd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10305.5-10310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1321 ], + "Q": [ 1504 ], + "R": [ 167 ] + } + }, + "FD_jbe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10315.5-10320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1505 ], + "Q": [ 1506 ], + "R": [ 167 ] + } + }, + "FD_jbf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10325.5-10330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1507 ], + "Q": [ 1508 ], + "R": [ 167 ] + } + }, + "FD_jbg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10335.5-10340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 166 ], + "Q": [ 1509 ], + "R": [ 167 ] + } + }, + "FD_jbh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10345.5-10350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 303 ], + "Q": [ 1510 ], + "R": [ 167 ] + } + }, + "FD_jbi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10355.5-10360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 681 ], + "Q": [ 1511 ], + "R": [ 167 ] + } + }, + "FD_jbj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10365.5-10370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 919 ], + "Q": [ 1512 ], + "R": [ 167 ] + } + }, + "FD_jbk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10375.5-10380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 749 ], + "Q": [ 1513 ], + "R": [ 167 ] + } + }, + "FD_jbl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10385.5-10390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 851 ], + "Q": [ 1514 ], + "R": [ 167 ] + } + }, + "FD_jbm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10395.5-10400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 595 ], + "Q": [ 1515 ], + "R": [ 167 ] + } + }, + "FD_jbn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10405.5-10410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 597 ], + "Q": [ 1516 ], + "R": [ 167 ] + } + }, + "FD_jbo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10415.5-10420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 601 ], + "Q": [ 1517 ], + "R": [ 167 ] + } + }, + "FD_jbp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10425.5-10430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 443 ], + "Q": [ 1518 ], + "R": [ 167 ] + } + }, + "FD_jc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10435.5-10440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1519 ], + "Q": [ 1520 ], + "R": [ 167 ] + } + }, + "FD_jca": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10445.5-10450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1051 ], + "Q": [ 1521 ], + "R": [ 167 ] + } + }, + "FD_jcb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10455.5-10460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1053 ], + "Q": [ 1522 ], + "R": [ 167 ] + } + }, + "FD_jcc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10465.5-10470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1055 ], + "Q": [ 1523 ], + "R": [ 167 ] + } + }, + "FD_jcd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10475.5-10480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1025 ], + "Q": [ 1524 ], + "R": [ 167 ] + } + }, + "FD_jce": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10485.5-10490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1027 ], + "Q": [ 1525 ], + "R": [ 167 ] + } + }, + "FD_jcf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10495.5-10500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1029 ], + "Q": [ 1526 ], + "R": [ 167 ] + } + }, + "FD_jcg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10505.5-10510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 995 ], + "Q": [ 1527 ], + "R": [ 167 ] + } + }, + "FD_jch": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10515.5-10520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 997 ], + "Q": [ 1528 ], + "R": [ 167 ] + } + }, + "FD_jci": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10525.5-10530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 999 ], + "Q": [ 1529 ], + "R": [ 167 ] + } + }, + "FD_jcj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10535.5-10540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1001 ], + "Q": [ 1530 ], + "R": [ 167 ] + } + }, + "FD_jck": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10545.5-10550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1037 ], + "Q": [ 1531 ], + "R": [ 167 ] + } + }, + "FD_jcl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10555.5-10560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1039 ], + "Q": [ 1532 ], + "R": [ 167 ] + } + }, + "FD_jcm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10565.5-10570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1041 ], + "Q": [ 1533 ], + "R": [ 167 ] + } + }, + "FD_jcn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10575.5-10580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1043 ], + "Q": [ 1534 ], + "R": [ 167 ] + } + }, + "FD_jco": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10585.5-10590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1047 ], + "Q": [ 1535 ], + "R": [ 167 ] + } + }, + "FD_jcp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10595.5-10600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1049 ], + "Q": [ 1536 ], + "R": [ 167 ] + } + }, + "FD_jd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10605.5-10610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1537 ], + "Q": [ 1538 ], + "R": [ 167 ] + } + }, + "FD_jda": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10615.5-10620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1539 ], + "Q": [ 1540 ], + "R": [ 167 ] + } + }, + "FD_jdb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10625.5-10630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1541 ], + "Q": [ 1542 ], + "R": [ 167 ] + } + }, + "FD_jdc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10635.5-10640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1543 ], + "Q": [ 1544 ], + "R": [ 167 ] + } + }, + "FD_jdd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10645.5-10650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1545 ], + "Q": [ 1546 ], + "R": [ 167 ] + } + }, + "FD_jde": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10655.5-10660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1547 ], + "Q": [ 1548 ], + "R": [ 167 ] + } + }, + "FD_jdf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10665.5-10670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1549 ], + "Q": [ 1550 ], + "R": [ 167 ] + } + }, + "FD_jdg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10675.5-10680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1033 ], + "Q": [ 1551 ], + "R": [ 167 ] + } + }, + "FD_jdh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10685.5-10690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1071 ], + "Q": [ 1552 ], + "R": [ 167 ] + } + }, + "FD_jdi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10695.5-10700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1553 ], + "Q": [ 1554 ], + "R": [ 167 ] + } + }, + "FD_jdj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10705.5-10710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1555 ], + "Q": [ 1556 ], + "R": [ 167 ] + } + }, + "FD_jdk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10715.5-10720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1473 ], + "Q": [ 1557 ], + "R": [ 167 ] + } + }, + "FD_jdl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10725.5-10730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1475 ], + "Q": [ 1558 ], + "R": [ 167 ] + } + }, + "FD_jdm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10735.5-10740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1559 ], + "Q": [ 1560 ], + "R": [ 167 ] + } + }, + "FD_jdn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10745.5-10750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1561 ], + "Q": [ 1562 ], + "R": [ 167 ] + } + }, + "FD_jdo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10755.5-10760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1563 ], + "Q": [ 1564 ], + "R": [ 167 ] + } + }, + "FD_jdp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10765.5-10770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1565 ], + "Q": [ 1566 ], + "R": [ 167 ] + } + }, + "FD_je": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10775.5-10780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1567 ], + "Q": [ 1568 ], + "R": [ 167 ] + } + }, + "FD_jea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10785.5-10790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1569 ], + "Q": [ 1570 ], + "R": [ 167 ] + } + }, + "FD_jeb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10795.5-10800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1571 ], + "Q": [ 1572 ], + "R": [ 167 ] + } + }, + "FD_jec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10805.5-10810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1573 ], + "Q": [ 1574 ], + "R": [ 167 ] + } + }, + "FD_jed": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10815.5-10820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1575 ], + "Q": [ 1576 ], + "R": [ 167 ] + } + }, + "FD_jee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10825.5-10830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1577 ], + "Q": [ 1578 ], + "R": [ 167 ] + } + }, + "FD_jef": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10835.5-10840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1579 ], + "Q": [ 1580 ], + "R": [ 167 ] + } + }, + "FD_jeg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10845.5-10850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1581 ], + "Q": [ 1582 ], + "R": [ 167 ] + } + }, + "FD_jeh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10855.5-10860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1583 ], + "Q": [ 1584 ], + "R": [ 167 ] + } + }, + "FD_jei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10865.5-10870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1585 ], + "Q": [ 1586 ], + "R": [ 167 ] + } + }, + "FD_jej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10875.5-10880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1587 ], + "Q": [ 1588 ], + "R": [ 167 ] + } + }, + "FD_jek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10885.5-10890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1589 ], + "Q": [ 1590 ], + "R": [ 167 ] + } + }, + "FD_jel": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10895.5-10900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1591 ], + "Q": [ 1592 ], + "R": [ 167 ] + } + }, + "FD_jem": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10905.5-10910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1593 ], + "Q": [ 1594 ], + "R": [ 167 ] + } + }, + "FD_jen": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10915.5-10920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1595 ], + "Q": [ 1596 ], + "R": [ 167 ] + } + }, + "FD_jeo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10925.5-10930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1597 ], + "Q": [ 1598 ], + "R": [ 167 ] + } + }, + "FD_jep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10935.5-10940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1599 ], + "Q": [ 1600 ], + "R": [ 167 ] + } + }, + "FD_jf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10945.5-10950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1601 ], + "Q": [ 1602 ], + "R": [ 167 ] + } + }, + "FD_jfa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10955.5-10960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1603 ], + "Q": [ 1604 ], + "R": [ 167 ] + } + }, + "FD_jfb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10965.5-10970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1605 ], + "Q": [ 1606 ], + "R": [ 167 ] + } + }, + "FD_jfc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10975.5-10980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1607 ], + "Q": [ 1608 ], + "R": [ 167 ] + } + }, + "FD_jfd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10985.5-10990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1609 ], + "Q": [ 1610 ], + "R": [ 167 ] + } + }, + "FD_jfe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:10995.5-11000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1611 ], + "Q": [ 1612 ], + "R": [ 167 ] + } + }, + "FD_jff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11005.5-11010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1613 ], + "Q": [ 1614 ], + "R": [ 167 ] + } + }, + "FD_jfg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11015.5-11020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1615 ], + "Q": [ 1616 ], + "R": [ 167 ] + } + }, + "FD_jfh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11025.5-11030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1617 ], + "Q": [ 1618 ], + "R": [ 167 ] + } + }, + "FD_jfi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11035.5-11040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1619 ], + "Q": [ 1620 ], + "R": [ 167 ] + } + }, + "FD_jfj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11045.5-11050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1621 ], + "Q": [ 1622 ], + "R": [ 167 ] + } + }, + "FD_jfk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11055.5-11060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1623 ], + "Q": [ 1624 ], + "R": [ 167 ] + } + }, + "FD_jfl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11065.5-11070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1625 ], + "Q": [ 1626 ], + "R": [ 167 ] + } + }, + "FD_jfm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11075.5-11080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1627 ], + "Q": [ 1628 ], + "R": [ 167 ] + } + }, + "FD_jfn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11085.5-11090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1629 ], + "Q": [ 1630 ], + "R": [ 167 ] + } + }, + "FD_jfo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11095.5-11100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1631 ], + "Q": [ 1632 ], + "R": [ 167 ] + } + }, + "FD_jfp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11105.5-11110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1633 ], + "Q": [ 1634 ], + "R": [ 167 ] + } + }, + "FD_jg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11115.5-11120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1635 ], + "Q": [ 1636 ], + "R": [ 167 ] + } + }, + "FD_jga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11125.5-11130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1637 ], + "Q": [ 1638 ], + "R": [ 167 ] + } + }, + "FD_jgb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11135.5-11140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1639 ], + "Q": [ 1640 ], + "R": [ 167 ] + } + }, + "FD_jgc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11145.5-11150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1641 ], + "Q": [ 1642 ], + "R": [ 167 ] + } + }, + "FD_jgd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11155.5-11160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1643 ], + "Q": [ 1644 ], + "R": [ 167 ] + } + }, + "FD_jge": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11165.5-11170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1645 ], + "Q": [ 1646 ], + "R": [ 167 ] + } + }, + "FD_jgf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11175.5-11180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1647 ], + "Q": [ 1648 ], + "R": [ 167 ] + } + }, + "FD_jgg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11185.5-11190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1649 ], + "Q": [ 1650 ], + "R": [ 167 ] + } + }, + "FD_jgh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11195.5-11200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1651 ], + "Q": [ 1652 ], + "R": [ 167 ] + } + }, + "FD_jgi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11205.5-11210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1653 ], + "Q": [ 1654 ], + "R": [ 167 ] + } + }, + "FD_jgj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11215.5-11220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1655 ], + "Q": [ 1656 ], + "R": [ 167 ] + } + }, + "FD_jgk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11225.5-11230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1657 ], + "Q": [ 1658 ], + "R": [ 167 ] + } + }, + "FD_jgl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11235.5-11240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1659 ], + "Q": [ 1660 ], + "R": [ 167 ] + } + }, + "FD_jgm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11245.5-11250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1661 ], + "Q": [ 1662 ], + "R": [ 167 ] + } + }, + "FD_jgn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11255.5-11260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1663 ], + "Q": [ 1664 ], + "R": [ 167 ] + } + }, + "FD_jgo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11265.5-11270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1665 ], + "Q": [ 1666 ], + "R": [ 167 ] + } + }, + "FD_jgp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11275.5-11280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1667 ], + "Q": [ 1668 ], + "R": [ 167 ] + } + }, + "FD_jh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11285.5-11290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1669 ], + "Q": [ 1670 ], + "R": [ 167 ] + } + }, + "FD_jha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11295.5-11300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1671 ], + "Q": [ 1672 ], + "R": [ 167 ] + } + }, + "FD_jhb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11305.5-11310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1673 ], + "Q": [ 1674 ], + "R": [ 167 ] + } + }, + "FD_jhc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11315.5-11320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1675 ], + "Q": [ 1676 ], + "R": [ 167 ] + } + }, + "FD_jhd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11325.5-11330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1677 ], + "Q": [ 1678 ], + "R": [ 167 ] + } + }, + "FD_jhe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11335.5-11340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1679 ], + "Q": [ 1680 ], + "R": [ 167 ] + } + }, + "FD_jhf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11345.5-11350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1681 ], + "Q": [ 1682 ], + "R": [ 167 ] + } + }, + "FD_jhg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11355.5-11360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1683 ], + "Q": [ 1684 ], + "R": [ 167 ] + } + }, + "FD_jhh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11365.5-11370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1685 ], + "Q": [ 1686 ], + "R": [ 167 ] + } + }, + "FD_jhi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11375.5-11380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1687 ], + "Q": [ 1688 ], + "R": [ 167 ] + } + }, + "FD_jhj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11385.5-11390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1689 ], + "Q": [ 1690 ], + "R": [ 167 ] + } + }, + "FD_jhk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11395.5-11400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1691 ], + "Q": [ 1692 ], + "R": [ 167 ] + } + }, + "FD_jhl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11405.5-11410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1693 ], + "Q": [ 1694 ], + "R": [ 167 ] + } + }, + "FD_jhm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11415.5-11420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1695 ], + "Q": [ 1696 ], + "R": [ 167 ] + } + }, + "FD_jhn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11425.5-11430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1697 ], + "Q": [ 1698 ], + "R": [ 167 ] + } + }, + "FD_jho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11435.5-11440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1699 ], + "Q": [ 1700 ], + "R": [ 167 ] + } + }, + "FD_jhp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11445.5-11450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1701 ], + "Q": [ 1702 ], + "R": [ 167 ] + } + }, + "FD_ji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11455.5-11460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1703 ], + "Q": [ 1704 ], + "R": [ 167 ] + } + }, + "FD_jia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11465.5-11470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1705 ], + "Q": [ 1706 ], + "R": [ 167 ] + } + }, + "FD_jib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11475.5-11480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1707 ], + "Q": [ 1708 ], + "R": [ 167 ] + } + }, + "FD_jic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11485.5-11490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1709 ], + "Q": [ 1710 ], + "R": [ 167 ] + } + }, + "FD_jid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11495.5-11500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1711 ], + "Q": [ 1712 ], + "R": [ 167 ] + } + }, + "FD_jie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11505.5-11510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1713 ], + "Q": [ 1714 ], + "R": [ 167 ] + } + }, + "FD_jif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11515.5-11520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1715 ], + "Q": [ 1716 ], + "R": [ 167 ] + } + }, + "FD_jig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11525.5-11530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1717 ], + "Q": [ 1718 ], + "R": [ 167 ] + } + }, + "FD_jih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11535.5-11540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1719 ], + "Q": [ 1720 ], + "R": [ 167 ] + } + }, + "FD_jii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11545.5-11550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1721 ], + "Q": [ 1722 ], + "R": [ 167 ] + } + }, + "FD_jij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11555.5-11560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1723 ], + "Q": [ 1724 ], + "R": [ 167 ] + } + }, + "FD_jik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11565.5-11570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1725 ], + "Q": [ 1726 ], + "R": [ 167 ] + } + }, + "FD_jil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11575.5-11580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1727 ], + "Q": [ 1728 ], + "R": [ 167 ] + } + }, + "FD_jim": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11585.5-11590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1729 ], + "Q": [ 1730 ], + "R": [ 167 ] + } + }, + "FD_jin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11595.5-11600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1731 ], + "Q": [ 1732 ], + "R": [ 167 ] + } + }, + "FD_jio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11605.5-11610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1733 ], + "Q": [ 1734 ], + "R": [ 167 ] + } + }, + "FD_jip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11615.5-11620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1735 ], + "Q": [ 1736 ], + "R": [ 167 ] + } + }, + "FD_jj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11625.5-11630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1737 ], + "Q": [ 1738 ], + "R": [ 167 ] + } + }, + "FD_jja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11635.5-11640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1739 ], + "Q": [ 1740 ], + "R": [ 167 ] + } + }, + "FD_jjb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11645.5-11650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1741 ], + "Q": [ 1742 ], + "R": [ 167 ] + } + }, + "FD_jjc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11655.5-11660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1743 ], + "Q": [ 1744 ], + "R": [ 167 ] + } + }, + "FD_jjd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11665.5-11670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1745 ], + "Q": [ 1746 ], + "R": [ 167 ] + } + }, + "FD_jje": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11675.5-11680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1747 ], + "Q": [ 1748 ], + "R": [ 167 ] + } + }, + "FD_jjf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11685.5-11690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1749 ], + "Q": [ 1750 ], + "R": [ 167 ] + } + }, + "FD_jjg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11695.5-11700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1751 ], + "Q": [ 1752 ], + "R": [ 167 ] + } + }, + "FD_jjh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11705.5-11710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1753 ], + "Q": [ 1754 ], + "R": [ 167 ] + } + }, + "FD_jji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11715.5-11720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1755 ], + "Q": [ 1756 ], + "R": [ 167 ] + } + }, + "FD_jjj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11725.5-11730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1757 ], + "Q": [ 1758 ], + "R": [ 167 ] + } + }, + "FD_jjk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11735.5-11740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1759 ], + "Q": [ 1760 ], + "R": [ 167 ] + } + }, + "FD_jjl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11745.5-11750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1761 ], + "Q": [ 1762 ], + "R": [ 167 ] + } + }, + "FD_jjm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11755.5-11760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1763 ], + "Q": [ 1764 ], + "R": [ 167 ] + } + }, + "FD_jjn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11765.5-11770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1765 ], + "Q": [ 1766 ], + "R": [ 167 ] + } + }, + "FD_jjo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11775.5-11780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1767 ], + "Q": [ 1768 ], + "R": [ 167 ] + } + }, + "FD_jjp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11785.5-11790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1769 ], + "Q": [ 1770 ], + "R": [ 167 ] + } + }, + "FD_jk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11795.5-11800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1771 ], + "Q": [ 1772 ], + "R": [ 167 ] + } + }, + "FD_jka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11805.5-11810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1773 ], + "Q": [ 1774 ], + "R": [ 167 ] + } + }, + "FD_jkb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11815.5-11820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1775 ], + "Q": [ 1776 ], + "R": [ 167 ] + } + }, + "FD_jkc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11825.5-11830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1777 ], + "Q": [ 1778 ], + "R": [ 167 ] + } + }, + "FD_jkd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11835.5-11840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1779 ], + "Q": [ 1780 ], + "R": [ 167 ] + } + }, + "FD_jke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11845.5-11850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1781 ], + "Q": [ 1782 ], + "R": [ 167 ] + } + }, + "FD_jkf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11855.5-11860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1783 ], + "Q": [ 1784 ], + "R": [ 167 ] + } + }, + "FD_jkg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11865.5-11870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1785 ], + "Q": [ 1786 ], + "R": [ 167 ] + } + }, + "FD_jkh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11875.5-11880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1787 ], + "Q": [ 1788 ], + "R": [ 167 ] + } + }, + "FD_jki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11885.5-11890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1789 ], + "Q": [ 1790 ], + "R": [ 167 ] + } + }, + "FD_jkj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11895.5-11900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1791 ], + "Q": [ 1792 ], + "R": [ 167 ] + } + }, + "FD_jkk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11905.5-11910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1793 ], + "Q": [ 1794 ], + "R": [ 167 ] + } + }, + "FD_jkl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11915.5-11920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1795 ], + "Q": [ 1796 ], + "R": [ 167 ] + } + }, + "FD_jkm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11925.5-11930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1797 ], + "Q": [ 1798 ], + "R": [ 167 ] + } + }, + "FD_jkn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11935.5-11940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1799 ], + "Q": [ 1800 ], + "R": [ 167 ] + } + }, + "FD_jko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11945.5-11950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1801 ], + "Q": [ 1802 ], + "R": [ 167 ] + } + }, + "FD_jkp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11955.5-11960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1803 ], + "Q": [ 1804 ], + "R": [ 167 ] + } + }, + "FD_jl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11965.5-11970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1805 ], + "Q": [ 1806 ], + "R": [ 167 ] + } + }, + "FD_jla": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11975.5-11980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1807 ], + "Q": [ 1808 ], + "R": [ 167 ] + } + }, + "FD_jlb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11985.5-11990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1809 ], + "Q": [ 1810 ], + "R": [ 167 ] + } + }, + "FD_jlc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:11995.5-12000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1811 ], + "Q": [ 1812 ], + "R": [ 167 ] + } + }, + "FD_jld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12005.5-12010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1813 ], + "Q": [ 1814 ], + "R": [ 167 ] + } + }, + "FD_jle": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12015.5-12020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1815 ], + "Q": [ 1816 ], + "R": [ 167 ] + } + }, + "FD_jlf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12025.5-12030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1817 ], + "Q": [ 1818 ], + "R": [ 167 ] + } + }, + "FD_jlg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12035.5-12040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1819 ], + "Q": [ 1820 ], + "R": [ 167 ] + } + }, + "FD_jlh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12045.5-12050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1821 ], + "Q": [ 1822 ], + "R": [ 167 ] + } + }, + "FD_jli": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12055.5-12060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1823 ], + "Q": [ 1824 ], + "R": [ 167 ] + } + }, + "FD_jlj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12065.5-12070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1825 ], + "Q": [ 1826 ], + "R": [ 167 ] + } + }, + "FD_jlk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12075.5-12080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1827 ], + "Q": [ 1828 ], + "R": [ 167 ] + } + }, + "FD_jll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12085.5-12090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1829 ], + "Q": [ 1830 ], + "R": [ 167 ] + } + }, + "FD_jlm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12095.5-12100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1831 ], + "Q": [ 1832 ], + "R": [ 167 ] + } + }, + "FD_jln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12105.5-12110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1833 ], + "Q": [ 1834 ], + "R": [ 167 ] + } + }, + "FD_jlo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12115.5-12120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1835 ], + "Q": [ 1836 ], + "R": [ 167 ] + } + }, + "FD_jlp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12125.5-12130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1837 ], + "Q": [ 1838 ], + "R": [ 167 ] + } + }, + "FD_jm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12135.5-12140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1839 ], + "Q": [ 1840 ], + "R": [ 167 ] + } + }, + "FD_jma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12145.5-12150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1841 ], + "Q": [ 1842 ], + "R": [ 167 ] + } + }, + "FD_jmb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12155.5-12160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1843 ], + "Q": [ 1844 ], + "R": [ 167 ] + } + }, + "FD_jmc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12165.5-12170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1845 ], + "Q": [ 1846 ], + "R": [ 167 ] + } + }, + "FD_jmd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12175.5-12180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1847 ], + "Q": [ 1848 ], + "R": [ 167 ] + } + }, + "FD_jme": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12185.5-12190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1849 ], + "Q": [ 1850 ], + "R": [ 167 ] + } + }, + "FD_jmf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12195.5-12200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1851 ], + "Q": [ 1852 ], + "R": [ 167 ] + } + }, + "FD_jmg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12205.5-12210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1853 ], + "Q": [ 1854 ], + "R": [ 167 ] + } + }, + "FD_jmh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12215.5-12220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1855 ], + "Q": [ 1856 ], + "R": [ 167 ] + } + }, + "FD_jmi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12225.5-12230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1857 ], + "Q": [ 1858 ], + "R": [ 167 ] + } + }, + "FD_jmj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12235.5-12240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1859 ], + "Q": [ 1860 ], + "R": [ 167 ] + } + }, + "FD_jmk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12245.5-12250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1861 ], + "Q": [ 1862 ], + "R": [ 167 ] + } + }, + "FD_jml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12255.5-12260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1863 ], + "Q": [ 1864 ], + "R": [ 167 ] + } + }, + "FD_jmm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12265.5-12270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1865 ], + "Q": [ 1866 ], + "R": [ 167 ] + } + }, + "FD_jmn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12275.5-12280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1867 ], + "Q": [ 1868 ], + "R": [ 167 ] + } + }, + "FD_jmo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12285.5-12290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1869 ], + "Q": [ 1870 ], + "R": [ 167 ] + } + }, + "FD_jmp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12295.5-12300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1871 ], + "Q": [ 1872 ], + "R": [ 167 ] + } + }, + "FD_jn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12305.5-12310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1873 ], + "Q": [ 1874 ], + "R": [ 167 ] + } + }, + "FD_jna": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12315.5-12320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1875 ], + "Q": [ 1876 ], + "R": [ 167 ] + } + }, + "FD_jnb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12325.5-12330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1877 ], + "Q": [ 1878 ], + "R": [ 167 ] + } + }, + "FD_jnc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12335.5-12340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1879 ], + "Q": [ 1880 ], + "R": [ 167 ] + } + }, + "FD_jnd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12345.5-12350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1881 ], + "Q": [ 1882 ], + "R": [ 167 ] + } + }, + "FD_jne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12355.5-12360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1883 ], + "Q": [ 1884 ], + "R": [ 167 ] + } + }, + "FD_jnf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12365.5-12370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1885 ], + "Q": [ 1886 ], + "R": [ 167 ] + } + }, + "FD_jng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12375.5-12380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1887 ], + "Q": [ 1888 ], + "R": [ 167 ] + } + }, + "FD_jnh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12385.5-12390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1889 ], + "Q": [ 1890 ], + "R": [ 167 ] + } + }, + "FD_jni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12395.5-12400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1891 ], + "Q": [ 1892 ], + "R": [ 167 ] + } + }, + "FD_jnj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12405.5-12410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1893 ], + "Q": [ 1894 ], + "R": [ 167 ] + } + }, + "FD_jnk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12415.5-12420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1895 ], + "Q": [ 1896 ], + "R": [ 167 ] + } + }, + "FD_jnl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12425.5-12430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1897 ], + "Q": [ 1898 ], + "R": [ 167 ] + } + }, + "FD_jnm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12435.5-12440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1899 ], + "Q": [ 1900 ], + "R": [ 167 ] + } + }, + "FD_jnn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12445.5-12450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1901 ], + "Q": [ 1902 ], + "R": [ 167 ] + } + }, + "FD_jno": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12455.5-12460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1903 ], + "Q": [ 1904 ], + "R": [ 167 ] + } + }, + "FD_jnp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12465.5-12470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1905 ], + "Q": [ 1906 ], + "R": [ 167 ] + } + }, + "FD_jo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12475.5-12480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1907 ], + "Q": [ 1908 ], + "R": [ 167 ] + } + }, + "FD_joa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12485.5-12490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1909 ], + "Q": [ 1910 ], + "R": [ 167 ] + } + }, + "FD_job": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12495.5-12500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1911 ], + "Q": [ 1912 ], + "R": [ 167 ] + } + }, + "FD_joc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12505.5-12510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1913 ], + "Q": [ 1914 ], + "R": [ 167 ] + } + }, + "FD_jod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12515.5-12520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1915 ], + "Q": [ 1916 ], + "R": [ 167 ] + } + }, + "FD_joe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12525.5-12530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1917 ], + "Q": [ 1918 ], + "R": [ 167 ] + } + }, + "FD_jof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12535.5-12540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1919 ], + "Q": [ 1920 ], + "R": [ 167 ] + } + }, + "FD_jog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12545.5-12550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1921 ], + "Q": [ 1922 ], + "R": [ 167 ] + } + }, + "FD_joh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12555.5-12560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1923 ], + "Q": [ 1924 ], + "R": [ 167 ] + } + }, + "FD_joi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12565.5-12570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1925 ], + "Q": [ 1926 ], + "R": [ 167 ] + } + }, + "FD_joj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12575.5-12580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1927 ], + "Q": [ 1928 ], + "R": [ 167 ] + } + }, + "FD_jok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12585.5-12590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1929 ], + "Q": [ 1930 ], + "R": [ 167 ] + } + }, + "FD_jol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12595.5-12600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1931 ], + "Q": [ 1932 ], + "R": [ 167 ] + } + }, + "FD_jom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12605.5-12610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1933 ], + "Q": [ 1934 ], + "R": [ 167 ] + } + }, + "FD_jon": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12615.5-12620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1935 ], + "Q": [ 1936 ], + "R": [ 167 ] + } + }, + "FD_joo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12625.5-12630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1937 ], + "Q": [ 1938 ], + "R": [ 167 ] + } + }, + "FD_jop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12635.5-12640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1939 ], + "Q": [ 1940 ], + "R": [ 167 ] + } + }, + "FD_jp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12645.5-12650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1941 ], + "Q": [ 1942 ], + "R": [ 167 ] + } + }, + "FD_jpa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12655.5-12660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1943 ], + "Q": [ 1944 ], + "R": [ 167 ] + } + }, + "FD_jpb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12665.5-12670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1945 ], + "Q": [ 1946 ], + "R": [ 167 ] + } + }, + "FD_jpc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12675.5-12680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1947 ], + "Q": [ 1948 ], + "R": [ 167 ] + } + }, + "FD_jpd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12685.5-12690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1949 ], + "Q": [ 1950 ], + "R": [ 167 ] + } + }, + "FD_jpe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12695.5-12700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1951 ], + "Q": [ 1952 ], + "R": [ 167 ] + } + }, + "FD_jpf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12705.5-12710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1953 ], + "Q": [ 1954 ], + "R": [ 167 ] + } + }, + "FD_jpg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12715.5-12720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1955 ], + "Q": [ 1956 ], + "R": [ 167 ] + } + }, + "FD_jph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12725.5-12730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1957 ], + "Q": [ 1958 ], + "R": [ 167 ] + } + }, + "FD_jpi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12735.5-12740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1959 ], + "Q": [ 1960 ], + "R": [ 167 ] + } + }, + "FD_jpj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12745.5-12750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1961 ], + "Q": [ 1962 ], + "R": [ 167 ] + } + }, + "FD_jpk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12755.5-12760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1963 ], + "Q": [ 1964 ], + "R": [ 167 ] + } + }, + "FD_jpl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12765.5-12770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1965 ], + "Q": [ 1966 ], + "R": [ 167 ] + } + }, + "FD_jpm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12775.5-12780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1967 ], + "Q": [ 1968 ], + "R": [ 167 ] + } + }, + "FD_jpn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12785.5-12790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1969 ], + "Q": [ 1970 ], + "R": [ 167 ] + } + }, + "FD_jpo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12795.5-12800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1971 ], + "Q": [ 1972 ], + "R": [ 167 ] + } + }, + "FD_jpp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12805.5-12810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1973 ], + "Q": [ 1974 ], + "R": [ 167 ] + } + }, + "FD_k": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12815.5-12820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1975 ], + "Q": [ 1976 ], + "R": [ 167 ] + } + }, + "FD_ka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12825.5-12830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1977 ], + "Q": [ 1978 ], + "R": [ 167 ] + } + }, + "FD_kb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12835.5-12840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1979 ], + "Q": [ 1980 ], + "R": [ 167 ] + } + }, + "FD_kc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12845.5-12850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1981 ], + "Q": [ 1982 ], + "R": [ 167 ] + } + }, + "FD_kd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12855.5-12860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1983 ], + "Q": [ 1984 ], + "R": [ 167 ] + } + }, + "FD_ke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12865.5-12870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1985 ], + "Q": [ 1986 ], + "R": [ 167 ] + } + }, + "FD_kf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12875.5-12880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1987 ], + "Q": [ 1988 ], + "R": [ 167 ] + } + }, + "FD_kg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12885.5-12890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1989 ], + "Q": [ 1990 ], + "R": [ 167 ] + } + }, + "FD_kga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12895.5-12900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1991 ], + "Q": [ 1992 ], + "R": [ 167 ] + } + }, + "FD_kgb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12905.5-12910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1993 ], + "Q": [ 1994 ], + "R": [ 167 ] + } + }, + "FD_kgc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12915.5-12920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1995 ], + "Q": [ 1996 ], + "R": [ 167 ] + } + }, + "FD_kgd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12925.5-12930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1997 ], + "Q": [ 1998 ], + "R": [ 167 ] + } + }, + "FD_kge": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12935.5-12940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1999 ], + "Q": [ 2000 ], + "R": [ 167 ] + } + }, + "FD_kgf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12945.5-12950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2001 ], + "Q": [ 2002 ], + "R": [ 167 ] + } + }, + "FD_kgg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12955.5-12960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2003 ], + "Q": [ 2004 ], + "R": [ 167 ] + } + }, + "FD_kgh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12965.5-12970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2005 ], + "Q": [ 2006 ], + "R": [ 167 ] + } + }, + "FD_kgi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12975.5-12980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2007 ], + "Q": [ 2008 ], + "R": [ 167 ] + } + }, + "FD_kgj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12985.5-12990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2009 ], + "Q": [ 2010 ], + "R": [ 167 ] + } + }, + "FD_kgk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:12995.5-13000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2011 ], + "Q": [ 2012 ], + "R": [ 167 ] + } + }, + "FD_kgl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13005.5-13010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2013 ], + "Q": [ 2014 ], + "R": [ 167 ] + } + }, + "FD_kgm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13015.5-13020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2015 ], + "Q": [ 2016 ], + "R": [ 167 ] + } + }, + "FD_kgn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13025.5-13030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2017 ], + "Q": [ 2018 ], + "R": [ 167 ] + } + }, + "FD_kgo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13035.5-13040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2019 ], + "Q": [ 2020 ], + "R": [ 167 ] + } + }, + "FD_kgp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13045.5-13050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2021 ], + "Q": [ 2022 ], + "R": [ 167 ] + } + }, + "FD_kh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13055.5-13060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2023 ], + "Q": [ 2024 ], + "R": [ 167 ] + } + }, + "FD_kha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13065.5-13070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2025 ], + "Q": [ 2026 ], + "R": [ 167 ] + } + }, + "FD_khb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13075.5-13080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2027 ], + "Q": [ 2028 ], + "R": [ 167 ] + } + }, + "FD_khc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13085.5-13090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2029 ], + "Q": [ 2030 ], + "R": [ 167 ] + } + }, + "FD_khd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13095.5-13100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2031 ], + "Q": [ 2032 ], + "R": [ 167 ] + } + }, + "FD_khe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13105.5-13110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2033 ], + "Q": [ 2034 ], + "R": [ 167 ] + } + }, + "FD_khf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13115.5-13120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2035 ], + "Q": [ 2036 ], + "R": [ 167 ] + } + }, + "FD_khg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13125.5-13130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2037 ], + "Q": [ 2038 ], + "R": [ 167 ] + } + }, + "FD_khh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13135.5-13140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2039 ], + "Q": [ 2040 ], + "R": [ 167 ] + } + }, + "FD_khi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13145.5-13150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2041 ], + "Q": [ 2042 ], + "R": [ 167 ] + } + }, + "FD_khj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13155.5-13160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2043 ], + "Q": [ 2044 ], + "R": [ 167 ] + } + }, + "FD_khk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13165.5-13170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2045 ], + "Q": [ 2046 ], + "R": [ 167 ] + } + }, + "FD_khl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13175.5-13180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2047 ], + "Q": [ 2048 ], + "R": [ 167 ] + } + }, + "FD_khm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13185.5-13190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2049 ], + "Q": [ 2050 ], + "R": [ 167 ] + } + }, + "FD_khn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13195.5-13200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2051 ], + "Q": [ 2052 ], + "R": [ 167 ] + } + }, + "FD_kho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13205.5-13210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2053 ], + "Q": [ 2054 ], + "R": [ 167 ] + } + }, + "FD_khp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13215.5-13220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2055 ], + "Q": [ 2056 ], + "R": [ 167 ] + } + }, + "FD_ki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13225.5-13230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2057 ], + "Q": [ 2058 ], + "R": [ 167 ] + } + }, + "FD_kia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13235.5-13240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2059 ], + "Q": [ 2060 ], + "R": [ 167 ] + } + }, + "FD_kib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13245.5-13250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2061 ], + "Q": [ 2062 ], + "R": [ 167 ] + } + }, + "FD_kic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13255.5-13260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2063 ], + "Q": [ 2064 ], + "R": [ 167 ] + } + }, + "FD_kid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13265.5-13270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2065 ], + "Q": [ 2066 ], + "R": [ 167 ] + } + }, + "FD_kie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13275.5-13280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2067 ], + "Q": [ 2068 ], + "R": [ 167 ] + } + }, + "FD_kif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13285.5-13290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2069 ], + "Q": [ 2070 ], + "R": [ 167 ] + } + }, + "FD_kig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13295.5-13300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2071 ], + "Q": [ 2072 ], + "R": [ 167 ] + } + }, + "FD_kih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13305.5-13310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2073 ], + "Q": [ 2074 ], + "R": [ 167 ] + } + }, + "FD_kii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13315.5-13320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2075 ], + "Q": [ 2076 ], + "R": [ 167 ] + } + }, + "FD_kij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13325.5-13330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2077 ], + "Q": [ 2078 ], + "R": [ 167 ] + } + }, + "FD_kik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13335.5-13340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2079 ], + "Q": [ 2080 ], + "R": [ 167 ] + } + }, + "FD_kil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13345.5-13350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2081 ], + "Q": [ 2082 ], + "R": [ 167 ] + } + }, + "FD_kim": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13355.5-13360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2083 ], + "Q": [ 2084 ], + "R": [ 167 ] + } + }, + "FD_kin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13365.5-13370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2085 ], + "Q": [ 2086 ], + "R": [ 167 ] + } + }, + "FD_kio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13375.5-13380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2087 ], + "Q": [ 2088 ], + "R": [ 167 ] + } + }, + "FD_kip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13385.5-13390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2089 ], + "Q": [ 2090 ], + "R": [ 167 ] + } + }, + "FD_kj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13395.5-13400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2091 ], + "Q": [ 2092 ], + "R": [ 167 ] + } + }, + "FD_kja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13405.5-13410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2093 ], + "Q": [ 2094 ], + "R": [ 167 ] + } + }, + "FD_kjb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13415.5-13420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2095 ], + "Q": [ 2096 ], + "R": [ 167 ] + } + }, + "FD_kjc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13425.5-13430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2097 ], + "Q": [ 2098 ], + "R": [ 167 ] + } + }, + "FD_kjd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13435.5-13440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2099 ], + "Q": [ 2100 ], + "R": [ 167 ] + } + }, + "FD_kje": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13445.5-13450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2101 ], + "Q": [ 2102 ], + "R": [ 167 ] + } + }, + "FD_kjf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13455.5-13460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2103 ], + "Q": [ 2104 ], + "R": [ 167 ] + } + }, + "FD_kjg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13465.5-13470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2105 ], + "Q": [ 2106 ], + "R": [ 167 ] + } + }, + "FD_kjh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13475.5-13480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2107 ], + "Q": [ 2108 ], + "R": [ 167 ] + } + }, + "FD_kji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13485.5-13490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2109 ], + "Q": [ 2110 ], + "R": [ 167 ] + } + }, + "FD_kjj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13495.5-13500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2111 ], + "Q": [ 2112 ], + "R": [ 167 ] + } + }, + "FD_kjk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13505.5-13510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2113 ], + "Q": [ 2114 ], + "R": [ 167 ] + } + }, + "FD_kjl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13515.5-13520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2115 ], + "Q": [ 2116 ], + "R": [ 167 ] + } + }, + "FD_kjm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13525.5-13530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2117 ], + "Q": [ 2118 ], + "R": [ 167 ] + } + }, + "FD_kjn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13535.5-13540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2119 ], + "Q": [ 2120 ], + "R": [ 167 ] + } + }, + "FD_kjo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13545.5-13550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2121 ], + "Q": [ 2122 ], + "R": [ 167 ] + } + }, + "FD_kjp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13555.5-13560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2123 ], + "Q": [ 2124 ], + "R": [ 167 ] + } + }, + "FD_kk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13565.5-13570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2125 ], + "Q": [ 2126 ], + "R": [ 167 ] + } + }, + "FD_kka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13575.5-13580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2127 ], + "Q": [ 2128 ], + "R": [ 167 ] + } + }, + "FD_kkb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13585.5-13590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2129 ], + "Q": [ 2130 ], + "R": [ 167 ] + } + }, + "FD_kkc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13595.5-13600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2131 ], + "Q": [ 2132 ], + "R": [ 167 ] + } + }, + "FD_kkd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13605.5-13610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2133 ], + "Q": [ 2134 ], + "R": [ 167 ] + } + }, + "FD_kkg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13615.5-13620.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2135 ], + "Q": [ 2136 ], + "R": [ 167 ] + } + }, + "FD_kkh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13625.5-13630.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2137 ], + "Q": [ 2138 ], + "R": [ 167 ] + } + }, + "FD_kki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13635.5-13640.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2139 ], + "Q": [ 2140 ], + "R": [ 167 ] + } + }, + "FD_kkj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13645.5-13650.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2141 ], + "Q": [ 2142 ], + "R": [ 167 ] + } + }, + "FD_kkk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13655.5-13660.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2143 ], + "Q": [ 2144 ], + "R": [ 167 ] + } + }, + "FD_kkl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13665.5-13670.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2145 ], + "Q": [ 2146 ], + "R": [ 167 ] + } + }, + "FD_kkm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13675.5-13680.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2147 ], + "Q": [ 2148 ], + "R": [ 167 ] + } + }, + "FD_kkn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13685.5-13690.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2149 ], + "Q": [ 2150 ], + "R": [ 167 ] + } + }, + "FD_kko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13695.5-13700.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2151 ], + "Q": [ 2152 ], + "R": [ 167 ] + } + }, + "FD_kkp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13705.5-13710.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2153 ], + "Q": [ 2154 ], + "R": [ 167 ] + } + }, + "FD_kl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13715.5-13720.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2155 ], + "Q": [ 2156 ], + "R": [ 167 ] + } + }, + "FD_km": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13725.5-13730.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2157 ], + "Q": [ 2158 ], + "R": [ 167 ] + } + }, + "FD_kn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13735.5-13740.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2159 ], + "Q": [ 2160 ], + "R": [ 167 ] + } + }, + "FD_ko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13745.5-13750.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2161 ], + "Q": [ 2162 ], + "R": [ 167 ] + } + }, + "FD_kp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13755.5-13760.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2163 ], + "Q": [ 2164 ], + "R": [ 167 ] + } + }, + "FD_l": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13765.5-13770.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2165 ], + "Q": [ 1502 ], + "R": [ 167 ] + } + }, + "FD_la": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13775.5-13780.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2166 ], + "Q": [ 2167 ], + "R": [ 167 ] + } + }, + "FD_lb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13785.5-13790.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2168 ], + "Q": [ 2169 ], + "R": [ 167 ] + } + }, + "FD_lc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13795.5-13800.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2170 ], + "Q": [ 2171 ], + "R": [ 167 ] + } + }, + "FD_ld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13805.5-13810.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2172 ], + "Q": [ 2173 ], + "R": [ 167 ] + } + }, + "FD_le": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13815.5-13820.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2174 ], + "Q": [ 2175 ], + "R": [ 167 ] + } + }, + "FD_lf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13825.5-13830.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2176 ], + "Q": [ 2177 ], + "R": [ 167 ] + } + }, + "FD_lg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13835.5-13840.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2178 ], + "Q": [ 2179 ], + "R": [ 167 ] + } + }, + "FD_lh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13845.5-13850.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2180 ], + "Q": [ 2181 ], + "R": [ 167 ] + } + }, + "FD_li": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13855.5-13860.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2182 ], + "Q": [ 2183 ], + "R": [ 167 ] + } + }, + "FD_lj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13865.5-13870.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2184 ], + "Q": [ 2185 ], + "R": [ 167 ] + } + }, + "FD_lk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13875.5-13880.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2186 ], + "Q": [ 2187 ], + "R": [ 167 ] + } + }, + "FD_ll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13885.5-13890.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2188 ], + "Q": [ 2189 ], + "R": [ 167 ] + } + }, + "FD_lm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13895.5-13900.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2190 ], + "Q": [ 2191 ], + "R": [ 167 ] + } + }, + "FD_ln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13905.5-13910.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2192 ], + "Q": [ 2193 ], + "R": [ 167 ] + } + }, + "FD_lo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13915.5-13920.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2194 ], + "Q": [ 2195 ], + "R": [ 167 ] + } + }, + "FD_lp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13925.5-13930.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2196 ], + "Q": [ 2197 ], + "R": [ 167 ] + } + }, + "FD_m": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13935.5-13940.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2198 ], + "Q": [ 1505 ], + "R": [ 167 ] + } + }, + "FD_ma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13945.5-13950.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2199 ], + "Q": [ 2200 ], + "R": [ 167 ] + } + }, + "FD_mb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13955.5-13960.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2201 ], + "Q": [ 2202 ], + "R": [ 167 ] + } + }, + "FD_mc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13965.5-13970.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2203 ], + "Q": [ 2204 ], + "R": [ 167 ] + } + }, + "FD_md": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13975.5-13980.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2205 ], + "Q": [ 2206 ], + "R": [ 167 ] + } + }, + "FD_me": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13985.5-13990.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2207 ], + "Q": [ 2208 ], + "R": [ 167 ] + } + }, + "FD_mf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:13995.5-14000.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2209 ], + "Q": [ 2210 ], + "R": [ 167 ] + } + }, + "FD_mg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14005.5-14010.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2211 ], + "Q": [ 2212 ], + "R": [ 167 ] + } + }, + "FD_mh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14015.5-14020.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2213 ], + "Q": [ 2214 ], + "R": [ 167 ] + } + }, + "FD_mi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14025.5-14030.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2215 ], + "Q": [ 2216 ], + "R": [ 167 ] + } + }, + "FD_mj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14035.5-14040.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2217 ], + "Q": [ 2218 ], + "R": [ 167 ] + } + }, + "FD_mk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14045.5-14050.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2219 ], + "Q": [ 2220 ], + "R": [ 167 ] + } + }, + "FD_ml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14055.5-14060.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2221 ], + "Q": [ 2222 ], + "R": [ 167 ] + } + }, + "FD_mm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14065.5-14070.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2223 ], + "Q": [ 2224 ], + "R": [ 167 ] + } + }, + "FD_mn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14075.5-14080.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2225 ], + "Q": [ 2226 ], + "R": [ 167 ] + } + }, + "FD_mo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14085.5-14090.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2227 ], + "Q": [ 2228 ], + "R": [ 167 ] + } + }, + "FD_mp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14095.5-14100.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2229 ], + "Q": [ 2230 ], + "R": [ 167 ] + } + }, + "FD_n": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14105.5-14110.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2231 ], + "Q": [ 1507 ], + "R": [ 167 ] + } + }, + "FD_na": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14115.5-14120.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2232 ], + "Q": [ 2233 ], + "R": [ 167 ] + } + }, + "FD_nb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14125.5-14130.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2234 ], + "Q": [ 2235 ], + "R": [ 167 ] + } + }, + "FD_nc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14135.5-14140.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2236 ], + "Q": [ 2237 ], + "R": [ 167 ] + } + }, + "FD_nd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14145.5-14150.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2238 ], + "Q": [ 2239 ], + "R": [ 167 ] + } + }, + "FD_ne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14155.5-14160.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2240 ], + "Q": [ 2241 ], + "R": [ 167 ] + } + }, + "FD_nf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14165.5-14170.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2242 ], + "Q": [ 2243 ], + "R": [ 167 ] + } + }, + "FD_ng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14175.5-14180.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2244 ], + "Q": [ 2245 ], + "R": [ 167 ] + } + }, + "FD_nh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14185.5-14190.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2246 ], + "Q": [ 2247 ], + "R": [ 167 ] + } + }, + "FD_ni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14195.5-14200.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2248 ], + "Q": [ 2249 ], + "R": [ 167 ] + } + }, + "FD_nj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14205.5-14210.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2250 ], + "Q": [ 2251 ], + "R": [ 167 ] + } + }, + "FD_nk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14215.5-14220.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2252 ], + "Q": [ 2253 ], + "R": [ 167 ] + } + }, + "FD_nl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14225.5-14230.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2254 ], + "Q": [ 2255 ], + "R": [ 167 ] + } + }, + "FD_nm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14235.5-14240.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2256 ], + "Q": [ 2257 ], + "R": [ 167 ] + } + }, + "FD_nn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14245.5-14250.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2258 ], + "Q": [ 2259 ], + "R": [ 167 ] + } + }, + "FD_no": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14255.5-14260.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2260 ], + "Q": [ 2261 ], + "R": [ 167 ] + } + }, + "FD_np": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14265.5-14270.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2262 ], + "Q": [ 2263 ], + "R": [ 167 ] + } + }, + "FD_o": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14275.5-14280.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2264 ], + "Q": [ 1553 ], + "R": [ 167 ] + } + }, + "FD_oa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14285.5-14290.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2265 ], + "Q": [ 2266 ], + "R": [ 167 ] + } + }, + "FD_ob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14295.5-14300.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2267 ], + "Q": [ 2268 ], + "R": [ 167 ] + } + }, + "FD_oc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14305.5-14310.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2269 ], + "Q": [ 2270 ], + "R": [ 167 ] + } + }, + "FD_od": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14315.5-14320.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2271 ], + "Q": [ 2272 ], + "R": [ 167 ] + } + }, + "FD_oe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14325.5-14330.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2273 ], + "Q": [ 2274 ], + "R": [ 167 ] + } + }, + "FD_of": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14335.5-14340.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2275 ], + "Q": [ 2276 ], + "R": [ 167 ] + } + }, + "FD_og": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14345.5-14350.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2277 ], + "Q": [ 2278 ], + "R": [ 167 ] + } + }, + "FD_oh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14355.5-14360.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2279 ], + "Q": [ 2280 ], + "R": [ 167 ] + } + }, + "FD_oi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14365.5-14370.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2281 ], + "Q": [ 2282 ], + "R": [ 167 ] + } + }, + "FD_oj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14375.5-14380.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2283 ], + "Q": [ 2284 ], + "R": [ 167 ] + } + }, + "FD_ok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14385.5-14390.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2285 ], + "Q": [ 2286 ], + "R": [ 167 ] + } + }, + "FD_ol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14395.5-14400.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2287 ], + "Q": [ 2288 ], + "R": [ 167 ] + } + }, + "FD_om": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14405.5-14410.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2289 ], + "Q": [ 2290 ], + "R": [ 167 ] + } + }, + "FD_on": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14415.5-14420.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2291 ], + "Q": [ 2292 ], + "R": [ 167 ] + } + }, + "FD_oo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14425.5-14430.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2293 ], + "Q": [ 2294 ], + "R": [ 167 ] + } + }, + "FD_op": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14435.5-14440.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2295 ], + "Q": [ 2296 ], + "R": [ 167 ] + } + }, + "FD_p": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14445.5-14450.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2297 ], + "Q": [ 1555 ], + "R": [ 167 ] + } + }, + "FD_pa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14455.5-14460.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2298 ], + "Q": [ 2299 ], + "R": [ 167 ] + } + }, + "FD_pb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14465.5-14470.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2300 ], + "Q": [ 2301 ], + "R": [ 167 ] + } + }, + "FD_pc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14475.5-14480.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2302 ], + "Q": [ 2303 ], + "R": [ 167 ] + } + }, + "FD_pd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14485.5-14490.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2304 ], + "Q": [ 2305 ], + "R": [ 167 ] + } + }, + "FD_pe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14495.5-14500.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2306 ], + "Q": [ 2307 ], + "R": [ 167 ] + } + }, + "FD_pf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14505.5-14510.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2308 ], + "Q": [ 2309 ], + "R": [ 167 ] + } + }, + "FD_pg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14515.5-14520.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2310 ], + "Q": [ 2311 ], + "R": [ 167 ] + } + }, + "FD_ph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14525.5-14530.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2312 ], + "Q": [ 2313 ], + "R": [ 167 ] + } + }, + "FD_pi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14535.5-14540.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2314 ], + "Q": [ 2315 ], + "R": [ 167 ] + } + }, + "FD_pj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14545.5-14550.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2316 ], + "Q": [ 2317 ], + "R": [ 167 ] + } + }, + "FD_pk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14555.5-14560.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2318 ], + "Q": [ 2319 ], + "R": [ 167 ] + } + }, + "FD_pl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14565.5-14570.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2320 ], + "Q": [ 2321 ], + "R": [ 167 ] + } + }, + "FD_pm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14575.5-14580.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2322 ], + "Q": [ 2323 ], + "R": [ 167 ] + } + }, + "FD_pn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14585.5-14590.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2324 ], + "Q": [ 2325 ], + "R": [ 167 ] + } + }, + "FD_po": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14595.5-14600.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2326 ], + "Q": [ 2327 ], + "R": [ 167 ] + } + }, + "FD_pp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14605.5-14610.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 2328 ], + "Q": [ 2329 ], + "R": [ 167 ] + } + }, + "GND": { + "hide_name": 0, + "type": "GND", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14611.7-14612.19" + }, + "port_directions": { + "G": "output" + }, + "connections": { + "G": [ 167 ] + } + }, + "LUT2_0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14616.5-14619.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 235 ], + "I1": [ 749 ], + "O": [ 2330 ] + } + }, + "LUT2_1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14623.5-14626.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 343 ], + "I1": [ 749 ], + "O": [ 2331 ] + } + }, + "LUT2_10": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14630.5-14633.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2332 ], + "I1": [ 2333 ], + "O": [ 1985 ] + } + }, + "LUT2_100": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14637.5-14640.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1005 ], + "I1": [ 2334 ], + "O": [ 2335 ] + } + }, + "LUT2_101": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14644.5-14647.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2336 ], + "I1": [ 1345 ], + "O": [ 1448 ] + } + }, + "LUT2_102": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14651.5-14654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 2336 ], + "O": [ 1402 ] + } + }, + "LUT2_103": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14658.5-14661.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 947 ], + "I1": [ 961 ], + "O": [ 2337 ] + } + }, + "LUT2_104": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14665.5-14668.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 961 ], + "I1": [ 2338 ], + "O": [ 956 ] + } + }, + "LUT2_105": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14672.5-14675.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 975 ], + "I1": [ 959 ], + "O": [ 2339 ] + } + }, + "LUT2_106": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14679.5-14682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 975 ], + "I1": [ 2340 ], + "O": [ 984 ] + } + }, + "LUT2_107": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14686.5-14689.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 959 ], + "I1": [ 2341 ], + "O": [ 1436 ] + } + }, + "LUT2_108": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14693.5-14696.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 959 ], + "I1": [ 2341 ], + "O": [ 948 ] + } + }, + "LUT2_109": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14700.5-14703.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2342 ], + "I1": [ 945 ], + "O": [ 2343 ] + } + }, + "LUT2_10a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14707.5-14710.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2344 ], + "I1": [ 969 ], + "O": [ 2345 ] + } + }, + "LUT2_10b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14714.5-14717.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 969 ], + "I1": [ 2344 ], + "O": [ 2346 ] + } + }, + "LUT2_10c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14721.5-14724.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2346 ], + "I1": [ 2345 ], + "O": [ 964 ] + } + }, + "LUT2_10d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14728.5-14731.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2346 ], + "I1": [ 2345 ], + "O": [ 982 ] + } + }, + "LUT2_10e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14735.5-14738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2347 ], + "I1": [ 937 ], + "O": [ 2348 ] + } + }, + "LUT2_10f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14742.5-14745.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2349 ], + "I1": [ 937 ], + "O": [ 946 ] + } + }, + "LUT2_11": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14749.5-14752.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 593 ], + "I1": [ 1602 ], + "O": [ 2350 ] + } + }, + "LUT2_110": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14756.5-14759.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2351 ], + "I1": [ 927 ], + "O": [ 2352 ] + } + }, + "LUT2_111": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14763.5-14766.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 931 ], + "I1": [ 2353 ], + "O": [ 2354 ] + } + }, + "LUT2_112": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14770.5-14773.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 963 ], + "I1": [ 949 ], + "O": [ 2355 ] + } + }, + "LUT2_113": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14777.5-14780.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2356 ], + "I1": [ 965 ], + "O": [ 2357 ] + } + }, + "LUT2_114": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14784.5-14787.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2358 ], + "I1": [ 1459 ], + "O": [ 2359 ] + } + }, + "LUT2_115": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14791.5-14794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2358 ], + "I1": [ 2360 ], + "O": [ 1432 ] + } + }, + "LUT2_116": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14798.5-14801.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2361 ], + "I1": [ 2362 ], + "O": [ 1310 ] + } + }, + "LUT2_117": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14805.5-14808.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2363 ], + "I1": [ 2364 ], + "O": [ 2365 ] + } + }, + "LUT2_118": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14812.5-14815.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2365 ], + "I1": [ 2366 ], + "O": [ 2367 ] + } + }, + "LUT2_119": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14819.5-14822.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2368 ], + "I1": [ 2369 ], + "O": [ 1070 ] + } + }, + "LUT2_11a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14826.5-14829.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2369 ], + "I1": [ 2368 ], + "O": [ 1078 ] + } + }, + "LUT2_11b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14833.5-14836.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 1083 ], + "O": [ 2371 ] + } + }, + "LUT2_11c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14840.5-14843.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1093 ], + "I1": [ 1644 ], + "O": [ 2372 ] + } + }, + "LUT2_11d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14847.5-14850.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2373 ], + "I1": [ 2374 ], + "O": [ 2375 ] + } + }, + "LUT2_11e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14854.5-14857.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2376 ], + "I1": [ 1658 ], + "O": [ 2377 ] + } + }, + "LUT2_11f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14861.5-14864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2378 ], + "I1": [ 2379 ], + "O": [ 1697 ] + } + }, + "LUT2_12": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14868.5-14871.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1602 ], + "I1": [ 2380 ], + "O": [ 2381 ] + } + }, + "LUT2_120": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14875.5-14878.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2382 ], + "I1": [ 2378 ], + "O": [ 1647 ] + } + }, + "LUT2_121": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14882.5-14885.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2382 ], + "I1": [ 2378 ], + "O": [ 1663 ] + } + }, + "LUT2_122": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14889.5-14892.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1101 ], + "I1": [ 1696 ], + "O": [ 2383 ] + } + }, + "LUT2_123": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14896.5-14899.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1698 ], + "I1": [ 1648 ], + "O": [ 2384 ] + } + }, + "LUT2_124": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14903.5-14906.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2385 ], + "I1": [ 2386 ], + "O": [ 2387 ] + } + }, + "LUT2_125": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14910.5-14913.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2385 ], + "I1": [ 2386 ], + "O": [ 2388 ] + } + }, + "LUT2_126": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14917.5-14920.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2387 ], + "I1": [ 2386 ], + "O": [ 1757 ] + } + }, + "LUT2_127": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14924.5-14927.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2389 ], + "I1": [ 2390 ], + "O": [ 1739 ] + } + }, + "LUT2_128": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14931.5-14934.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2391 ], + "I1": [ 2392 ], + "O": [ 1761 ] + } + }, + "LUT2_129": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14938.5-14941.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2391 ], + "I1": [ 1728 ], + "O": [ 2393 ] + } + }, + "LUT2_12a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14945.5-14948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1754 ], + "I1": [ 2394 ], + "O": [ 2395 ] + } + }, + "LUT2_12b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14952.5-14955.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2396 ], + "I1": [ 2394 ], + "O": [ 1755 ] + } + }, + "LUT2_12c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14959.5-14962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1730 ], + "I1": [ 2397 ], + "O": [ 1715 ] + } + }, + "LUT2_12d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14966.5-14969.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1730 ], + "I1": [ 2397 ], + "O": [ 1120 ] + } + }, + "LUT2_12e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14973.5-14976.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1756 ], + "I1": [ 1730 ], + "O": [ 2398 ] + } + }, + "LUT2_12f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14980.5-14983.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1736 ], + "I1": [ 1582 ], + "O": [ 2399 ] + } + }, + "LUT2_13": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14987.5-14990.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2400 ], + "I1": [ 2401 ], + "O": [ 2023 ] + } + }, + "LUT2_130": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:14994.5-14997.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1748 ], + "I1": [ 2402 ], + "O": [ 2403 ] + } + }, + "LUT2_131": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15001.5-15004.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1748 ], + "I1": [ 2402 ], + "O": [ 2404 ] + } + }, + "LUT2_132": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15008.5-15011.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2405 ], + "I1": [ 2406 ], + "O": [ 1723 ] + } + }, + "LUT2_133": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15015.5-15018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2407 ], + "I1": [ 1710 ], + "O": [ 1769 ] + } + }, + "LUT2_134": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15022.5-15025.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1119 ], + "I1": [ 1750 ], + "O": [ 2408 ] + } + }, + "LUT2_135": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15029.5-15032.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1115 ], + "I1": [ 1678 ], + "O": [ 2409 ] + } + }, + "LUT2_136": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15036.5-15039.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1115 ], + "I1": [ 1678 ], + "O": [ 2410 ] + } + }, + "LUT2_137": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15043.5-15046.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2411 ], + "I1": [ 2412 ], + "O": [ 1747 ] + } + }, + "LUT2_138": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15050.5-15053.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2411 ], + "I1": [ 1726 ], + "O": [ 1673 ] + } + }, + "LUT2_139": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15057.5-15060.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1726 ], + "I1": [ 2409 ], + "O": [ 2413 ] + } + }, + "LUT2_13a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15064.5-15067.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1067 ], + "I1": [ 1105 ], + "O": [ 2414 ] + } + }, + "LUT2_13b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15071.5-15074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1105 ], + "I1": [ 2415 ], + "O": [ 2416 ] + } + }, + "LUT2_13c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15078.5-15081.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1067 ], + "I1": [ 2415 ], + "O": [ 2417 ] + } + }, + "LUT2_13d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15085.5-15088.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1800 ], + "I1": [ 2418 ], + "O": [ 2419 ] + } + }, + "LUT2_13e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15092.5-15095.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1702 ], + "I1": [ 1800 ], + "O": [ 2420 ] + } + }, + "LUT2_13f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15099.5-15102.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2421 ], + "I1": [ 2422 ], + "O": [ 1086 ] + } + }, + "LUT2_14": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15106.5-15109.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2400 ], + "I1": [ 2401 ], + "O": [ 1090 ] + } + }, + "LUT2_140": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15113.5-15116.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2421 ], + "I1": [ 2422 ], + "O": [ 1064 ] + } + }, + "LUT2_141": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15120.5-15123.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1107 ], + "I1": [ 1582 ], + "O": [ 2423 ] + } + }, + "LUT2_142": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15127.5-15130.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1107 ], + "I1": [ 1582 ], + "O": [ 2424 ] + } + }, + "LUT2_143": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15134.5-15137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2425 ], + "I1": [ 2426 ], + "O": [ 1789 ] + } + }, + "LUT2_144": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15141.5-15144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2425 ], + "I1": [ 2426 ], + "O": [ 1797 ] + } + }, + "LUT2_145": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15148.5-15151.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2428 ], + "O": [ 1110 ] + } + }, + "LUT2_146": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15155.5-15158.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1780 ], + "I1": [ 1470 ], + "O": [ 1777 ] + } + }, + "LUT2_147": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15162.5-15165.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2429 ], + "I1": [ 2430 ], + "O": [ 1773 ] + } + }, + "LUT2_148": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15169.5-15172.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2431 ], + "I1": [ 2432 ], + "O": [ 2433 ] + } + }, + "LUT2_149": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15176.5-15179.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1784 ], + "I1": [ 2434 ], + "O": [ 2435 ] + } + }, + "LUT2_14a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15183.5-15186.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2436 ], + "I1": [ 1071 ], + "O": [ 1811 ] + } + }, + "LUT2_14b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15190.5-15193.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2436 ], + "I1": [ 1071 ], + "O": [ 2430 ] + } + }, + "LUT2_14c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15197.5-15200.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2437 ], + "I1": [ 2438 ], + "O": [ 1819 ] + } + }, + "LUT2_14d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15204.5-15207.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 1826 ], + "O": [ 1833 ] + } + }, + "LUT2_14e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15211.5-15214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2440 ], + "I1": [ 2441 ], + "O": [ 2442 ] + } + }, + "LUT2_14f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15218.5-15221.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2443 ], + "I1": [ 1071 ], + "O": [ 2441 ] + } + }, + "LUT2_15": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15225.5-15228.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2179 ], + "I1": [ 1986 ], + "O": [ 2444 ] + } + }, + "LUT2_150": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15232.5-15235.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2445 ], + "I1": [ 1071 ], + "O": [ 2446 ] + } + }, + "LUT2_151": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15239.5-15242.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1888 ], + "I1": [ 1850 ], + "O": [ 2447 ] + } + }, + "LUT2_152": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15246.5-15249.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2448 ], + "I1": [ 2449 ], + "O": [ 2450 ] + } + }, + "LUT2_153": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15253.5-15256.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2449 ], + "I1": [ 2448 ], + "O": [ 2451 ] + } + }, + "LUT2_154": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15260.5-15263.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1491 ], + "O": [ 2452 ] + } + }, + "LUT2_155": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15267.5-15270.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1848 ], + "O": [ 2453 ] + } + }, + "LUT2_156": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15274.5-15277.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2454 ], + "I1": [ 2455 ], + "O": [ 1861 ] + } + }, + "LUT2_157": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15281.5-15284.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2456 ], + "I1": [ 2457 ], + "O": [ 1841 ] + } + }, + "LUT2_158": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15288.5-15291.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2458 ], + "I1": [ 2459 ], + "O": [ 2457 ] + } + }, + "LUT2_159": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15295.5-15298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2460 ], + "I1": [ 2461 ], + "O": [ 1867 ] + } + }, + "LUT2_15a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15302.5-15305.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 2462 ], + "O": [ 1897 ] + } + }, + "LUT2_15b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15309.5-15312.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 2462 ], + "O": [ 1903 ] + } + }, + "LUT2_15c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15316.5-15319.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1914 ], + "I1": [ 1950 ], + "O": [ 2463 ] + } + }, + "LUT2_15d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15323.5-15326.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1932 ], + "I1": [ 1968 ], + "O": [ 2464 ] + } + }, + "LUT2_15e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15330.5-15333.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2464 ], + "I1": [ 2465 ], + "O": [ 1935 ] + } + }, + "LUT2_15f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15337.5-15340.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1966 ], + "I1": [ 2463 ], + "O": [ 2466 ] + } + }, + "LUT2_16": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15344.5-15347.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2467 ], + "I1": [ 2220 ], + "O": [ 2468 ] + } + }, + "LUT2_160": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15351.5-15354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2469 ], + "I1": [ 2466 ], + "O": [ 2470 ] + } + }, + "LUT2_161": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15358.5-15361.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2471 ], + "I1": [ 2472 ], + "O": [ 2473 ] + } + }, + "LUT2_162": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15365.5-15368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1944 ], + "I1": [ 1956 ], + "O": [ 2472 ] + } + }, + "LUT2_163": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15372.5-15375.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2474 ], + "I1": [ 2475 ], + "O": [ 1482 ] + } + }, + "LUT2_164": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15379.5-15382.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1922 ], + "I1": [ 1481 ], + "O": [ 2476 ] + } + }, + "LUT2_165": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15386.5-15389.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1934 ], + "I1": [ 2477 ], + "O": [ 2478 ] + } + }, + "LUT2_166": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15393.5-15396.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1934 ], + "I1": [ 2478 ], + "O": [ 1480 ] + } + }, + "LUT2_167": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15400.5-15403.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 2480 ], + "O": [ 2481 ] + } + }, + "LUT2_168": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15407.5-15410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2482 ], + "I1": [ 2479 ], + "O": [ 1913 ] + } + }, + "LUT2_169": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15414.5-15417.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2482 ], + "I1": [ 2479 ], + "O": [ 1947 ] + } + }, + "LUT2_16a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15421.5-15424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2480 ], + "I1": [ 2479 ], + "O": [ 2483 ] + } + }, + "LUT2_16b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15428.5-15431.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2480 ], + "I1": [ 2479 ], + "O": [ 2484 ] + } + }, + "LUT2_16c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15435.5-15438.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2485 ], + "I1": [ 1920 ], + "O": [ 2486 ] + } + }, + "LUT2_16d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15442.5-15445.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1962 ], + "O": [ 2480 ] + } + }, + "LUT2_16e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15449.5-15452.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2487 ], + "I1": [ 2488 ], + "O": [ 1877 ] + } + }, + "LUT2_16f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15456.5-15459.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1904 ], + "I1": [ 1858 ], + "O": [ 2489 ] + } + }, + "LUT2_17": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15463.5-15466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2490 ], + "I1": [ 2220 ], + "O": [ 2248 ] + } + }, + "LUT2_170": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15470.5-15473.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 1902 ], + "O": [ 2492 ] + } + }, + "LUT2_171": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15477.5-15480.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 2493 ], + "O": [ 2494 ] + } + }, + "LUT2_172": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15484.5-15487.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2494 ], + "I1": [ 2495 ], + "O": [ 2496 ] + } + }, + "LUT2_173": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15491.5-15494.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 1089 ], + "O": [ 2495 ] + } + }, + "LUT2_174": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15498.5-15501.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 2497 ], + "O": [ 2498 ] + } + }, + "LUT2_175": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15505.5-15508.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2499 ], + "I1": [ 2500 ], + "O": [ 2501 ] + } + }, + "LUT2_176": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15512.5-15515.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2502 ], + "I1": [ 2501 ], + "O": [ 1583 ] + } + }, + "LUT2_177": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15519.5-15522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1029 ], + "I1": [ 2503 ], + "O": [ 2504 ] + } + }, + "LUT2_178": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15526.5-15529.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2504 ], + "I1": [ 2505 ], + "O": [ 2506 ] + } + }, + "LUT2_179": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15533.5-15536.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2507 ], + "I1": [ 2506 ], + "O": [ 1585 ] + } + }, + "LUT2_18": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15540.5-15543.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2508 ], + "I1": [ 2509 ], + "O": [ 2192 ] + } + }, + "LUT2_19": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15547.5-15550.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2510 ], + "I1": [ 2511 ], + "O": [ 2509 ] + } + }, + "LUT2_1a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15554.5-15557.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2510 ], + "I1": [ 2511 ], + "O": [ 2490 ] + } + }, + "LUT2_1b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15561.5-15564.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2512 ], + "I1": [ 2171 ], + "O": [ 2207 ] + } + }, + "LUT2_1c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15568.5-15571.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2512 ], + "I1": [ 2171 ], + "O": [ 2190 ] + } + }, + "LUT2_1d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15575.5-15578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2249 ], + "I1": [ 2513 ], + "O": [ 2514 ] + } + }, + "LUT2_1e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15582.5-15585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2249 ], + "I1": [ 2513 ], + "O": [ 2515 ] + } + }, + "LUT2_1f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15589.5-15592.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2249 ], + "I1": [ 2513 ], + "O": [ 2516 ] + } + }, + "LUT2_2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15596.5-15599.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 343 ], + "I1": [ 2517 ], + "O": [ 2518 ] + } + }, + "LUT2_20": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15603.5-15606.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2175 ], + "I1": [ 2519 ], + "O": [ 2520 ] + } + }, + "LUT2_21": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15610.5-15613.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2521 ], + "I1": [ 2522 ], + "O": [ 2172 ] + } + }, + "LUT2_22": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15617.5-15620.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2523 ], + "I1": [ 2524 ], + "O": [ 2225 ] + } + }, + "LUT2_23": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15624.5-15627.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2523 ], + "I1": [ 2524 ], + "O": [ 2221 ] + } + }, + "LUT2_24": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15631.5-15634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2187 ], + "I1": [ 2525 ], + "O": [ 2523 ] + } + }, + "LUT2_25": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15638.5-15641.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2526 ], + "I1": [ 2527 ], + "O": [ 2528 ] + } + }, + "LUT2_26": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15645.5-15648.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2529 ], + "I1": [ 2181 ], + "O": [ 1396 ] + } + }, + "LUT2_27": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15652.5-15655.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 2268 ], + "O": [ 2530 ] + } + }, + "LUT2_28": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15659.5-15662.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2531 ], + "I1": [ 1397 ], + "O": [ 2532 ] + } + }, + "LUT2_29": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15666.5-15669.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2270 ], + "I1": [ 345 ], + "O": [ 2533 ] + } + }, + "LUT2_2a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15673.5-15676.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 345 ], + "I1": [ 2534 ], + "O": [ 2535 ] + } + }, + "LUT2_2b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15680.5-15683.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2536 ], + "I1": [ 2537 ], + "O": [ 2287 ] + } + }, + "LUT2_2c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15687.5-15690.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2538 ], + "I1": [ 2536 ], + "O": [ 1158 ] + } + }, + "LUT2_2d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15694.5-15697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2266 ], + "I1": [ 2539 ], + "O": [ 2540 ] + } + }, + "LUT2_2e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15701.5-15704.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2540 ], + "I1": [ 2541 ], + "O": [ 2281 ] + } + }, + "LUT2_2f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15708.5-15711.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 681 ], + "I1": [ 1588 ], + "O": [ 2542 ] + } + }, + "LUT2_3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15715.5-15718.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1465 ], + "I1": [ 443 ], + "O": [ 2543 ] + } + }, + "LUT2_30": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15722.5-15725.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2542 ], + "I1": [ 2544 ], + "O": [ 2545 ] + } + }, + "LUT2_31": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15729.5-15732.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2545 ], + "I1": [ 2546 ], + "O": [ 510 ] + } + }, + "LUT2_32": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15736.5-15739.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 2311 ], + "O": [ 2548 ] + } + }, + "LUT2_33": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15743.5-15746.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2315 ], + "I1": [ 2549 ], + "O": [ 2320 ] + } + }, + "LUT2_34": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15750.5-15753.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 2551 ], + "O": [ 2552 ] + } + }, + "LUT2_35": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15757.5-15760.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2553 ], + "I1": [ 2551 ], + "O": [ 250 ] + } + }, + "LUT2_36": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15764.5-15767.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 2554 ], + "O": [ 188 ] + } + }, + "LUT2_37": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15771.5-15774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2317 ], + "I1": [ 2555 ], + "O": [ 2556 ] + } + }, + "LUT2_38": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15778.5-15781.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2315 ], + "I1": [ 2557 ], + "O": [ 2555 ] + } + }, + "LUT2_39": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15785.5-15788.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2558 ], + "I1": [ 2551 ], + "O": [ 2559 ] + } + }, + "LUT2_3a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15792.5-15795.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 251 ], + "I1": [ 195 ], + "O": [ 2560 ] + } + }, + "LUT2_3b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15799.5-15802.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2561 ], + "I1": [ 2562 ], + "O": [ 252 ] + } + }, + "LUT2_3c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15806.5-15809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2561 ], + "I1": [ 2562 ], + "O": [ 258 ] + } + }, + "LUT2_3d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15813.5-15816.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2563 ], + "I1": [ 2564 ], + "O": [ 2565 ] + } + }, + "LUT2_3e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15820.5-15823.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2565 ], + "I1": [ 2563 ], + "O": [ 226 ] + } + }, + "LUT2_3f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15827.5-15830.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2566 ], + "I1": [ 197 ], + "O": [ 2567 ] + } + }, + "LUT2_4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15834.5-15837.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 579 ], + "O": [ 2568 ] + } + }, + "LUT2_40": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15841.5-15844.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2569 ], + "I1": [ 207 ], + "O": [ 224 ] + } + }, + "LUT2_41": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15848.5-15851.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 255 ], + "I1": [ 2570 ], + "O": [ 230 ] + } + }, + "LUT2_42": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15855.5-15858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2571 ], + "I1": [ 227 ], + "O": [ 2572 ] + } + }, + "LUT2_43": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15862.5-15865.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2573 ], + "I1": [ 257 ], + "O": [ 194 ] + } + }, + "LUT2_44": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15869.5-15872.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2574 ], + "I1": [ 257 ], + "O": [ 212 ] + } + }, + "LUT2_45": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15876.5-15879.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2575 ], + "I1": [ 2576 ], + "O": [ 2577 ] + } + }, + "LUT2_46": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15883.5-15886.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2578 ], + "I1": [ 175 ], + "O": [ 2579 ] + } + }, + "LUT2_47": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15890.5-15893.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2315 ], + "I1": [ 2329 ], + "O": [ 2551 ] + } + }, + "LUT2_48": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15897.5-15900.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2580 ], + "I1": [ 2581 ], + "O": [ 2582 ] + } + }, + "LUT2_49": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15904.5-15907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2583 ], + "I1": [ 2580 ], + "O": [ 238 ] + } + }, + "LUT2_4a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15911.5-15914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2583 ], + "I1": [ 2580 ], + "O": [ 2326 ] + } + }, + "LUT2_4b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15918.5-15921.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2584 ], + "I1": [ 2585 ], + "O": [ 274 ] + } + }, + "LUT2_4c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15925.5-15928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2586 ], + "I1": [ 2587 ], + "O": [ 2588 ] + } + }, + "LUT2_4d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15932.5-15935.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 2589 ], + "O": [ 2590 ] + } + }, + "LUT2_4e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15939.5-15942.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2591 ], + "I1": [ 2592 ], + "O": [ 2593 ] + } + }, + "LUT2_4f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15946.5-15949.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2591 ], + "I1": [ 2592 ], + "O": [ 2594 ] + } + }, + "LUT2_5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15953.5-15956.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2595 ], + "I1": [ 2596 ], + "O": [ 1771 ] + } + }, + "LUT2_50": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15960.5-15963.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2594 ], + "I1": [ 2593 ], + "O": [ 334 ] + } + }, + "LUT2_51": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15967.5-15970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 2597 ], + "O": [ 320 ] + } + }, + "LUT2_52": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15974.5-15977.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 2598 ], + "O": [ 2599 ] + } + }, + "LUT2_53": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15981.5-15984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2600 ], + "I1": [ 2601 ], + "O": [ 2602 ] + } + }, + "LUT2_54": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15988.5-15991.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2603 ], + "I1": [ 301 ], + "O": [ 284 ] + } + }, + "LUT2_55": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:15995.5-15998.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 247 ], + "I1": [ 275 ], + "O": [ 2600 ] + } + }, + "LUT2_56": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16002.5-16005.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 333 ], + "I1": [ 2604 ], + "O": [ 310 ] + } + }, + "LUT2_57": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16009.5-16012.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2605 ], + "I1": [ 2606 ], + "O": [ 364 ] + } + }, + "LUT2_58": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16016.5-16019.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 357 ], + "I1": [ 315 ], + "O": [ 2607 ] + } + }, + "LUT2_59": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16023.5-16026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2608 ], + "I1": [ 357 ], + "O": [ 2609 ] + } + }, + "LUT2_5a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16030.5-16033.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2610 ], + "I1": [ 2611 ], + "O": [ 2612 ] + } + }, + "LUT2_5b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16037.5-16040.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2610 ], + "I1": [ 2612 ], + "O": [ 2312 ] + } + }, + "LUT2_5c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16044.5-16047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 341 ], + "I1": [ 2547 ], + "O": [ 2613 ] + } + }, + "LUT2_5d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16051.5-16054.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 201 ], + "O": [ 2614 ] + } + }, + "LUT2_5e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16058.5-16061.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2615 ], + "I1": [ 347 ], + "O": [ 336 ] + } + }, + "LUT2_5f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16065.5-16068.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 347 ], + "I1": [ 1590 ], + "O": [ 2616 ] + } + }, + "LUT2_6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16072.5-16075.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1990 ], + "I1": [ 2617 ], + "O": [ 2618 ] + } + }, + "LUT2_60": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16079.5-16082.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2619 ], + "I1": [ 2620 ], + "O": [ 165 ] + } + }, + "LUT2_61": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16086.5-16089.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2619 ], + "I1": [ 2620 ], + "O": [ 782 ] + } + }, + "LUT2_62": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16093.5-16096.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 2621 ], + "O": [ 2622 ] + } + }, + "LUT2_63": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16100.5-16103.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2623 ], + "I1": [ 2624 ], + "O": [ 2625 ] + } + }, + "LUT2_64": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16107.5-16110.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2625 ], + "I1": [ 2623 ], + "O": [ 594 ] + } + }, + "LUT2_65": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16114.5-16117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2626 ], + "I1": [ 1560 ], + "O": [ 2624 ] + } + }, + "LUT2_66": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16121.5-16124.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1049 ], + "I1": [ 1561 ], + "O": [ 1563 ] + } + }, + "LUT2_67": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16128.5-16131.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2627 ], + "I1": [ 1566 ], + "O": [ 2628 ] + } + }, + "LUT2_68": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16135.5-16138.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2629 ], + "I1": [ 2630 ], + "O": [ 1539 ] + } + }, + "LUT2_69": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16142.5-16145.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2629 ], + "I1": [ 2630 ], + "O": [ 1050 ] + } + }, + "LUT2_6a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16149.5-16152.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1321 ], + "I1": [ 1542 ], + "O": [ 604 ] + } + }, + "LUT2_6b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16156.5-16159.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2631 ], + "I1": [ 645 ], + "O": [ 2632 ] + } + }, + "LUT2_6c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16163.5-16166.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 604 ], + "I1": [ 645 ], + "O": [ 2633 ] + } + }, + "LUT2_6d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16170.5-16173.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 2634 ], + "O": [ 2635 ] + } + }, + "LUT2_6e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16177.5-16180.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 633 ], + "O": [ 2636 ] + } + }, + "LUT2_6f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16184.5-16187.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 2636 ], + "O": [ 638 ] + } + }, + "LUT2_7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16191.5-16194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1467 ], + "I1": [ 2596 ], + "O": [ 2637 ] + } + }, + "LUT2_70": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16198.5-16201.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2638 ], + "I1": [ 2639 ], + "O": [ 2640 ] + } + }, + "LUT2_71": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16205.5-16208.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2641 ], + "I1": [ 591 ], + "O": [ 2642 ] + } + }, + "LUT2_72": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16212.5-16215.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2643 ], + "I1": [ 2641 ], + "O": [ 588 ] + } + }, + "LUT2_73": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16219.5-16222.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2638 ], + "I1": [ 2639 ], + "O": [ 2644 ] + } + }, + "LUT2_74": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16226.5-16229.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2645 ], + "I1": [ 2646 ], + "O": [ 2647 ] + } + }, + "LUT2_75": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16233.5-16236.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 641 ], + "I1": [ 2648 ], + "O": [ 2649 ] + } + }, + "LUT2_76": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16240.5-16243.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 641 ], + "I1": [ 2650 ], + "O": [ 616 ] + } + }, + "LUT2_77": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16247.5-16250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2651 ], + "I1": [ 2652 ], + "O": [ 602 ] + } + }, + "LUT2_78": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16254.5-16257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2653 ], + "I1": [ 583 ], + "O": [ 2654 ] + } + }, + "LUT2_79": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16261.5-16264.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 673 ], + "I1": [ 2654 ], + "O": [ 2655 ] + } + }, + "LUT2_7a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16268.5-16271.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 673 ], + "I1": [ 2654 ], + "O": [ 648 ] + } + }, + "LUT2_7b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16275.5-16278.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2656 ], + "I1": [ 598 ], + "O": [ 2657 ] + } + }, + "LUT2_7c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16282.5-16285.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2656 ], + "I1": [ 653 ], + "O": [ 2658 ] + } + }, + "LUT2_7d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16289.5-16292.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2656 ], + "I1": [ 653 ], + "O": [ 2659 ] + } + }, + "LUT2_7e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16296.5-16299.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 695 ], + "I1": [ 623 ], + "O": [ 2660 ] + } + }, + "LUT2_7f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16303.5-16306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 703 ], + "I1": [ 705 ], + "O": [ 2661 ] + } + }, + "LUT2_8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16310.5-16313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2662 ], + "I1": [ 1091 ], + "O": [ 2663 ] + } + }, + "LUT2_80": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16317.5-16320.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2664 ], + "I1": [ 709 ], + "O": [ 2665 ] + } + }, + "LUT2_81": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16324.5-16327.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2666 ], + "I1": [ 2664 ], + "O": [ 624 ] + } + }, + "LUT2_82": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16331.5-16334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 2668 ], + "O": [ 710 ] + } + }, + "LUT2_83": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16338.5-16341.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 2668 ], + "O": [ 682 ] + } + }, + "LUT2_84": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16345.5-16348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 683 ], + "I1": [ 2669 ], + "O": [ 802 ] + } + }, + "LUT2_85": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16352.5-16355.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2670 ], + "I1": [ 683 ], + "O": [ 2671 ] + } + }, + "LUT2_86": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16359.5-16362.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 711 ], + "I1": [ 2672 ], + "O": [ 2667 ] + } + }, + "LUT2_87": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16366.5-16369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2673 ], + "I1": [ 711 ], + "O": [ 2674 ] + } + }, + "LUT2_88": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16373.5-16376.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2675 ], + "I1": [ 621 ], + "O": [ 2676 ] + } + }, + "LUT2_89": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16380.5-16383.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 2675 ], + "O": [ 2677 ] + } + }, + "LUT2_8a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16387.5-16390.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2678 ], + "I1": [ 621 ], + "O": [ 2679 ] + } + }, + "LUT2_8b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16394.5-16397.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 759 ], + "O": [ 2680 ] + } + }, + "LUT2_8c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16401.5-16404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2681 ], + "I1": [ 743 ], + "O": [ 2682 ] + } + }, + "LUT2_8d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16408.5-16411.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 723 ], + "O": [ 2684 ] + } + }, + "LUT2_8e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16415.5-16418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 769 ], + "I1": [ 2685 ], + "O": [ 2686 ] + } + }, + "LUT2_8f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16422.5-16425.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2687 ], + "I1": [ 2686 ], + "O": [ 776 ] + } + }, + "LUT2_9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16429.5-16432.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2688 ], + "I1": [ 2689 ], + "O": [ 2690 ] + } + }, + "LUT2_90": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16436.5-16439.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2691 ], + "I1": [ 2692 ], + "O": [ 2693 ] + } + }, + "LUT2_91": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16443.5-16446.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 755 ], + "I1": [ 737 ], + "O": [ 2694 ] + } + }, + "LUT2_92": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16450.5-16453.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2694 ], + "I1": [ 737 ], + "O": [ 2695 ] + } + }, + "LUT2_93": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16457.5-16460.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 737 ], + "I1": [ 721 ], + "O": [ 2696 ] + } + }, + "LUT2_94": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16464.5-16467.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2697 ], + "I1": [ 737 ], + "O": [ 2698 ] + } + }, + "LUT2_95": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16471.5-16474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 603 ], + "I1": [ 605 ], + "O": [ 2699 ] + } + }, + "LUT2_96": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16478.5-16481.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 603 ], + "I1": [ 605 ], + "O": [ 2700 ] + } + }, + "LUT2_97": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16485.5-16488.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 2702 ], + "O": [ 2703 ] + } + }, + "LUT2_98": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16492.5-16495.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 808 ], + "I1": [ 835 ], + "O": [ 2704 ] + } + }, + "LUT2_99": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16499.5-16502.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2704 ], + "I1": [ 2703 ], + "O": [ 844 ] + } + }, + "LUT2_9a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16506.5-16509.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1315 ], + "I1": [ 847 ], + "O": [ 824 ] + } + }, + "LUT2_9b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16513.5-16516.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2705 ], + "I1": [ 833 ], + "O": [ 2706 ] + } + }, + "LUT2_9c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16520.5-16523.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 833 ], + "I1": [ 847 ], + "O": [ 2707 ] + } + }, + "LUT2_9d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16527.5-16530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2708 ], + "I1": [ 899 ], + "O": [ 872 ] + } + }, + "LUT2_9e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16534.5-16537.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2708 ], + "I1": [ 899 ], + "O": [ 864 ] + } + }, + "LUT2_9f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16541.5-16544.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 833 ], + "I1": [ 849 ], + "O": [ 2709 ] + } + }, + "LUT2_a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16548.5-16551.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2690 ], + "I1": [ 2710 ], + "O": [ 1328 ] + } + }, + "LUT2_a0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16555.5-16558.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2711 ], + "I1": [ 869 ], + "O": [ 2712 ] + } + }, + "LUT2_a1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16562.5-16565.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 887 ], + "I1": [ 2713 ], + "O": [ 2714 ] + } + }, + "LUT2_a2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16569.5-16572.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2715 ], + "I1": [ 2716 ], + "O": [ 2717 ] + } + }, + "LUT2_a3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16576.5-16579.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2716 ], + "I1": [ 2715 ], + "O": [ 2718 ] + } + }, + "LUT2_a4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16583.5-16586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2719 ], + "I1": [ 901 ], + "O": [ 914 ] + } + }, + "LUT2_a5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16590.5-16593.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 829 ], + "I1": [ 2720 ], + "O": [ 2721 ] + } + }, + "LUT2_a6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16597.5-16600.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2721 ], + "I1": [ 2722 ], + "O": [ 2723 ] + } + }, + "LUT2_a7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16604.5-16607.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2724 ], + "I1": [ 2725 ], + "O": [ 2726 ] + } + }, + "LUT2_a8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16611.5-16614.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2727 ], + "I1": [ 2728 ], + "O": [ 886 ] + } + }, + "LUT2_a9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16618.5-16621.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2729 ], + "I1": [ 2730 ], + "O": [ 2731 ] + } + }, + "LUT2_aa": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16625.5-16628.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 853 ], + "I1": [ 859 ], + "O": [ 2732 ] + } + }, + "LUT2_ab": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16632.5-16635.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2732 ], + "I1": [ 2733 ], + "O": [ 900 ] + } + }, + "LUT2_ac": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16639.5-16642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2734 ], + "I1": [ 2735 ], + "O": [ 440 ] + } + }, + "LUT2_ad": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16646.5-16649.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 377 ], + "I1": [ 2736 ], + "O": [ 2737 ] + } + }, + "LUT2_ae": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16653.5-16656.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 419 ], + "I1": [ 2738 ], + "O": [ 404 ] + } + }, + "LUT2_af": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16660.5-16663.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 419 ], + "I1": [ 2738 ], + "O": [ 378 ] + } + }, + "LUT2_b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16667.5-16670.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2739 ], + "O": [ 1983 ] + } + }, + "LUT2_b0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16674.5-16677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 427 ], + "I1": [ 2740 ], + "O": [ 2741 ] + } + }, + "LUT2_b1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16681.5-16684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 417 ], + "I1": [ 437 ], + "O": [ 2742 ] + } + }, + "LUT2_b2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16688.5-16691.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2743 ], + "I1": [ 2742 ], + "O": [ 880 ] + } + }, + "LUT2_b3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16695.5-16698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 411 ], + "I1": [ 2744 ], + "O": [ 2745 ] + } + }, + "LUT2_b4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16702.5-16705.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2746 ], + "I1": [ 2745 ], + "O": [ 412 ] + } + }, + "LUT2_b5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16709.5-16712.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 383 ], + "I1": [ 379 ], + "O": [ 2747 ] + } + }, + "LUT2_b6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16716.5-16719.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1543 ], + "I1": [ 2748 ], + "O": [ 2749 ] + } + }, + "LUT2_b7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16723.5-16726.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 789 ], + "I1": [ 831 ], + "O": [ 2750 ] + } + }, + "LUT2_b8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16730.5-16733.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2751 ], + "I1": [ 2752 ], + "O": [ 490 ] + } + }, + "LUT2_b9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16737.5-16740.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 499 ], + "I1": [ 447 ], + "O": [ 2753 ] + } + }, + "LUT2_ba": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16744.5-16747.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 499 ], + "I1": [ 447 ], + "O": [ 2754 ] + } + }, + "LUT2_bb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16751.5-16754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2755 ], + "I1": [ 2756 ], + "O": [ 2757 ] + } + }, + "LUT2_bc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16758.5-16761.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2756 ], + "I1": [ 837 ], + "O": [ 2758 ] + } + }, + "LUT2_bd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16765.5-16768.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2756 ], + "I1": [ 2758 ], + "O": [ 452 ] + } + }, + "LUT2_be": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16772.5-16775.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 2759 ], + "O": [ 2760 ] + } + }, + "LUT2_bf": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16779.5-16782.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2761 ], + "I1": [ 453 ], + "O": [ 2762 ] + } + }, + "LUT2_c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16786.5-16789.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2763 ], + "I1": [ 2739 ], + "O": [ 1981 ] + } + }, + "LUT2_c0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16793.5-16796.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2764 ], + "I1": [ 475 ], + "O": [ 2765 ] + } + }, + "LUT2_c1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16800.5-16803.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2766 ], + "I1": [ 2767 ], + "O": [ 520 ] + } + }, + "LUT2_c2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16807.5-16810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 515 ], + "I1": [ 527 ], + "O": [ 2768 ] + } + }, + "LUT2_c3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16814.5-16817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2769 ], + "I1": [ 2770 ], + "O": [ 548 ] + } + }, + "LUT2_c4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16821.5-16824.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1133 ], + "I1": [ 2771 ], + "O": [ 1130 ] + } + }, + "LUT2_c5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16828.5-16831.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1139 ], + "I1": [ 1147 ], + "O": [ 2772 ] + } + }, + "LUT2_c6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16835.5-16838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2773 ], + "I1": [ 2774 ], + "O": [ 570 ] + } + }, + "LUT2_c7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16842.5-16845.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2775 ], + "I1": [ 2774 ], + "O": [ 2776 ] + } + }, + "LUT2_c8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16849.5-16852.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2777 ], + "I1": [ 2776 ], + "O": [ 556 ] + } + }, + "LUT2_c9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16856.5-16859.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2778 ], + "I1": [ 2779 ], + "O": [ 2780 ] + } + }, + "LUT2_ca": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16863.5-16866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2780 ], + "I1": [ 1149 ], + "O": [ 1150 ] + } + }, + "LUT2_cb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16870.5-16873.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2772 ], + "I1": [ 2774 ], + "O": [ 2775 ] + } + }, + "LUT2_cc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16877.5-16880.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 561 ], + "I1": [ 1141 ], + "O": [ 2781 ] + } + }, + "LUT2_cd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16884.5-16887.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 561 ], + "I1": [ 1141 ], + "O": [ 2774 ] + } + }, + "LUT2_ce": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16891.5-16894.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1145 ], + "I1": [ 2782 ], + "O": [ 2783 ] + } + }, + "LUT2_cf": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16898.5-16901.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2784 ], + "I1": [ 2785 ], + "O": [ 554 ] + } + }, + "LUT2_d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16905.5-16908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2156 ], + "I1": [ 1636 ], + "O": [ 2786 ] + } + }, + "LUT2_d0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16912.5-16915.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2787 ], + "I1": [ 2788 ], + "O": [ 1138 ] + } + }, + "LUT2_d1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16919.5-16922.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2789 ], + "I1": [ 531 ], + "O": [ 2790 ] + } + }, + "LUT2_d2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16926.5-16929.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2791 ], + "I1": [ 531 ], + "O": [ 574 ] + } + }, + "LUT2_d3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16933.5-16936.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 521 ], + "I1": [ 1183 ], + "O": [ 2792 ] + } + }, + "LUT2_d4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16940.5-16943.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2792 ], + "I1": [ 2793 ], + "O": [ 2794 ] + } + }, + "LUT2_d5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16947.5-16950.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2795 ], + "I1": [ 2796 ], + "O": [ 564 ] + } + }, + "LUT2_d6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16954.5-16957.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2795 ], + "I1": [ 2796 ], + "O": [ 540 ] + } + }, + "LUT2_d7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16961.5-16964.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 1135 ], + "O": [ 2797 ] + } + }, + "LUT2_d8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16968.5-16971.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 1135 ], + "O": [ 2793 ] + } + }, + "LUT2_d9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16975.5-16978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2798 ], + "I1": [ 2799 ], + "O": [ 2800 ] + } + }, + "LUT2_da": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16982.5-16985.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2801 ], + "I1": [ 1175 ], + "O": [ 2802 ] + } + }, + "LUT2_db": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16989.5-16992.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2801 ], + "I1": [ 2803 ], + "O": [ 2804 ] + } + }, + "LUT2_dc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:16996.5-16999.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2801 ], + "I1": [ 2803 ], + "O": [ 2805 ] + } + }, + "LUT2_dd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17003.5-17006.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 1191 ], + "O": [ 2806 ] + } + }, + "LUT2_de": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17010.5-17013.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2807 ], + "I1": [ 2808 ], + "O": [ 2809 ] + } + }, + "LUT2_df": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17017.5-17020.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1161 ], + "I1": [ 1545 ], + "O": [ 484 ] + } + }, + "LUT2_e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17024.5-17027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2810 ], + "I1": [ 1942 ], + "O": [ 2811 ] + } + }, + "LUT2_e0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17031.5-17034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1195 ], + "I1": [ 1289 ], + "O": [ 2812 ] + } + }, + "LUT2_e1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17038.5-17041.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 2813 ], + "O": [ 2814 ] + } + }, + "LUT2_e2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17045.5-17048.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1283 ], + "I1": [ 1269 ], + "O": [ 2815 ] + } + }, + "LUT2_e3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17052.5-17055.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1243 ], + "I1": [ 2816 ], + "O": [ 2817 ] + } + }, + "LUT2_e4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17059.5-17062.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2818 ], + "I1": [ 2817 ], + "O": [ 1258 ] + } + }, + "LUT2_e5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17066.5-17069.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2819 ], + "I1": [ 1249 ], + "O": [ 1234 ] + } + }, + "LUT2_e6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17073.5-17076.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2820 ], + "I1": [ 2821 ], + "O": [ 1206 ] + } + }, + "LUT2_e7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17080.5-17083.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2820 ], + "I1": [ 2821 ], + "O": [ 1212 ] + } + }, + "LUT2_e8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17087.5-17090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2822 ], + "I1": [ 2823 ], + "O": [ 1220 ] + } + }, + "LUT2_e9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17094.5-17097.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2824 ], + "I1": [ 2825 ], + "O": [ 2826 ] + } + }, + "LUT2_ea": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17101.5-17104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2827 ], + "I1": [ 2828 ], + "O": [ 1292 ] + } + }, + "LUT2_eb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17108.5-17111.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2829 ], + "I1": [ 481 ], + "O": [ 1547 ] + } + }, + "LUT2_ec": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17115.5-17118.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1309 ], + "I1": [ 2830 ], + "O": [ 2831 ] + } + }, + "LUT2_ed": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17122.5-17125.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2832 ], + "I1": [ 1327 ], + "O": [ 992 ] + } + }, + "LUT2_ee": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17129.5-17132.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1313 ], + "I1": [ 2833 ], + "O": [ 2834 ] + } + }, + "LUT2_ef": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17136.5-17139.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1371 ], + "I1": [ 2835 ], + "O": [ 1340 ] + } + }, + "LUT2_f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17143.5-17146.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2332 ], + "I1": [ 2333 ], + "O": [ 442 ] + } + }, + "LUT2_f0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17150.5-17153.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1325 ], + "I1": [ 1339 ], + "O": [ 2836 ] + } + }, + "LUT2_f1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17157.5-17160.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2835 ], + "I1": [ 1371 ], + "O": [ 1352 ] + } + }, + "LUT2_f2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17164.5-17167.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2837 ], + "I1": [ 1413 ], + "O": [ 2838 ] + } + }, + "LUT2_f3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17171.5-17174.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2839 ], + "I1": [ 2840 ], + "O": [ 1394 ] + } + }, + "LUT2_f4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17178.5-17181.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1339 ], + "I1": [ 1411 ], + "O": [ 2841 ] + } + }, + "LUT2_f5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17185.5-17188.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2842 ], + "I1": [ 1015 ], + "O": [ 2843 ] + } + }, + "LUT2_f6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17192.5-17195.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1419 ], + "I1": [ 2844 ], + "O": [ 2845 ] + } + }, + "LUT2_f7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17199.5-17202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2846 ], + "I1": [ 2847 ], + "O": [ 2848 ] + } + }, + "LUT2_f8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17206.5-17209.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1391 ], + "I1": [ 1353 ], + "O": [ 2849 ] + } + }, + "LUT2_f9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17213.5-17216.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1353 ], + "I1": [ 2849 ], + "O": [ 1416 ] + } + }, + "LUT2_fa": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17220.5-17223.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1369 ], + "I1": [ 1385 ], + "O": [ 2850 ] + } + }, + "LUT2_fb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17227.5-17230.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1369 ], + "I1": [ 2851 ], + "O": [ 1410 ] + } + }, + "LUT2_fc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17234.5-17237.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 2852 ], + "O": [ 1018 ] + } + }, + "LUT2_fd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17241.5-17244.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2853 ], + "I1": [ 1550 ], + "O": [ 2854 ] + } + }, + "LUT2_fe": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17248.5-17251.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2855 ], + "I1": [ 1421 ], + "O": [ 2856 ] + } + }, + "LUT2_ff": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17255.5-17258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2855 ], + "I1": [ 1421 ], + "O": [ 2857 ] + } + }, + "LUT3_0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17262.5-17266.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1227 ], + "I1": [ 2568 ], + "I2": [ 1159 ], + "O": [ 1124 ] + } + }, + "LUT3_1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17270.5-17274.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 349 ], + "I1": [ 715 ], + "I2": [ 1053 ], + "O": [ 2858 ] + } + }, + "LUT3_10": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17278.5-17282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 593 ], + "I1": [ 1602 ], + "I2": [ 1538 ], + "O": [ 2859 ] + } + }, + "LUT3_100": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17286.5-17290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2856 ], + "I1": [ 2857 ], + "I2": [ 1313 ], + "O": [ 1404 ] + } + }, + "LUT3_101": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17294.5-17298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2856 ], + "I1": [ 2857 ], + "I2": [ 1313 ], + "O": [ 1012 ] + } + }, + "LUT3_102": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17302.5-17306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1427 ], + "I1": [ 1445 ], + "I2": [ 1429 ], + "O": [ 2860 ] + } + }, + "LUT3_103": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17310.5-17314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 1451 ], + "I2": [ 1005 ], + "O": [ 2336 ] + } + }, + "LUT3_104": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17318.5-17322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 941 ], + "I1": [ 2337 ], + "I2": [ 947 ], + "O": [ 2861 ] + } + }, + "LUT3_105": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17326.5-17330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 941 ], + "I1": [ 2337 ], + "I2": [ 947 ], + "O": [ 2862 ] + } + }, + "LUT3_106": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17334.5-17338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2862 ], + "I1": [ 947 ], + "I2": [ 2861 ], + "O": [ 926 ] + } + }, + "LUT3_107": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17342.5-17346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2862 ], + "I1": [ 947 ], + "I2": [ 2861 ], + "O": [ 928 ] + } + }, + "LUT3_108": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17350.5-17354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 961 ], + "I1": [ 1407 ], + "I2": [ 943 ], + "O": [ 2863 ] + } + }, + "LUT3_109": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17358.5-17362.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 961 ], + "I1": [ 1407 ], + "I2": [ 943 ], + "O": [ 2864 ] + } + }, + "LUT3_10a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17366.5-17370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2863 ], + "I1": [ 961 ], + "I2": [ 1407 ], + "O": [ 968 ] + } + }, + "LUT3_10b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17374.5-17378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2863 ], + "I1": [ 961 ], + "I2": [ 2865 ], + "O": [ 2338 ] + } + }, + "LUT3_10c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17382.5-17386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 975 ], + "I1": [ 2340 ], + "I2": [ 2866 ], + "O": [ 934 ] + } + }, + "LUT3_10d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17390.5-17394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 945 ], + "I1": [ 1405 ], + "I2": [ 977 ], + "O": [ 2867 ] + } + }, + "LUT3_10e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17398.5-17402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 945 ], + "I1": [ 977 ], + "I2": [ 2342 ], + "O": [ 2868 ] + } + }, + "LUT3_10f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17406.5-17410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 969 ], + "I1": [ 2344 ], + "I2": [ 2869 ], + "O": [ 978 ] + } + }, + "LUT3_11": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17414.5-17418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1602 ], + "I1": [ 2380 ], + "I2": [ 2870 ], + "O": [ 1669 ] + } + }, + "LUT3_110": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17422.5-17426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2349 ], + "I1": [ 937 ], + "I2": [ 923 ], + "O": [ 960 ] + } + }, + "LUT3_111": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17430.5-17434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 931 ], + "I1": [ 2871 ], + "I2": [ 2353 ], + "O": [ 1440 ] + } + }, + "LUT3_112": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17438.5-17442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 931 ], + "I1": [ 2353 ], + "I2": [ 2871 ], + "O": [ 944 ] + } + }, + "LUT3_113": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17446.5-17450.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2356 ], + "I1": [ 951 ], + "I2": [ 965 ], + "O": [ 1458 ] + } + }, + "LUT3_114": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17454.5-17458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 951 ], + "I1": [ 2356 ], + "I2": [ 965 ], + "O": [ 2872 ] + } + }, + "LUT3_115": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17462.5-17466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2356 ], + "I1": [ 965 ], + "I2": [ 951 ], + "O": [ 2873 ] + } + }, + "LUT3_116": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17470.5-17474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2873 ], + "I1": [ 2872 ], + "I2": [ 2357 ], + "O": [ 940 ] + } + }, + "LUT3_117": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17478.5-17482.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2358 ], + "I1": [ 2360 ], + "I2": [ 1459 ], + "O": [ 1420 ] + } + }, + "LUT3_118": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17486.5-17490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2361 ], + "I1": [ 1317 ], + "I2": [ 1319 ], + "O": [ 2362 ] + } + }, + "LUT3_119": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17494.5-17498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1319 ], + "I1": [ 1317 ], + "I2": [ 2361 ], + "O": [ 2874 ] + } + }, + "LUT3_11a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17502.5-17506.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1553 ], + "I1": [ 1543 ], + "I2": [ 1617 ], + "O": [ 1048 ] + } + }, + "LUT3_11b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17510.5-17514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2369 ], + "I1": [ 2368 ], + "I2": [ 2367 ], + "O": [ 1080 ] + } + }, + "LUT3_11c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17518.5-17522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 1085 ], + "I2": [ 1083 ], + "O": [ 2427 ] + } + }, + "LUT3_11d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17526.5-17530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 1085 ], + "I2": [ 1083 ], + "O": [ 2370 ] + } + }, + "LUT3_11e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17534.5-17538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1087 ], + "I1": [ 1033 ], + "I2": [ 1077 ], + "O": [ 2875 ] + } + }, + "LUT3_11f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17542.5-17546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2876 ], + "I1": [ 2877 ], + "I2": [ 2878 ], + "O": [ 1691 ] + } + }, + "LUT3_12": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17550.5-17554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1572 ], + "I1": [ 1362 ], + "I2": [ 954 ], + "O": [ 1056 ] + } + }, + "LUT3_120": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17558.5-17562.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2879 ], + "I1": [ 2880 ], + "I2": [ 2881 ], + "O": [ 2882 ] + } + }, + "LUT3_121": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17566.5-17570.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 2879 ], + "I2": [ 2883 ], + "O": [ 2884 ] + } + }, + "LUT3_122": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17574.5-17578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2885 ], + "I1": [ 1664 ], + "I2": [ 2882 ], + "O": [ 1653 ] + } + }, + "LUT3_123": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17582.5-17586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2886 ], + "I1": [ 2887 ], + "I2": [ 1644 ], + "O": [ 1661 ] + } + }, + "LUT3_124": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17590.5-17594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1642 ], + "I1": [ 1103 ], + "I2": [ 1658 ], + "O": [ 2376 ] + } + }, + "LUT3_125": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17598.5-17602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2376 ], + "I1": [ 1642 ], + "I2": [ 1103 ], + "O": [ 2888 ] + } + }, + "LUT3_126": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17606.5-17610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1099 ], + "I1": [ 2889 ], + "I2": [ 1642 ], + "O": [ 1687 ] + } + }, + "LUT3_127": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17614.5-17618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1099 ], + "I1": [ 1642 ], + "I2": [ 2889 ], + "O": [ 1659 ] + } + }, + "LUT3_128": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17622.5-17626.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1099 ], + "I1": [ 1642 ], + "I2": [ 2889 ], + "O": [ 1693 ] + } + }, + "LUT3_129": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17630.5-17634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 1097 ], + "I2": [ 2890 ], + "O": [ 1068 ] + } + }, + "LUT3_12a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17638.5-17642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1752 ], + "I1": [ 1766 ], + "I2": [ 1740 ], + "O": [ 2891 ] + } + }, + "LUT3_12b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17646.5-17650.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1766 ], + "I1": [ 1740 ], + "I2": [ 1752 ], + "O": [ 2386 ] + } + }, + "LUT3_12c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17654.5-17658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1766 ], + "I1": [ 1740 ], + "I2": [ 1752 ], + "O": [ 2892 ] + } + }, + "LUT3_12d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17662.5-17666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1770 ], + "I1": [ 2386 ], + "I2": [ 2388 ], + "O": [ 2389 ] + } + }, + "LUT3_12e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17670.5-17674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2389 ], + "I1": [ 2390 ], + "I2": [ 2386 ], + "O": [ 1705 ] + } + }, + "LUT3_12f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17678.5-17682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1732 ], + "I1": [ 1728 ], + "I2": [ 1754 ], + "O": [ 2893 ] + } + }, + "LUT3_13": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17686.5-17690.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2179 ], + "I1": [ 1986 ], + "I2": [ 921 ], + "O": [ 2894 ] + } + }, + "LUT3_130": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17694.5-17698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1732 ], + "I1": [ 1728 ], + "I2": [ 1754 ], + "O": [ 2895 ] + } + }, + "LUT3_131": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17702.5-17706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1756 ], + "I1": [ 2896 ], + "I2": [ 1582 ], + "O": [ 1707 ] + } + }, + "LUT3_132": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17710.5-17714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 1756 ], + "I2": [ 2896 ], + "O": [ 2897 ] + } + }, + "LUT3_133": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17718.5-17722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1710 ], + "I1": [ 1762 ], + "I2": [ 1714 ], + "O": [ 2898 ] + } + }, + "LUT3_134": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17726.5-17730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1710 ], + "I1": [ 1762 ], + "I2": [ 1714 ], + "O": [ 2407 ] + } + }, + "LUT3_135": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17734.5-17738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1119 ], + "I1": [ 1111 ], + "I2": [ 2408 ], + "O": [ 2899 ] + } + }, + "LUT3_136": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17742.5-17746.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1119 ], + "I1": [ 2408 ], + "I2": [ 1111 ], + "O": [ 2900 ] + } + }, + "LUT3_137": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17750.5-17754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2900 ], + "I1": [ 1119 ], + "I2": [ 1111 ], + "O": [ 1785 ] + } + }, + "LUT3_138": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17758.5-17762.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2900 ], + "I1": [ 1119 ], + "I2": [ 1111 ], + "O": [ 1745 ] + } + }, + "LUT3_139": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17766.5-17770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2901 ], + "I1": [ 2902 ], + "I2": [ 2903 ], + "O": [ 1719 ] + } + }, + "LUT3_13a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17774.5-17778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2901 ], + "I1": [ 2902 ], + "I2": [ 2903 ], + "O": [ 1122 ] + } + }, + "LUT3_13b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17782.5-17786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2409 ], + "I1": [ 1718 ], + "I2": [ 1726 ], + "O": [ 2904 ] + } + }, + "LUT3_13c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17790.5-17794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1067 ], + "I1": [ 1105 ], + "I2": [ 1786 ], + "O": [ 2415 ] + } + }, + "LUT3_13d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17798.5-17802.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1800 ], + "I1": [ 2418 ], + "I2": [ 1700 ], + "O": [ 2905 ] + } + }, + "LUT3_13e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17806.5-17810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2905 ], + "I1": [ 1800 ], + "I2": [ 2419 ], + "O": [ 1671 ] + } + }, + "LUT3_13f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17814.5-17818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2905 ], + "I1": [ 1800 ], + "I2": [ 2419 ], + "O": [ 1681 ] + } + }, + "LUT3_14": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17822.5-17826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2490 ], + "I1": [ 2220 ], + "I2": [ 2467 ], + "O": [ 2199 ] + } + }, + "LUT3_140": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17830.5-17834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1083 ], + "I1": [ 1620 ], + "I2": [ 2364 ], + "O": [ 2906 ] + } + }, + "LUT3_141": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17838.5-17842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2907 ], + "I1": [ 1107 ], + "I2": [ 1620 ], + "O": [ 1795 ] + } + }, + "LUT3_142": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17846.5-17850.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2422 ], + "I1": [ 1107 ], + "I2": [ 2421 ], + "O": [ 2908 ] + } + }, + "LUT3_143": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17854.5-17858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2909 ], + "I1": [ 1620 ], + "I2": [ 1107 ], + "O": [ 2421 ] + } + }, + "LUT3_144": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17862.5-17866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2909 ], + "I1": [ 1620 ], + "I2": [ 2910 ], + "O": [ 2911 ] + } + }, + "LUT3_145": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17870.5-17874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2912 ], + "I1": [ 2363 ], + "I2": [ 2913 ], + "O": [ 1799 ] + } + }, + "LUT3_146": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17878.5-17882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2914 ], + "I1": [ 2915 ], + "I2": [ 2916 ], + "O": [ 2917 ] + } + }, + "LUT3_147": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17886.5-17890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2915 ], + "I1": [ 2917 ], + "I2": [ 2427 ], + "O": [ 1058 ] + } + }, + "LUT3_148": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17894.5-17898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2915 ], + "I1": [ 2917 ], + "I2": [ 2427 ], + "O": [ 1104 ] + } + }, + "LUT3_149": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17902.5-17906.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1776 ], + "I1": [ 1034 ], + "I2": [ 1621 ], + "O": [ 372 ] + } + }, + "LUT3_14a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17910.5-17914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2918 ], + "I1": [ 1624 ], + "I2": [ 2919 ], + "O": [ 1779 ] + } + }, + "LUT3_14b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17918.5-17922.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1804 ], + "I1": [ 1089 ], + "I2": [ 1820 ], + "O": [ 2432 ] + } + }, + "LUT3_14c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17926.5-17930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1804 ], + "I1": [ 1089 ], + "I2": [ 1820 ], + "O": [ 2920 ] + } + }, + "LUT3_14d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17934.5-17938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1820 ], + "I1": [ 2921 ], + "I2": [ 2433 ], + "O": [ 2922 ] + } + }, + "LUT3_14e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17942.5-17946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2431 ], + "I1": [ 2432 ], + "I2": [ 1033 ], + "O": [ 2923 ] + } + }, + "LUT3_14f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17950.5-17954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2924 ], + "I1": [ 2925 ], + "I2": [ 1625 ], + "O": [ 1823 ] + } + }, + "LUT3_15": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17958.5-17962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2926 ], + "I1": [ 2513 ], + "I2": [ 2927 ], + "O": [ 2180 ] + } + }, + "LUT3_150": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17966.5-17970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1858 ], + "I1": [ 2928 ], + "I2": [ 2929 ], + "O": [ 2436 ] + } + }, + "LUT3_151": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17974.5-17978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 2930 ], + "I2": [ 2435 ], + "O": [ 1494 ] + } + }, + "LUT3_152": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17982.5-17986.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 1826 ], + "I2": [ 2931 ], + "O": [ 2429 ] + } + }, + "LUT3_153": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17990.5-17994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2440 ], + "I1": [ 2441 ], + "I2": [ 2932 ], + "O": [ 1831 ] + } + }, + "LUT3_154": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:17998.5-18002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2440 ], + "I1": [ 2441 ], + "I2": [ 2932 ], + "O": [ 1837 ] + } + }, + "LUT3_155": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18006.5-18010.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2445 ], + "I1": [ 1071 ], + "I2": [ 2443 ], + "O": [ 1496 ] + } + }, + "LUT3_156": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18014.5-18018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1846 ], + "I1": [ 1864 ], + "I2": [ 2933 ], + "O": [ 2934 ] + } + }, + "LUT3_157": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18022.5-18026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1491 ], + "I1": [ 1860 ], + "I2": [ 1848 ], + "O": [ 2448 ] + } + }, + "LUT3_158": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18030.5-18034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2935 ], + "I1": [ 2936 ], + "I2": [ 2937 ], + "O": [ 2938 ] + } + }, + "LUT3_159": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18038.5-18042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2936 ], + "I1": [ 1854 ], + "I2": [ 2939 ], + "O": [ 2940 ] + } + }, + "LUT3_15a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18046.5-18050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2936 ], + "I1": [ 1854 ], + "I2": [ 2939 ], + "O": [ 2941 ] + } + }, + "LUT3_15b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18054.5-18058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2936 ], + "I1": [ 2941 ], + "I2": [ 2939 ], + "O": [ 1891 ] + } + }, + "LUT3_15c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18062.5-18066.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2457 ], + "I1": [ 2942 ], + "I2": [ 2943 ], + "O": [ 2456 ] + } + }, + "LUT3_15d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18070.5-18074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2456 ], + "I1": [ 2942 ], + "I2": [ 2457 ], + "O": [ 1865 ] + } + }, + "LUT3_15e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18078.5-18082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2456 ], + "I1": [ 2457 ], + "I2": [ 2942 ], + "O": [ 1857 ] + } + }, + "LUT3_15f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18086.5-18090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2458 ], + "I1": [ 2459 ], + "I2": [ 2455 ], + "O": [ 2943 ] + } + }, + "LUT3_16": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18094.5-18098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2926 ], + "I1": [ 2513 ], + "I2": [ 2927 ], + "O": [ 2182 ] + } + }, + "LUT3_160": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18102.5-18106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 2462 ], + "I2": [ 2944 ], + "O": [ 1827 ] + } + }, + "LUT3_161": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18110.5-18114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2945 ], + "I1": [ 1880 ], + "I2": [ 2946 ], + "O": [ 2947 ] + } + }, + "LUT3_162": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18118.5-18122.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2948 ], + "I1": [ 2949 ], + "I2": [ 2950 ], + "O": [ 1879 ] + } + }, + "LUT3_163": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18126.5-18130.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2469 ], + "I1": [ 2466 ], + "I2": [ 2951 ], + "O": [ 1917 ] + } + }, + "LUT3_164": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18134.5-18138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2469 ], + "I1": [ 2466 ], + "I2": [ 2951 ], + "O": [ 1885 ] + } + }, + "LUT3_165": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18142.5-18146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1489 ], + "I1": [ 1922 ], + "I2": [ 1487 ], + "O": [ 2952 ] + } + }, + "LUT3_166": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18150.5-18154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2476 ], + "I1": [ 2475 ], + "I2": [ 1487 ], + "O": [ 2474 ] + } + }, + "LUT3_167": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18158.5-18162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2476 ], + "I1": [ 2475 ], + "I2": [ 1487 ], + "O": [ 2953 ] + } + }, + "LUT3_168": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18166.5-18170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2474 ], + "I1": [ 2475 ], + "I2": [ 2953 ], + "O": [ 1953 ] + } + }, + "LUT3_169": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18174.5-18178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1922 ], + "I1": [ 1481 ], + "I2": [ 1487 ], + "O": [ 2954 ] + } + }, + "LUT3_16a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18182.5-18186.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1974 ], + "I1": [ 1934 ], + "I2": [ 1483 ], + "O": [ 2477 ] + } + }, + "LUT3_16b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18190.5-18194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1934 ], + "I1": [ 1974 ], + "I2": [ 2955 ], + "O": [ 2956 ] + } + }, + "LUT3_16c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18198.5-18202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1934 ], + "I1": [ 2477 ], + "I2": [ 2955 ], + "O": [ 2957 ] + } + }, + "LUT3_16d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18206.5-18210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2955 ], + "I1": [ 2957 ], + "I2": [ 2478 ], + "O": [ 1943 ] + } + }, + "LUT3_16e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18214.5-18218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 2958 ], + "I2": [ 1928 ], + "O": [ 2482 ] + } + }, + "LUT3_16f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18222.5-18226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2480 ], + "I1": [ 2479 ], + "I2": [ 1970 ], + "O": [ 2959 ] + } + }, + "LUT3_17": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18230.5-18234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2247 ], + "I1": [ 2173 ], + "I2": [ 2226 ], + "O": [ 2960 ] + } + }, + "LUT3_170": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18238.5-18242.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 2480 ], + "I2": [ 2959 ], + "O": [ 1939 ] + } + }, + "LUT3_171": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18246.5-18250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2485 ], + "I1": [ 1920 ], + "I2": [ 2961 ], + "O": [ 1881 ] + } + }, + "LUT3_172": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18254.5-18258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2485 ], + "I1": [ 1920 ], + "I2": [ 2961 ], + "O": [ 1486 ] + } + }, + "LUT3_173": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18262.5-18266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2492 ], + "I1": [ 2962 ], + "I2": [ 2963 ], + "O": [ 1923 ] + } + }, + "LUT3_174": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18270.5-18274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 1089 ], + "I2": [ 2494 ], + "O": [ 2964 ] + } + }, + "LUT3_175": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18278.5-18282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 2493 ], + "I2": [ 1085 ], + "O": [ 2965 ] + } + }, + "LUT3_176": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18286.5-18290.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2499 ], + "I1": [ 2501 ], + "I2": [ 2502 ], + "O": [ 1627 ] + } + }, + "LUT3_177": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18294.5-18298.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2499 ], + "I1": [ 2501 ], + "I2": [ 2502 ], + "O": [ 1629 ] + } + }, + "LUT3_178": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18302.5-18306.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2504 ], + "I1": [ 2506 ], + "I2": [ 2507 ], + "O": [ 1631 ] + } + }, + "LUT3_179": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18310.5-18314.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2507 ], + "I1": [ 2506 ], + "I2": [ 2504 ], + "O": [ 1633 ] + } + }, + "LUT3_18": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18318.5-18322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2247 ], + "I1": [ 2173 ], + "I2": [ 2226 ], + "O": [ 2519 ] + } + }, + "LUT3_19": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18326.5-18330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2519 ], + "I1": [ 2175 ], + "I2": [ 2960 ], + "O": [ 2966 ] + } + }, + "LUT3_1a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18334.5-18338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2204 ], + "I1": [ 2967 ], + "I2": [ 2187 ], + "O": [ 2213 ] + } + }, + "LUT3_1b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18342.5-18346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 783 ], + "I1": [ 2253 ], + "I2": [ 2261 ], + "O": [ 2968 ] + } + }, + "LUT3_1c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18350.5-18354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2261 ], + "I1": [ 783 ], + "I2": [ 2253 ], + "O": [ 2527 ] + } + }, + "LUT3_1d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18358.5-18362.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2261 ], + "I1": [ 783 ], + "I2": [ 2253 ], + "O": [ 2969 ] + } + }, + "LUT3_1e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18366.5-18370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2970 ], + "I1": [ 2971 ], + "I2": [ 783 ], + "O": [ 2972 ] + } + }, + "LUT3_1f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18374.5-18378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2970 ], + "I1": [ 783 ], + "I2": [ 2971 ], + "O": [ 2973 ] + } + }, + "LUT3_2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18382.5-18386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1990 ], + "I1": [ 2617 ], + "I2": [ 511 ], + "O": [ 2974 ] + } + }, + "LUT3_20": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18390.5-18394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2526 ], + "I1": [ 2527 ], + "I2": [ 2975 ], + "O": [ 2254 ] + } + }, + "LUT3_21": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18398.5-18402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 2191 ], + "I2": [ 2251 ], + "O": [ 2529 ] + } + }, + "LUT3_22": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18406.5-18410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 2529 ], + "I2": [ 2191 ], + "O": [ 2258 ] + } + }, + "LUT3_23": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18414.5-18418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 2529 ], + "I2": [ 2191 ], + "O": [ 2256 ] + } + }, + "LUT3_24": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18422.5-18426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2976 ], + "I1": [ 2546 ], + "I2": [ 1124 ], + "O": [ 2977 ] + } + }, + "LUT3_25": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18430.5-18434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2976 ], + "I1": [ 2977 ], + "I2": [ 2546 ], + "O": [ 2283 ] + } + }, + "LUT3_26": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18438.5-18442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2977 ], + "I1": [ 2546 ], + "I2": [ 2976 ], + "O": [ 2978 ] + } + }, + "LUT3_27": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18446.5-18450.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2977 ], + "I1": [ 2546 ], + "I2": [ 2976 ], + "O": [ 2285 ] + } + }, + "LUT3_28": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18454.5-18458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 2288 ], + "I2": [ 2979 ], + "O": [ 2980 ] + } + }, + "LUT3_29": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18462.5-18466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2981 ], + "I1": [ 1261 ], + "I2": [ 1397 ], + "O": [ 2982 ] + } + }, + "LUT3_2a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18470.5-18474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2983 ], + "I1": [ 2531 ], + "I2": [ 1397 ], + "O": [ 2236 ] + } + }, + "LUT3_2b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18478.5-18482.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2538 ], + "I1": [ 2536 ], + "I2": [ 2537 ], + "O": [ 2271 ] + } + }, + "LUT3_2c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18486.5-18490.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 851 ], + "I2": [ 2984 ], + "O": [ 2985 ] + } + }, + "LUT3_2d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18494.5-18498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 851 ], + "I2": [ 545 ], + "O": [ 2531 ] + } + }, + "LUT3_2e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18502.5-18506.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2986 ], + "I1": [ 2987 ], + "I2": [ 681 ], + "O": [ 884 ] + } + }, + "LUT3_2f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18510.5-18514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2312 ], + "I1": [ 374 ], + "I2": [ 2317 ], + "O": [ 2310 ] + } + }, + "LUT3_3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18518.5-18522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2662 ], + "I1": [ 1091 ], + "I2": [ 2988 ], + "O": [ 2989 ] + } + }, + "LUT3_30": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18526.5-18530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2319 ], + "I1": [ 337 ], + "I2": [ 2315 ], + "O": [ 2990 ] + } + }, + "LUT3_31": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18534.5-18538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2315 ], + "I1": [ 2576 ], + "I2": [ 2991 ], + "O": [ 2992 ] + } + }, + "LUT3_32": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18542.5-18546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2992 ], + "I1": [ 2576 ], + "I2": [ 2315 ], + "O": [ 2306 ] + } + }, + "LUT3_33": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18550.5-18554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2992 ], + "I1": [ 2576 ], + "I2": [ 2549 ], + "O": [ 2298 ] + } + }, + "LUT3_34": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18558.5-18562.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2317 ], + "I1": [ 2555 ], + "I2": [ 2993 ], + "O": [ 2994 ] + } + }, + "LUT3_35": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18566.5-18570.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2558 ], + "I1": [ 2995 ], + "I2": [ 2996 ], + "O": [ 168 ] + } + }, + "LUT3_36": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18574.5-18578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 251 ], + "I1": [ 195 ], + "I2": [ 2997 ], + "O": [ 2998 ] + } + }, + "LUT3_37": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18582.5-18586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2569 ], + "I1": [ 207 ], + "I2": [ 217 ], + "O": [ 220 ] + } + }, + "LUT3_38": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18590.5-18594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2574 ], + "I1": [ 257 ], + "I2": [ 191 ], + "O": [ 206 ] + } + }, + "LUT3_39": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18598.5-18602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2574 ], + "I1": [ 257 ], + "I2": [ 191 ], + "O": [ 198 ] + } + }, + "LUT3_3a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18606.5-18610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2575 ], + "I1": [ 2999 ], + "I2": [ 3000 ], + "O": [ 248 ] + } + }, + "LUT3_3b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18614.5-18618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3001 ], + "I1": [ 2579 ], + "I2": [ 2309 ], + "O": [ 180 ] + } + }, + "LUT3_3c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18622.5-18626.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2315 ], + "I1": [ 2329 ], + "I2": [ 2303 ], + "O": [ 3002 ] + } + }, + "LUT3_3d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18630.5-18634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3003 ], + "I1": [ 277 ], + "I2": [ 291 ], + "O": [ 3004 ] + } + }, + "LUT3_3e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18638.5-18642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 3005 ], + "I2": [ 3004 ], + "O": [ 2322 ] + } + }, + "LUT3_3f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18646.5-18650.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 3005 ], + "I2": [ 3004 ], + "O": [ 2328 ] + } + }, + "LUT3_4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18654.5-18658.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2662 ], + "I1": [ 3006 ], + "I2": [ 2989 ], + "O": [ 1362 ] + } + }, + "LUT3_40": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18662.5-18666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3007 ], + "I1": [ 3008 ], + "I2": [ 271 ], + "O": [ 3009 ] + } + }, + "LUT3_41": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18670.5-18674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3008 ], + "I1": [ 291 ], + "I2": [ 271 ], + "O": [ 2585 ] + } + }, + "LUT3_42": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18678.5-18682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2584 ], + "I1": [ 2585 ], + "I2": [ 3009 ], + "O": [ 282 ] + } + }, + "LUT3_43": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18686.5-18690.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3010 ], + "I1": [ 2588 ], + "I2": [ 3011 ], + "O": [ 296 ] + } + }, + "LUT3_44": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18694.5-18698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2586 ], + "I1": [ 2587 ], + "I2": [ 3011 ], + "O": [ 3010 ] + } + }, + "LUT3_45": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18702.5-18706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2594 ], + "I1": [ 2593 ], + "I2": [ 2592 ], + "O": [ 328 ] + } + }, + "LUT3_46": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18710.5-18714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2600 ], + "I1": [ 3012 ], + "I2": [ 2601 ], + "O": [ 3013 ] + } + }, + "LUT3_47": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18718.5-18722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 327 ], + "I1": [ 3014 ], + "I2": [ 3015 ], + "O": [ 3016 ] + } + }, + "LUT3_48": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18726.5-18730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3017 ], + "I1": [ 2604 ], + "I2": [ 3018 ], + "O": [ 3019 ] + } + }, + "LUT3_49": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18734.5-18738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3020 ], + "I1": [ 3021 ], + "I2": [ 3022 ], + "O": [ 314 ] + } + }, + "LUT3_4a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18742.5-18746.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 339 ], + "I1": [ 369 ], + "I2": [ 355 ], + "O": [ 3023 ] + } + }, + "LUT3_4b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18750.5-18754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3024 ], + "I1": [ 3025 ], + "I2": [ 369 ], + "O": [ 350 ] + } + }, + "LUT3_4c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18758.5-18762.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3024 ], + "I1": [ 3025 ], + "I2": [ 369 ], + "O": [ 358 ] + } + }, + "LUT3_4d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18766.5-18770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2607 ], + "I1": [ 2605 ], + "I2": [ 3026 ], + "O": [ 3027 ] + } + }, + "LUT3_4e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18774.5-18778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2607 ], + "I1": [ 2605 ], + "I2": [ 3026 ], + "O": [ 2606 ] + } + }, + "LUT3_4f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18782.5-18786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2607 ], + "I1": [ 3027 ], + "I2": [ 2605 ], + "O": [ 2300 ] + } + }, + "LUT3_5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18790.5-18794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2689 ], + "I1": [ 1467 ], + "I2": [ 2688 ], + "O": [ 3028 ] + } + }, + "LUT3_50": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18798.5-18802.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 315 ], + "I1": [ 3029 ], + "I2": [ 357 ], + "O": [ 3026 ] + } + }, + "LUT3_51": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18806.5-18810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 357 ], + "I1": [ 315 ], + "I2": [ 3029 ], + "O": [ 2605 ] + } + }, + "LUT3_52": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18814.5-18818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3030 ], + "I1": [ 2608 ], + "I2": [ 2609 ], + "O": [ 240 ] + } + }, + "LUT3_53": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18822.5-18826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3030 ], + "I1": [ 2608 ], + "I2": [ 2609 ], + "O": [ 3031 ] + } + }, + "LUT3_54": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18830.5-18834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3031 ], + "I1": [ 2610 ], + "I2": [ 2612 ], + "O": [ 340 ] + } + }, + "LUT3_55": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18838.5-18842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3031 ], + "I1": [ 2610 ], + "I2": [ 2612 ], + "O": [ 354 ] + } + }, + "LUT3_56": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18846.5-18850.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 363 ], + "I1": [ 3032 ], + "I2": [ 3033 ], + "O": [ 262 ] + } + }, + "LUT3_57": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18854.5-18858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3034 ], + "I1": [ 243 ], + "I2": [ 3035 ], + "O": [ 3036 ] + } + }, + "LUT3_58": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18862.5-18866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3036 ], + "I1": [ 243 ], + "I2": [ 3034 ], + "O": [ 360 ] + } + }, + "LUT3_59": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18870.5-18874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 243 ], + "I1": [ 3036 ], + "I2": [ 3034 ], + "O": [ 244 ] + } + }, + "LUT3_5a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18878.5-18882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2551 ], + "I1": [ 341 ], + "I2": [ 239 ], + "O": [ 3037 ] + } + }, + "LUT3_5b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18886.5-18890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 201 ], + "I2": [ 3038 ], + "O": [ 3039 ] + } + }, + "LUT3_5c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18894.5-18898.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3040 ], + "I1": [ 303 ], + "I2": [ 2614 ], + "O": [ 748 ] + } + }, + "LUT3_5d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18902.5-18906.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 347 ], + "I1": [ 1590 ], + "I2": [ 2615 ], + "O": [ 578 ] + } + }, + "LUT3_5e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18910.5-18914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2619 ], + "I1": [ 201 ], + "I2": [ 3041 ], + "O": [ 3042 ] + } + }, + "LUT3_5f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18918.5-18922.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2619 ], + "I1": [ 201 ], + "I2": [ 3041 ], + "O": [ 3043 ] + } + }, + "LUT3_6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18926.5-18930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2786 ], + "I1": [ 3044 ], + "I2": [ 3045 ], + "O": [ 2159 ] + } + }, + "LUT3_60": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18934.5-18938.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2622 ], + "I1": [ 443 ], + "I2": [ 1560 ], + "O": [ 1603 ] + } + }, + "LUT3_61": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18942.5-18946.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2622 ], + "I1": [ 443 ], + "I2": [ 1560 ], + "O": [ 1605 ] + } + }, + "LUT3_62": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18950.5-18954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1608 ], + "I1": [ 1610 ], + "I2": [ 1594 ], + "O": [ 3046 ] + } + }, + "LUT3_63": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18958.5-18962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2623 ], + "I1": [ 2624 ], + "I2": [ 3047 ], + "O": [ 3048 ] + } + }, + "LUT3_64": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18966.5-18970.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2625 ], + "I1": [ 2623 ], + "I2": [ 3048 ], + "O": [ 2231 ] + } + }, + "LUT3_65": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18974.5-18978.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2625 ], + "I1": [ 2623 ], + "I2": [ 3048 ], + "O": [ 1591 ] + } + }, + "LUT3_66": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18982.5-18986.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1560 ], + "I1": [ 2626 ], + "I2": [ 1594 ], + "O": [ 2623 ] + } + }, + "LUT3_67": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18990.5-18994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2629 ], + "I1": [ 2630 ], + "I2": [ 525 ], + "O": [ 1040 ] + } + }, + "LUT3_68": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:18998.5-19002.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 3049 ], + "I2": [ 2630 ], + "O": [ 2198 ] + } + }, + "LUT3_69": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19006.5-19010.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 605 ], + "I1": [ 1598 ], + "I2": [ 1600 ], + "O": [ 3050 ] + } + }, + "LUT3_6a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19014.5-19018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 619 ], + "I1": [ 709 ], + "I2": [ 1598 ], + "O": [ 3051 ] + } + }, + "LUT3_6b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19022.5-19026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 2636 ], + "I2": [ 2635 ], + "O": [ 580 ] + } + }, + "LUT3_6c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19030.5-19034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2643 ], + "I1": [ 2641 ], + "I2": [ 3052 ], + "O": [ 608 ] + } + }, + "LUT3_6d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19038.5-19042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3053 ], + "I1": [ 3054 ], + "I2": [ 1598 ], + "O": [ 628 ] + } + }, + "LUT3_6e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19046.5-19050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 641 ], + "I1": [ 2648 ], + "I2": [ 611 ], + "O": [ 3055 ] + } + }, + "LUT3_6f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19054.5-19058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 611 ], + "I2": [ 2648 ], + "O": [ 3056 ] + } + }, + "LUT3_7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19062.5-19066.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2786 ], + "I1": [ 3044 ], + "I2": [ 3057 ], + "O": [ 2161 ] + } + }, + "LUT3_70": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19070.5-19074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2652 ], + "I1": [ 2651 ], + "I2": [ 3056 ], + "O": [ 3058 ] + } + }, + "LUT3_71": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19078.5-19082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 999 ], + "I1": [ 3051 ], + "I2": [ 709 ], + "O": [ 3059 ] + } + }, + "LUT3_72": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19086.5-19090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 999 ], + "I1": [ 3051 ], + "I2": [ 709 ], + "O": [ 2646 ] + } + }, + "LUT3_73": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19094.5-19098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2653 ], + "I1": [ 583 ], + "I2": [ 675 ], + "O": [ 3060 ] + } + }, + "LUT3_74": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19102.5-19106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3061 ], + "I1": [ 667 ], + "I2": [ 651 ], + "O": [ 696 ] + } + }, + "LUT3_75": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19110.5-19114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2656 ], + "I1": [ 598 ], + "I2": [ 653 ], + "O": [ 3062 ] + } + }, + "LUT3_76": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19118.5-19122.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3063 ], + "I1": [ 667 ], + "I2": [ 697 ], + "O": [ 3064 ] + } + }, + "LUT3_77": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19126.5-19130.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 695 ], + "I1": [ 623 ], + "I2": [ 655 ], + "O": [ 3065 ] + } + }, + "LUT3_78": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19134.5-19138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3066 ], + "I1": [ 3067 ], + "I2": [ 3068 ], + "O": [ 674 ] + } + }, + "LUT3_79": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19142.5-19146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3066 ], + "I1": [ 701 ], + "I2": [ 3069 ], + "O": [ 3070 ] + } + }, + "LUT3_7a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19150.5-19154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3070 ], + "I1": [ 3071 ], + "I2": [ 3072 ], + "O": [ 672 ] + } + }, + "LUT3_7b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19158.5-19162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3073 ], + "I1": [ 649 ], + "I2": [ 3069 ], + "O": [ 650 ] + } + }, + "LUT3_7c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19166.5-19170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 649 ], + "I1": [ 3069 ], + "I2": [ 697 ], + "O": [ 3074 ] + } + }, + "LUT3_7d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19174.5-19178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2666 ], + "I1": [ 2664 ], + "I2": [ 709 ], + "O": [ 618 ] + } + }, + "LUT3_7e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19182.5-19186.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 709 ], + "I1": [ 683 ], + "I2": [ 621 ], + "O": [ 3075 ] + } + }, + "LUT3_7f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19190.5-19194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2670 ], + "I1": [ 3076 ], + "I2": [ 2669 ], + "O": [ 706 ] + } + }, + "LUT3_8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19198.5-19202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2332 ], + "I1": [ 2333 ], + "I2": [ 2162 ], + "O": [ 2155 ] + } + }, + "LUT3_80": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19206.5-19210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2670 ], + "I1": [ 683 ], + "I2": [ 3076 ], + "O": [ 3077 ] + } + }, + "LUT3_81": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19214.5-19218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 711 ], + "I1": [ 2673 ], + "I2": [ 713 ], + "O": [ 2672 ] + } + }, + "LUT3_82": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19222.5-19226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3078 ], + "I1": [ 683 ], + "I2": [ 621 ], + "O": [ 3079 ] + } + }, + "LUT3_83": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19230.5-19234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 2675 ], + "I2": [ 3080 ], + "O": [ 800 ] + } + }, + "LUT3_84": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19238.5-19242.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 2678 ], + "I2": [ 683 ], + "O": [ 2675 ] + } + }, + "LUT3_85": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19246.5-19250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 735 ], + "I2": [ 731 ], + "O": [ 3081 ] + } + }, + "LUT3_86": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19254.5-19258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3082 ], + "I1": [ 3083 ], + "I2": [ 3081 ], + "O": [ 3084 ] + } + }, + "LUT3_87": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19262.5-19266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3082 ], + "I1": [ 3083 ], + "I2": [ 3081 ], + "O": [ 3085 ] + } + }, + "LUT3_88": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19270.5-19274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 723 ], + "I2": [ 725 ], + "O": [ 3086 ] + } + }, + "LUT3_89": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19278.5-19282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 723 ], + "I2": [ 725 ], + "O": [ 3087 ] + } + }, + "LUT3_8a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19286.5-19290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2683 ], + "I1": [ 723 ], + "I2": [ 725 ], + "O": [ 3088 ] + } + }, + "LUT3_8b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19294.5-19298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 723 ], + "I1": [ 685 ], + "I2": [ 763 ], + "O": [ 3089 ] + } + }, + "LUT3_8c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19302.5-19306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 769 ], + "I1": [ 2685 ], + "I2": [ 2693 ], + "O": [ 2687 ] + } + }, + "LUT3_8d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19310.5-19314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 769 ], + "I1": [ 2685 ], + "I2": [ 2693 ], + "O": [ 3090 ] + } + }, + "LUT3_8e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19318.5-19322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2687 ], + "I1": [ 2686 ], + "I2": [ 3090 ], + "O": [ 770 ] + } + }, + "LUT3_8f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19326.5-19330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2691 ], + "I1": [ 2692 ], + "I2": [ 769 ], + "O": [ 2685 ] + } + }, + "LUT3_9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19334.5-19338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 3091 ], + "I2": [ 1984 ], + "O": [ 3092 ] + } + }, + "LUT3_90": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19342.5-19346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 687 ], + "I1": [ 721 ], + "I2": [ 3093 ], + "O": [ 3094 ] + } + }, + "LUT3_91": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19350.5-19354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 721 ], + "I1": [ 3093 ], + "I2": [ 687 ], + "O": [ 3095 ] + } + }, + "LUT3_92": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19358.5-19362.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3094 ], + "I1": [ 721 ], + "I2": [ 687 ], + "O": [ 3096 ] + } + }, + "LUT3_93": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19366.5-19370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3096 ], + "I1": [ 3094 ], + "I2": [ 721 ], + "O": [ 722 ] + } + }, + "LUT3_94": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19374.5-19378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 737 ], + "I1": [ 721 ], + "I2": [ 3097 ], + "O": [ 2697 ] + } + }, + "LUT3_95": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19382.5-19386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1543 ], + "I1": [ 3098 ], + "I2": [ 841 ], + "O": [ 3099 ] + } + }, + "LUT3_96": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19390.5-19394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2704 ], + "I1": [ 3100 ], + "I2": [ 2703 ], + "O": [ 846 ] + } + }, + "LUT3_97": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19398.5-19402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2712 ], + "I1": [ 819 ], + "I2": [ 2711 ], + "O": [ 3101 ] + } + }, + "LUT3_98": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19406.5-19410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 901 ], + "I1": [ 855 ], + "I2": [ 3102 ], + "O": [ 2716 ] + } + }, + "LUT3_99": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19414.5-19418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2716 ], + "I1": [ 901 ], + "I2": [ 2719 ], + "O": [ 892 ] + } + }, + "LUT3_9a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19422.5-19426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2721 ], + "I1": [ 2722 ], + "I2": [ 2720 ], + "O": [ 3103 ] + } + }, + "LUT3_9b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19430.5-19434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2721 ], + "I1": [ 2722 ], + "I2": [ 3103 ], + "O": [ 392 ] + } + }, + "LUT3_9c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19438.5-19442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2724 ], + "I1": [ 2720 ], + "I2": [ 829 ], + "O": [ 2727 ] + } + }, + "LUT3_9d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19446.5-19450.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3104 ], + "I1": [ 3105 ], + "I2": [ 2730 ], + "O": [ 906 ] + } + }, + "LUT3_9e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19454.5-19458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2729 ], + "I1": [ 2730 ], + "I2": [ 397 ], + "O": [ 3106 ] + } + }, + "LUT3_9f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19462.5-19466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3107 ], + "I1": [ 861 ], + "I2": [ 457 ], + "O": [ 3108 ] + } + }, + "LUT3_a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19470.5-19474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3109 ], + "I1": [ 3110 ], + "I2": [ 3111 ], + "O": [ 1979 ] + } + }, + "LUT3_a0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19478.5-19482.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 859 ], + "I1": [ 853 ], + "I2": [ 3112 ], + "O": [ 862 ] + } + }, + "LUT3_a1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19486.5-19490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2732 ], + "I1": [ 2733 ], + "I2": [ 849 ], + "O": [ 856 ] + } + }, + "LUT3_a2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19494.5-19498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2732 ], + "I1": [ 2733 ], + "I2": [ 849 ], + "O": [ 820 ] + } + }, + "LUT3_a3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19502.5-19506.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 853 ], + "I1": [ 3113 ], + "I2": [ 873 ], + "O": [ 3114 ] + } + }, + "LUT3_a4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19510.5-19514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 899 ], + "I1": [ 2736 ], + "I2": [ 377 ], + "O": [ 410 ] + } + }, + "LUT3_a5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19518.5-19522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 899 ], + "I1": [ 2736 ], + "I2": [ 377 ], + "O": [ 432 ] + } + }, + "LUT3_a6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19526.5-19530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 419 ], + "I1": [ 439 ], + "I2": [ 413 ], + "O": [ 3115 ] + } + }, + "LUT3_a7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19534.5-19538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3116 ], + "I1": [ 1570 ], + "I2": [ 419 ], + "O": [ 3117 ] + } + }, + "LUT3_a8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19542.5-19546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3116 ], + "I1": [ 1570 ], + "I2": [ 419 ], + "O": [ 3118 ] + } + }, + "LUT3_a9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19550.5-19554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 441 ], + "I1": [ 433 ], + "I2": [ 429 ], + "O": [ 2744 ] + } + }, + "LUT3_aa": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19558.5-19562.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 411 ], + "I1": [ 2746 ], + "I2": [ 2745 ], + "O": [ 434 ] + } + }, + "LUT3_ab": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19566.5-19570.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 411 ], + "I1": [ 2746 ], + "I2": [ 2745 ], + "O": [ 438 ] + } + }, + "LUT3_ac": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19574.5-19578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3119 ], + "I1": [ 403 ], + "I2": [ 383 ], + "O": [ 380 ] + } + }, + "LUT3_ad": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19582.5-19586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3120 ], + "I1": [ 829 ], + "I2": [ 403 ], + "O": [ 376 ] + } + }, + "LUT3_ae": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19590.5-19594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3121 ], + "I1": [ 2749 ], + "I2": [ 1543 ], + "O": [ 848 ] + } + }, + "LUT3_af": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19598.5-19602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 1543 ], + "I2": [ 3122 ], + "O": [ 2748 ] + } + }, + "LUT3_b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19606.5-19610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2811 ], + "I1": [ 3109 ], + "I2": [ 3111 ], + "O": [ 1839 ] + } + }, + "LUT3_b0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19614.5-19618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 821 ], + "I2": [ 3122 ], + "O": [ 3123 ] + } + }, + "LUT3_b1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19622.5-19626.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 821 ], + "I2": [ 3122 ], + "O": [ 3124 ] + } + }, + "LUT3_b2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19630.5-19634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3125 ], + "I1": [ 791 ], + "I2": [ 2752 ], + "O": [ 3126 ] + } + }, + "LUT3_b3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19638.5-19642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3125 ], + "I1": [ 791 ], + "I2": [ 2752 ], + "O": [ 2751 ] + } + }, + "LUT3_b4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19646.5-19650.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2751 ], + "I1": [ 2752 ], + "I2": [ 791 ], + "O": [ 444 ] + } + }, + "LUT3_b5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19654.5-19658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2829 ], + "I1": [ 2756 ], + "I2": [ 2755 ], + "O": [ 3127 ] + } + }, + "LUT3_b6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19662.5-19666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2829 ], + "I1": [ 2756 ], + "I2": [ 2755 ], + "O": [ 3128 ] + } + }, + "LUT3_b7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19670.5-19674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2758 ], + "I1": [ 2756 ], + "I2": [ 3129 ], + "O": [ 504 ] + } + }, + "LUT3_b8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19678.5-19682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2756 ], + "I1": [ 2758 ], + "I2": [ 3129 ], + "O": [ 502 ] + } + }, + "LUT3_b9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19686.5-19690.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 2759 ], + "I2": [ 3130 ], + "O": [ 3131 ] + } + }, + "LUT3_ba": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19694.5-19698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 449 ], + "I1": [ 2760 ], + "I2": [ 3131 ], + "O": [ 470 ] + } + }, + "LUT3_bb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19702.5-19706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3131 ], + "I1": [ 449 ], + "I2": [ 2760 ], + "O": [ 450 ] + } + }, + "LUT3_bc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19710.5-19714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3131 ], + "I1": [ 449 ], + "I2": [ 2760 ], + "O": [ 446 ] + } + }, + "LUT3_bd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19718.5-19722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 453 ], + "I1": [ 2762 ], + "I2": [ 3132 ], + "O": [ 3133 ] + } + }, + "LUT3_be": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19726.5-19730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 465 ], + "I1": [ 463 ], + "I2": [ 3134 ], + "O": [ 460 ] + } + }, + "LUT3_bf": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19734.5-19738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 791 ], + "I2": [ 1574 ], + "O": [ 3135 ] + } + }, + "LUT3_c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19742.5-19746.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1806 ], + "I1": [ 3136 ], + "I2": [ 3137 ], + "O": [ 1703 ] + } + }, + "LUT3_c0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19750.5-19754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 791 ], + "I1": [ 3135 ], + "I2": [ 599 ], + "O": [ 788 ] + } + }, + "LUT3_c1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19758.5-19762.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 575 ], + "I1": [ 1127 ], + "I2": [ 577 ], + "O": [ 3138 ] + } + }, + "LUT3_c2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19766.5-19770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 527 ], + "I1": [ 573 ], + "I2": [ 1133 ], + "O": [ 3139 ] + } + }, + "LUT3_c3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19774.5-19778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 513 ], + "I1": [ 573 ], + "I2": [ 515 ], + "O": [ 3140 ] + } + }, + "LUT3_c4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19782.5-19786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2769 ], + "I1": [ 533 ], + "I2": [ 3140 ], + "O": [ 3141 ] + } + }, + "LUT3_c5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19790.5-19794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 513 ], + "I1": [ 3142 ], + "I2": [ 555 ], + "O": [ 2771 ] + } + }, + "LUT3_c6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19798.5-19802.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2774 ], + "I1": [ 2772 ], + "I2": [ 3143 ], + "O": [ 2778 ] + } + }, + "LUT3_c7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19806.5-19810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2775 ], + "I1": [ 2774 ], + "I2": [ 2781 ], + "O": [ 2777 ] + } + }, + "LUT3_c8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19814.5-19818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2777 ], + "I1": [ 2776 ], + "I2": [ 3144 ], + "O": [ 1144 ] + } + }, + "LUT3_c9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19822.5-19826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 561 ], + "I1": [ 1141 ], + "I2": [ 3145 ], + "O": [ 1154 ] + } + }, + "LUT3_ca": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19830.5-19834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 569 ], + "I1": [ 1145 ], + "I2": [ 1155 ], + "O": [ 2784 ] + } + }, + "LUT3_cb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19838.5-19842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 569 ], + "I1": [ 1145 ], + "I2": [ 1155 ], + "O": [ 2789 ] + } + }, + "LUT3_cc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19846.5-19850.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1157 ], + "I1": [ 567 ], + "I2": [ 1145 ], + "O": [ 3146 ] + } + }, + "LUT3_cd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19854.5-19858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3147 ], + "I1": [ 1179 ], + "I2": [ 2784 ], + "O": [ 1148 ] + } + }, + "LUT3_ce": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19862.5-19866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 2784 ], + "I2": [ 3148 ], + "O": [ 3147 ] + } + }, + "LUT3_cf": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19870.5-19874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2788 ], + "I1": [ 567 ], + "I2": [ 2784 ], + "O": [ 3149 ] + } + }, + "LUT3_d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19878.5-19882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1806 ], + "I1": [ 3136 ], + "I2": [ 3137 ], + "O": [ 1977 ] + } + }, + "LUT3_d0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19886.5-19890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1179 ], + "I1": [ 2788 ], + "I2": [ 567 ], + "O": [ 3150 ] + } + }, + "LUT3_d1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19894.5-19898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2787 ], + "I1": [ 2788 ], + "I2": [ 3150 ], + "O": [ 1140 ] + } + }, + "LUT3_d2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19902.5-19906.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 551 ], + "I1": [ 2789 ], + "I2": [ 553 ], + "O": [ 3151 ] + } + }, + "LUT3_d3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19910.5-19914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2791 ], + "I1": [ 531 ], + "I2": [ 3152 ], + "O": [ 542 ] + } + }, + "LUT3_d4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19918.5-19922.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2792 ], + "I1": [ 2793 ], + "I2": [ 521 ], + "O": [ 3153 ] + } + }, + "LUT3_d5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19926.5-19930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 1135 ], + "I2": [ 3153 ], + "O": [ 514 ] + } + }, + "LUT3_d6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19934.5-19938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2800 ], + "I1": [ 2798 ], + "I2": [ 3154 ], + "O": [ 1174 ] + } + }, + "LUT3_d7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19942.5-19946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3155 ], + "I1": [ 2803 ], + "I2": [ 2804 ], + "O": [ 1184 ] + } + }, + "LUT3_d8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19950.5-19954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1191 ], + "I1": [ 1163 ], + "I2": [ 1161 ], + "O": [ 3156 ] + } + }, + "LUT3_d9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19958.5-19962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 3157 ], + "I2": [ 3156 ], + "O": [ 3158 ] + } + }, + "LUT3_da": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19966.5-19970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3156 ], + "I1": [ 3159 ], + "I2": [ 3160 ], + "O": [ 2808 ] + } + }, + "LUT3_db": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19974.5-19978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2807 ], + "I1": [ 2808 ], + "I2": [ 3156 ], + "O": [ 3161 ] + } + }, + "LUT3_dc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19982.5-19986.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2813 ], + "I1": [ 3162 ], + "I2": [ 1205 ], + "O": [ 1208 ] + } + }, + "LUT3_dd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19990.5-19994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3163 ], + "I1": [ 1163 ], + "I2": [ 2813 ], + "O": [ 3164 ] + } + }, + "LUT3_de": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:19998.5-20002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3163 ], + "I1": [ 1163 ], + "I2": [ 2813 ], + "O": [ 3165 ] + } + }, + "LUT3_df": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20006.5-20010.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3166 ], + "I1": [ 3167 ], + "I2": [ 3168 ], + "O": [ 1242 ] + } + }, + "LUT3_e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20014.5-20018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1908 ], + "I1": [ 1806 ], + "I2": [ 1772 ], + "O": [ 3169 ] + } + }, + "LUT3_e0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20022.5-20026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3166 ], + "I1": [ 3167 ], + "I2": [ 3168 ], + "O": [ 1246 ] + } + }, + "LUT3_e1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20030.5-20034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1243 ], + "I1": [ 2816 ], + "I2": [ 3170 ], + "O": [ 2818 ] + } + }, + "LUT3_e2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20038.5-20042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2817 ], + "I1": [ 2818 ], + "I2": [ 2816 ], + "O": [ 1230 ] + } + }, + "LUT3_e3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20046.5-20050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2818 ], + "I1": [ 2817 ], + "I2": [ 2816 ], + "O": [ 1232 ] + } + }, + "LUT3_e4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20054.5-20058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3171 ], + "I1": [ 3172 ], + "I2": [ 1287 ], + "O": [ 2819 ] + } + }, + "LUT3_e5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20062.5-20066.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3172 ], + "I1": [ 3173 ], + "I2": [ 3171 ], + "O": [ 1256 ] + } + }, + "LUT3_e6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20070.5-20074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1219 ], + "I1": [ 1245 ], + "I2": [ 1275 ], + "O": [ 2816 ] + } + }, + "LUT3_e7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20078.5-20082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3174 ], + "I1": [ 1219 ], + "I2": [ 1275 ], + "O": [ 1224 ] + } + }, + "LUT3_e8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20086.5-20090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1223 ], + "I1": [ 2823 ], + "I2": [ 1245 ], + "O": [ 2822 ] + } + }, + "LUT3_e9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20094.5-20098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2823 ], + "I1": [ 2822 ], + "I2": [ 3175 ], + "O": [ 1288 ] + } + }, + "LUT3_ea": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20102.5-20106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3176 ], + "I1": [ 2824 ], + "I2": [ 3177 ], + "O": [ 1166 ] + } + }, + "LUT3_eb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20110.5-20114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2829 ], + "I1": [ 481 ], + "I2": [ 990 ], + "O": [ 478 ] + } + }, + "LUT3_ec": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20118.5-20122.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1576 ], + "I1": [ 919 ], + "I2": [ 1578 ], + "O": [ 1543 ] + } + }, + "LUT3_ed": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20126.5-20130.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3178 ], + "I1": [ 1580 ], + "I2": [ 1036 ], + "O": [ 1318 ] + } + }, + "LUT3_ee": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20134.5-20138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1616 ], + "I1": [ 1311 ], + "I2": [ 3179 ], + "O": [ 3180 ] + } + }, + "LUT3_ef": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20142.5-20146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1311 ], + "I1": [ 3180 ], + "I2": [ 1616 ], + "O": [ 1320 ] + } + }, + "LUT3_f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20150.5-20154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1908 ], + "I1": [ 1806 ], + "I2": [ 1772 ], + "O": [ 3181 ] + } + }, + "LUT3_f0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20158.5-20162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3182 ], + "I1": [ 3183 ], + "I2": [ 1325 ], + "O": [ 1388 ] + } + }, + "LUT3_f1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20166.5-20170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2839 ], + "I1": [ 1305 ], + "I2": [ 1415 ], + "O": [ 2840 ] + } + }, + "LUT3_f2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20174.5-20178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2839 ], + "I1": [ 2840 ], + "I2": [ 3184 ], + "O": [ 1298 ] + } + }, + "LUT3_f3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20182.5-20186.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3185 ], + "I1": [ 1339 ], + "I2": [ 1331 ], + "O": [ 1344 ] + } + }, + "LUT3_f4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20190.5-20194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2843 ], + "I1": [ 3186 ], + "I2": [ 2361 ], + "O": [ 1358 ] + } + }, + "LUT3_f5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20198.5-20202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1419 ], + "I1": [ 2844 ], + "I2": [ 3187 ], + "O": [ 3188 ] + } + }, + "LUT3_f6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20206.5-20210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1341 ], + "I1": [ 1393 ], + "I2": [ 1365 ], + "O": [ 3189 ] + } + }, + "LUT3_f7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20214.5-20218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3190 ], + "I1": [ 3191 ], + "I2": [ 1395 ], + "O": [ 1372 ] + } + }, + "LUT3_f8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20222.5-20226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2846 ], + "I1": [ 2847 ], + "I2": [ 3190 ], + "O": [ 3192 ] + } + }, + "LUT3_f9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20230.5-20234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3193 ], + "I1": [ 1391 ], + "I2": [ 3189 ], + "O": [ 3194 ] + } + }, + "LUT3_fa": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20238.5-20242.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1389 ], + "I1": [ 3195 ], + "I2": [ 1369 ], + "O": [ 3196 ] + } + }, + "LUT3_fb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20246.5-20250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1369 ], + "I1": [ 2851 ], + "I2": [ 1385 ], + "O": [ 1376 ] + } + }, + "LUT3_fc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20254.5-20258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 1423 ], + "I2": [ 1403 ], + "O": [ 3197 ] + } + }, + "LUT3_fd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20262.5-20266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 3198 ], + "I1": [ 1009 ], + "I2": [ 1313 ], + "O": [ 3199 ] + } + }, + "LUT3_fe": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20270.5-20274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1421 ], + "I1": [ 2855 ], + "I2": [ 1313 ], + "O": [ 3200 ] + } + }, + "LUT3_ff": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20278.5-20282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2855 ], + "I1": [ 1421 ], + "I2": [ 3200 ], + "O": [ 1008 ] + } + }, + "LUT4_0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20286.5-20291.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 235 ], + "I1": [ 347 ], + "I2": [ 851 ], + "I3": [ 343 ], + "O": [ 3201 ] + } + }, + "LUT4_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20295.5-20300.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3202 ], + "I1": [ 3203 ], + "I2": [ 851 ], + "I3": [ 2330 ], + "O": [ 3204 ] + } + }, + "LUT4_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20304.5-20309.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2160 ], + "I1": [ 2380 ], + "I2": [ 593 ], + "I3": [ 1602 ], + "O": [ 2870 ] + } + }, + "LUT4_100": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20313.5-20318.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 2852 ], + "I2": [ 1345 ], + "I3": [ 1053 ], + "O": [ 1014 ] + } + }, + "LUT4_101": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20322.5-20327.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 2336 ], + "I2": [ 1005 ], + "I3": [ 1451 ], + "O": [ 3205 ] + } + }, + "LUT4_102": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20331.5-20336.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 961 ], + "I1": [ 2338 ], + "I2": [ 3206 ], + "I3": [ 2865 ], + "O": [ 970 ] + } + }, + "LUT4_103": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20340.5-20345.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 953 ], + "I1": [ 977 ], + "I2": [ 1435 ], + "I3": [ 957 ], + "O": [ 3207 ] + } + }, + "LUT4_104": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20349.5-20354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 975 ], + "I1": [ 2340 ], + "I2": [ 2866 ], + "I3": [ 953 ], + "O": [ 936 ] + } + }, + "LUT4_105": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20358.5-20363.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3207 ], + "I1": [ 3208 ], + "I2": [ 959 ], + "I3": [ 1435 ], + "O": [ 3209 ] + } + }, + "LUT4_106": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20367.5-20372.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2341 ], + "I1": [ 959 ], + "I2": [ 977 ], + "I3": [ 3209 ], + "O": [ 950 ] + } + }, + "LUT4_107": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20376.5-20381.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 977 ], + "I1": [ 1405 ], + "I2": [ 945 ], + "I3": [ 957 ], + "O": [ 2342 ] + } + }, + "LUT4_108": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20385.5-20390.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2342 ], + "I1": [ 945 ], + "I2": [ 977 ], + "I3": [ 2868 ], + "O": [ 972 ] + } + }, + "LUT4_109": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20394.5-20399.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 985 ], + "I1": [ 973 ], + "I2": [ 969 ], + "I3": [ 3210 ], + "O": [ 976 ] + } + }, + "LUT4_10a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20403.5-20408.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 985 ], + "I1": [ 973 ], + "I2": [ 969 ], + "I3": [ 3210 ], + "O": [ 3211 ] + } + }, + "LUT4_10b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20412.5-20417.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2347 ], + "I1": [ 937 ], + "I2": [ 923 ], + "I3": [ 939 ], + "O": [ 3212 ] + } + }, + "LUT4_10c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20421.5-20426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 931 ], + "I1": [ 2351 ], + "I2": [ 927 ], + "I3": [ 3213 ], + "O": [ 958 ] + } + }, + "LUT4_10d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20430.5-20435.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2351 ], + "I1": [ 927 ], + "I2": [ 3213 ], + "I3": [ 931 ], + "O": [ 942 ] + } + }, + "LUT4_10e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20439.5-20444.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 951 ], + "I1": [ 965 ], + "I2": [ 949 ], + "I3": [ 963 ], + "O": [ 2356 ] + } + }, + "LUT4_10f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20448.5-20453.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2873 ], + "I1": [ 2872 ], + "I2": [ 2357 ], + "I3": [ 951 ], + "O": [ 1462 ] + } + }, + "LUT4_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20457.5-20462.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 593 ], + "I1": [ 1602 ], + "I2": [ 2380 ], + "I3": [ 2160 ], + "O": [ 3214 ] + } + }, + "LUT4_110": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20466.5-20471.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2358 ], + "I1": [ 2360 ], + "I2": [ 1459 ], + "I3": [ 2359 ], + "O": [ 966 ] + } + }, + "LUT4_111": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20475.5-20480.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2874 ], + "I1": [ 2361 ], + "I2": [ 1319 ], + "I3": [ 3215 ], + "O": [ 1308 ] + } + }, + "LUT4_112": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20484.5-20489.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2874 ], + "I1": [ 2361 ], + "I2": [ 1319 ], + "I3": [ 3215 ], + "O": [ 1312 ] + } + }, + "LUT4_113": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20493.5-20498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1319 ], + "I1": [ 1317 ], + "I2": [ 2361 ], + "I3": [ 2362 ], + "O": [ 1314 ] + } + }, + "LUT4_114": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20502.5-20507.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1543 ], + "I1": [ 1617 ], + "I2": [ 1553 ], + "I3": [ 1539 ], + "O": [ 994 ] + } + }, + "LUT4_115": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20511.5-20516.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1553 ], + "I1": [ 1543 ], + "I2": [ 1617 ], + "I3": [ 1539 ], + "O": [ 1052 ] + } + }, + "LUT4_116": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20520.5-20525.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1075 ], + "I1": [ 2364 ], + "I2": [ 2370 ], + "I3": [ 1083 ], + "O": [ 3216 ] + } + }, + "LUT4_117": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20529.5-20534.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2365 ], + "I1": [ 2364 ], + "I2": [ 2366 ], + "I3": [ 2363 ], + "O": [ 2368 ] + } + }, + "LUT4_118": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20538.5-20543.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2365 ], + "I1": [ 2366 ], + "I2": [ 2364 ], + "I3": [ 2363 ], + "O": [ 2369 ] + } + }, + "LUT4_119": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20547.5-20552.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1075 ], + "I1": [ 2875 ], + "I2": [ 2370 ], + "I3": [ 1083 ], + "O": [ 3217 ] + } + }, + "LUT4_11a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20556.5-20561.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 1083 ], + "I2": [ 1075 ], + "I3": [ 2875 ], + "O": [ 2366 ] + } + }, + "LUT4_11b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20565.5-20570.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2876 ], + "I1": [ 3218 ], + "I2": [ 1660 ], + "I3": [ 1690 ], + "O": [ 1683 ] + } + }, + "LUT4_11c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20574.5-20579.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2876 ], + "I1": [ 2877 ], + "I2": [ 2878 ], + "I3": [ 3219 ], + "O": [ 1657 ] + } + }, + "LUT4_11d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20583.5-20588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3220 ], + "I1": [ 3221 ], + "I2": [ 3222 ], + "I3": [ 3223 ], + "O": [ 1643 ] + } + }, + "LUT4_11e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20592.5-20597.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 2879 ], + "I2": [ 2883 ], + "I3": [ 2880 ], + "O": [ 3224 ] + } + }, + "LUT4_11f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20601.5-20606.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2884 ], + "I1": [ 1664 ], + "I2": [ 3224 ], + "I3": [ 2885 ], + "O": [ 1639 ] + } + }, + "LUT4_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20610.5-20615.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1738 ], + "I1": [ 2401 ], + "I2": [ 3225 ], + "I3": [ 1982 ], + "O": [ 2400 ] + } + }, + "LUT4_120": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20619.5-20624.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2884 ], + "I1": [ 1664 ], + "I2": [ 3224 ], + "I3": [ 2885 ], + "O": [ 1102 ] + } + }, + "LUT4_121": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20628.5-20633.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2886 ], + "I1": [ 2887 ], + "I2": [ 1644 ], + "I3": [ 1698 ], + "O": [ 1649 ] + } + }, + "LUT4_122": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20637.5-20642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1694 ], + "I1": [ 3226 ], + "I2": [ 3227 ], + "I3": [ 3228 ], + "O": [ 3229 ] + } + }, + "LUT4_123": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20646.5-20651.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1654 ], + "I1": [ 1668 ], + "I2": [ 1696 ], + "I3": [ 1101 ], + "O": [ 2379 ] + } + }, + "LUT4_124": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20655.5-20660.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1101 ], + "I1": [ 1696 ], + "I2": [ 1121 ], + "I3": [ 1654 ], + "O": [ 3230 ] + } + }, + "LUT4_125": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20664.5-20669.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3231 ], + "I1": [ 1648 ], + "I2": [ 3232 ], + "I3": [ 1113 ], + "O": [ 1108 ] + } + }, + "LUT4_126": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20673.5-20678.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 1097 ], + "I2": [ 2890 ], + "I3": [ 3233 ], + "O": [ 1062 ] + } + }, + "LUT4_127": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20682.5-20687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1734 ], + "I1": [ 1712 ], + "I2": [ 1730 ], + "I3": [ 1680 ], + "O": [ 3234 ] + } + }, + "LUT4_128": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20691.5-20696.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2387 ], + "I1": [ 2386 ], + "I2": [ 2385 ], + "I3": [ 3235 ], + "O": [ 1763 ] + } + }, + "LUT4_129": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20700.5-20705.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2386 ], + "I1": [ 2389 ], + "I2": [ 2390 ], + "I3": [ 1770 ], + "O": [ 1741 ] + } + }, + "LUT4_12a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20709.5-20714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2396 ], + "I1": [ 2394 ], + "I2": [ 1754 ], + "I3": [ 2395 ], + "O": [ 1767 ] + } + }, + "LUT4_12b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20718.5-20723.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3236 ], + "I1": [ 1748 ], + "I2": [ 1730 ], + "I3": [ 1736 ], + "O": [ 1765 ] + } + }, + "LUT4_12c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20727.5-20732.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1736 ], + "I1": [ 1582 ], + "I2": [ 1756 ], + "I3": [ 1730 ], + "O": [ 3237 ] + } + }, + "LUT4_12d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20736.5-20741.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2402 ], + "I1": [ 1748 ], + "I2": [ 1582 ], + "I3": [ 1758 ], + "O": [ 3238 ] + } + }, + "LUT4_12e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20745.5-20750.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 1756 ], + "I2": [ 2896 ], + "I3": [ 1736 ], + "O": [ 2405 ] + } + }, + "LUT4_12f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20754.5-20759.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2405 ], + "I1": [ 2406 ], + "I2": [ 2897 ], + "I3": [ 1582 ], + "O": [ 1711 ] + } + }, + "LUT4_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20763.5-20768.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1572 ], + "I1": [ 1362 ], + "I2": [ 954 ], + "I3": [ 3239 ], + "O": [ 1022 ] + } + }, + "LUT4_130": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20772.5-20777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2407 ], + "I1": [ 1710 ], + "I2": [ 1714 ], + "I3": [ 2898 ], + "O": [ 1751 ] + } + }, + "LUT4_131": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20781.5-20786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1119 ], + "I1": [ 2900 ], + "I2": [ 1111 ], + "I3": [ 2408 ], + "O": [ 3240 ] + } + }, + "LUT4_132": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20790.5-20795.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2902 ], + "I1": [ 2901 ], + "I2": [ 1746 ], + "I3": [ 1724 ], + "O": [ 2903 ] + } + }, + "LUT4_133": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20799.5-20804.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2901 ], + "I1": [ 2902 ], + "I2": [ 2903 ], + "I3": [ 1746 ], + "O": [ 1701 ] + } + }, + "LUT4_134": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20808.5-20813.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1678 ], + "I1": [ 1115 ], + "I2": [ 1746 ], + "I3": [ 1718 ], + "O": [ 3241 ] + } + }, + "LUT4_135": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20817.5-20822.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1718 ], + "I1": [ 1726 ], + "I2": [ 2409 ], + "I3": [ 2410 ], + "O": [ 3242 ] + } + }, + "LUT4_136": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20826.5-20831.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2412 ], + "I1": [ 1726 ], + "I2": [ 2904 ], + "I3": [ 1718 ], + "O": [ 2411 ] + } + }, + "LUT4_137": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20835.5-20840.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2411 ], + "I1": [ 1726 ], + "I2": [ 2412 ], + "I3": [ 2904 ], + "O": [ 1743 ] + } + }, + "LUT4_138": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20844.5-20849.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2409 ], + "I1": [ 1718 ], + "I2": [ 1726 ], + "I3": [ 2410 ], + "O": [ 2412 ] + } + }, + "LUT4_139": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20853.5-20858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1067 ], + "I1": [ 2415 ], + "I2": [ 1105 ], + "I3": [ 2414 ], + "O": [ 3243 ] + } + }, + "LUT4_13a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20862.5-20867.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1800 ], + "I1": [ 1702 ], + "I2": [ 1700 ], + "I3": [ 1674 ], + "O": [ 3244 ] + } + }, + "LUT4_13b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20871.5-20876.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1700 ], + "I1": [ 2420 ], + "I2": [ 1800 ], + "I3": [ 1702 ], + "O": [ 1677 ] + } + }, + "LUT4_13c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20880.5-20885.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1700 ], + "I1": [ 2420 ], + "I2": [ 1800 ], + "I3": [ 1702 ], + "O": [ 1679 ] + } + }, + "LUT4_13d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20889.5-20894.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2907 ], + "I1": [ 1107 ], + "I2": [ 1620 ], + "I3": [ 2910 ], + "O": [ 1116 ] + } + }, + "LUT4_13e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20898.5-20903.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2909 ], + "I1": [ 1620 ], + "I2": [ 2910 ], + "I3": [ 1107 ], + "O": [ 2422 ] + } + }, + "LUT4_13f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20907.5-20912.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2912 ], + "I1": [ 2363 ], + "I2": [ 2913 ], + "I3": [ 2910 ], + "O": [ 1074 ] + } + }, + "LUT4_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20916.5-20921.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2228 ], + "I1": [ 2175 ], + "I2": [ 3245 ], + "I3": [ 2167 ], + "O": [ 2927 ] + } + }, + "LUT4_140": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20925.5-20930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2424 ], + "I1": [ 2423 ], + "I2": [ 1083 ], + "I3": [ 1107 ], + "O": [ 3246 ] + } + }, + "LUT4_141": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20934.5-20939.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 1107 ], + "I2": [ 3247 ], + "I3": [ 1083 ], + "O": [ 3248 ] + } + }, + "LUT4_142": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20943.5-20948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2914 ], + "I1": [ 2915 ], + "I2": [ 2916 ], + "I3": [ 2427 ], + "O": [ 3249 ] + } + }, + "LUT4_143": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20952.5-20957.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 2427 ], + "I2": [ 2915 ], + "I3": [ 3250 ], + "O": [ 2428 ] + } + }, + "LUT4_144": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20961.5-20966.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2428 ], + "I2": [ 3250 ], + "I3": [ 2915 ], + "O": [ 1112 ] + } + }, + "LUT4_145": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20970.5-20975.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3251 ], + "I1": [ 2919 ], + "I2": [ 1802 ], + "I3": [ 1804 ], + "O": [ 1472 ] + } + }, + "LUT4_146": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20979.5-20984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2004 ], + "I1": [ 372 ], + "I2": [ 2427 ], + "I3": [ 1474 ], + "O": [ 1801 ] + } + }, + "LUT4_147": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20988.5-20993.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2431 ], + "I1": [ 2922 ], + "I2": [ 1774 ], + "I3": [ 1029 ], + "O": [ 1803 ] + } + }, + "LUT4_148": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:20997.5-21002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1820 ], + "I1": [ 2921 ], + "I2": [ 2433 ], + "I3": [ 3252 ], + "O": [ 3251 ] + } + }, + "LUT4_149": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21006.5-21011.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2924 ], + "I1": [ 2925 ], + "I2": [ 1625 ], + "I3": [ 3253 ], + "O": [ 1821 ] + } + }, + "LUT4_14a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21015.5-21020.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1784 ], + "I1": [ 2434 ], + "I2": [ 1027 ], + "I3": [ 2006 ], + "O": [ 2930 ] + } + }, + "LUT4_14b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21024.5-21029.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 2930 ], + "I2": [ 2435 ], + "I3": [ 3254 ], + "O": [ 1813 ] + } + }, + "LUT4_14c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21033.5-21038.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3255 ], + "I1": [ 2931 ], + "I2": [ 2008 ], + "I3": [ 3256 ], + "O": [ 2438 ] + } + }, + "LUT4_14d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21042.5-21047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 1826 ], + "I2": [ 2931 ], + "I3": [ 3255 ], + "O": [ 1817 ] + } + }, + "LUT4_14e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21051.5-21056.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1846 ], + "I1": [ 3257 ], + "I2": [ 1864 ], + "I3": [ 2933 ], + "O": [ 1843 ] + } + }, + "LUT4_14f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21060.5-21065.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1846 ], + "I1": [ 1864 ], + "I2": [ 2933 ], + "I3": [ 3257 ], + "O": [ 1851 ] + } + }, + "LUT4_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21069.5-21074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2510 ], + "I1": [ 2511 ], + "I2": [ 2468 ], + "I3": [ 3258 ], + "O": [ 2508 ] + } + }, + "LUT4_150": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21078.5-21083.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2448 ], + "I1": [ 2449 ], + "I2": [ 3259 ], + "I3": [ 2451 ], + "O": [ 3260 ] + } + }, + "LUT4_151": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21087.5-21092.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2449 ], + "I1": [ 2448 ], + "I2": [ 3259 ], + "I3": [ 2450 ], + "O": [ 3261 ] + } + }, + "LUT4_152": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21096.5-21101.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1491 ], + "I1": [ 1860 ], + "I2": [ 1848 ], + "I3": [ 1818 ], + "O": [ 2449 ] + } + }, + "LUT4_153": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21105.5-21110.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1848 ], + "I2": [ 1491 ], + "I3": [ 3262 ], + "O": [ 3263 ] + } + }, + "LUT4_154": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21114.5-21119.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2935 ], + "I1": [ 2936 ], + "I2": [ 2937 ], + "I3": [ 1854 ], + "O": [ 3264 ] + } + }, + "LUT4_155": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21123.5-21128.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2939 ], + "I1": [ 1854 ], + "I2": [ 3265 ], + "I3": [ 2936 ], + "O": [ 3266 ] + } + }, + "LUT4_156": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21132.5-21137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2936 ], + "I1": [ 2941 ], + "I2": [ 2939 ], + "I3": [ 2940 ], + "O": [ 3267 ] + } + }, + "LUT4_157": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21141.5-21146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2460 ], + "I1": [ 2461 ], + "I2": [ 2458 ], + "I3": [ 1870 ], + "O": [ 1855 ] + } + }, + "LUT4_158": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21150.5-21155.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 3268 ], + "I2": [ 3269 ], + "I3": [ 2946 ], + "O": [ 2462 ] + } + }, + "LUT4_159": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21159.5-21164.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 3268 ], + "I2": [ 3269 ], + "I3": [ 2946 ], + "O": [ 2944 ] + } + }, + "LUT4_15a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21168.5-21173.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1900 ], + "I1": [ 1880 ], + "I2": [ 1818 ], + "I3": [ 1860 ], + "O": [ 3270 ] + } + }, + "LUT4_15b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21177.5-21182.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2946 ], + "I1": [ 1818 ], + "I2": [ 2945 ], + "I3": [ 1880 ], + "O": [ 3271 ] + } + }, + "LUT4_15c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21186.5-21191.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2945 ], + "I1": [ 1880 ], + "I2": [ 2946 ], + "I3": [ 1818 ], + "O": [ 3272 ] + } + }, + "LUT4_15d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21195.5-21200.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1932 ], + "I1": [ 1968 ], + "I2": [ 1910 ], + "I3": [ 2464 ], + "O": [ 3273 ] + } + }, + "LUT4_15e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21204.5-21209.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1968 ], + "I1": [ 2464 ], + "I2": [ 1932 ], + "I3": [ 1910 ], + "O": [ 2465 ] + } + }, + "LUT4_15f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21213.5-21218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1968 ], + "I1": [ 2464 ], + "I2": [ 1932 ], + "I3": [ 1910 ], + "O": [ 3274 ] + } + }, + "LUT4_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21222.5-21227.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 3258 ], + "I2": [ 3275 ], + "I3": [ 2510 ], + "O": [ 2512 ] + } + }, + "LUT4_160": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21231.5-21236.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2464 ], + "I1": [ 2465 ], + "I2": [ 3273 ], + "I3": [ 3274 ], + "O": [ 1969 ] + } + }, + "LUT4_161": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21240.5-21245.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1956 ], + "I1": [ 1906 ], + "I2": [ 1944 ], + "I3": [ 1930 ], + "O": [ 3276 ] + } + }, + "LUT4_162": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21249.5-21254.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3277 ], + "I1": [ 1930 ], + "I2": [ 1944 ], + "I3": [ 1906 ], + "O": [ 3278 ] + } + }, + "LUT4_163": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21258.5-21263.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1906 ], + "I1": [ 2472 ], + "I2": [ 3279 ], + "I3": [ 2473 ], + "O": [ 2948 ] + } + }, + "LUT4_164": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21267.5-21272.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1906 ], + "I1": [ 2472 ], + "I2": [ 3279 ], + "I3": [ 2473 ], + "O": [ 1945 ] + } + }, + "LUT4_165": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21276.5-21281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1944 ], + "I1": [ 1956 ], + "I2": [ 1906 ], + "I3": [ 1910 ], + "O": [ 3279 ] + } + }, + "LUT4_166": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21285.5-21290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2475 ], + "I1": [ 1487 ], + "I2": [ 2954 ], + "I3": [ 1481 ], + "O": [ 3280 ] + } + }, + "LUT4_167": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21294.5-21299.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1487 ], + "I1": [ 1481 ], + "I2": [ 1922 ], + "I3": [ 1489 ], + "O": [ 2475 ] + } + }, + "LUT4_168": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21303.5-21308.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2955 ], + "I1": [ 2957 ], + "I2": [ 2478 ], + "I3": [ 1934 ], + "O": [ 1484 ] + } + }, + "LUT4_169": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21312.5-21317.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1928 ], + "I1": [ 2479 ], + "I2": [ 2480 ], + "I3": [ 3281 ], + "O": [ 2958 ] + } + }, + "LUT4_16a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21321.5-21326.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 2480 ], + "I2": [ 3282 ], + "I3": [ 1928 ], + "O": [ 1911 ] + } + }, + "LUT4_16b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21330.5-21335.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2482 ], + "I1": [ 2479 ], + "I2": [ 1928 ], + "I3": [ 2958 ], + "O": [ 1488 ] + } + }, + "LUT4_16c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21339.5-21344.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1920 ], + "I1": [ 2485 ], + "I2": [ 2961 ], + "I3": [ 2486 ], + "O": [ 1909 ] + } + }, + "LUT4_16d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21348.5-21353.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1962 ], + "I2": [ 1924 ], + "I3": [ 3283 ], + "O": [ 3284 ] + } + }, + "LUT4_16e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21357.5-21362.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2487 ], + "I1": [ 2488 ], + "I2": [ 3285 ], + "I3": [ 2491 ], + "O": [ 1809 ] + } + }, + "LUT4_16f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21366.5-21371.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1904 ], + "I1": [ 1858 ], + "I2": [ 1886 ], + "I3": [ 1884 ], + "O": [ 2962 ] + } + }, + "LUT4_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21375.5-21380.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2512 ], + "I2": [ 3275 ], + "I3": [ 3258 ], + "O": [ 2205 ] + } + }, + "LUT4_170": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21384.5-21389.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 1902 ], + "I2": [ 2962 ], + "I3": [ 2489 ], + "O": [ 2963 ] + } + }, + "LUT4_171": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21393.5-21398.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2492 ], + "I1": [ 2962 ], + "I2": [ 2963 ], + "I3": [ 2491 ], + "O": [ 1927 ] + } + }, + "LUT4_172": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21402.5-21407.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2494 ], + "I1": [ 2495 ], + "I2": [ 1089 ], + "I3": [ 2427 ], + "O": [ 3286 ] + } + }, + "LUT4_173": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21411.5-21416.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3286 ], + "I1": [ 1089 ], + "I2": [ 2494 ], + "I3": [ 2495 ], + "O": [ 1030 ] + } + }, + "LUT4_174": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21420.5-21425.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2494 ], + "I1": [ 2495 ], + "I2": [ 1089 ], + "I3": [ 3286 ], + "O": [ 1026 ] + } + }, + "LUT4_175": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21429.5-21434.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2493 ], + "I1": [ 3287 ], + "I2": [ 3288 ], + "I3": [ 1089 ], + "O": [ 2009 ] + } + }, + "LUT4_176": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21438.5-21443.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2493 ], + "I1": [ 3287 ], + "I2": [ 3288 ], + "I3": [ 1089 ], + "O": [ 1028 ] + } + }, + "LUT4_177": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21447.5-21452.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 2493 ], + "I2": [ 1085 ], + "I3": [ 1035 ], + "O": [ 3288 ] + } + }, + "LUT4_178": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21456.5-21461.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2012 ], + "I1": [ 1473 ], + "I2": [ 3289 ], + "I3": [ 3290 ], + "O": [ 2013 ] + } + }, + "LUT4_179": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21465.5-21470.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2012 ], + "I1": [ 1473 ], + "I2": [ 3289 ], + "I3": [ 3290 ], + "O": [ 2015 ] + } + }, + "LUT4_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21474.5-21479.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2927 ], + "I1": [ 2513 ], + "I2": [ 2249 ], + "I3": [ 2966 ], + "O": [ 2926 ] + } + }, + "LUT4_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21483.5-21488.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2927 ], + "I1": [ 2926 ], + "I2": [ 2513 ], + "I3": [ 2249 ], + "O": [ 2223 ] + } + }, + "LUT4_1a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21492.5-21497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2519 ], + "I1": [ 2175 ], + "I2": [ 2960 ], + "I3": [ 3291 ], + "O": [ 2244 ] + } + }, + "LUT4_1b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21501.5-21506.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2522 ], + "I1": [ 2202 ], + "I2": [ 2521 ], + "I3": [ 2206 ], + "O": [ 2219 ] + } + }, + "LUT4_1c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21510.5-21515.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2521 ], + "I1": [ 2522 ], + "I2": [ 2202 ], + "I3": [ 2206 ], + "O": [ 2217 ] + } + }, + "LUT4_1d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21519.5-21524.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3292 ], + "I1": [ 3293 ], + "I2": [ 2187 ], + "I3": [ 2204 ], + "O": [ 2967 ] + } + }, + "LUT4_1e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21528.5-21533.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2204 ], + "I1": [ 2967 ], + "I2": [ 2187 ], + "I3": [ 3292 ], + "O": [ 2170 ] + } + }, + "LUT4_1f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21537.5-21542.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2187 ], + "I1": [ 2525 ], + "I2": [ 2204 ], + "I3": [ 2224 ], + "O": [ 3294 ] + } + }, + "LUT4_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21546.5-21551.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2858 ], + "I1": [ 408 ], + "I2": [ 1227 ], + "I3": [ 1193 ], + "O": [ 2546 ] + } + }, + "LUT4_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21555.5-21560.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 783 ], + "I1": [ 2971 ], + "I2": [ 2970 ], + "I3": [ 2444 ], + "O": [ 3295 ] + } + }, + "LUT4_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21564.5-21569.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2970 ], + "I1": [ 783 ], + "I2": [ 2971 ], + "I3": [ 3295 ], + "O": [ 2166 ] + } + }, + "LUT4_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21573.5-21578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2526 ], + "I1": [ 2968 ], + "I2": [ 2527 ], + "I3": [ 2183 ], + "O": [ 2975 ] + } + }, + "LUT4_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21582.5-21587.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 2979 ], + "I2": [ 2288 ], + "I3": [ 2268 ], + "O": [ 3296 ] + } + }, + "LUT4_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21591.5-21596.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 2288 ], + "I2": [ 2979 ], + "I3": [ 2530 ], + "O": [ 3297 ] + } + }, + "LUT4_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21600.5-21605.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 2268 ], + "I2": [ 2980 ], + "I3": [ 2979 ], + "O": [ 1226 ] + } + }, + "LUT4_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21609.5-21614.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1261 ], + "I1": [ 1397 ], + "I2": [ 2981 ], + "I3": [ 2531 ], + "O": [ 2983 ] + } + }, + "LUT4_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21618.5-21623.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2983 ], + "I1": [ 2531 ], + "I2": [ 1397 ], + "I3": [ 2981 ], + "O": [ 1192 ] + } + }, + "LUT4_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21627.5-21632.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1329 ], + "I1": [ 345 ], + "I2": [ 3298 ], + "I3": [ 2270 ], + "O": [ 2289 ] + } + }, + "LUT4_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21636.5-21641.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2270 ], + "I1": [ 345 ], + "I2": [ 3298 ], + "I3": [ 1329 ], + "O": [ 3299 ] + } + }, + "LUT4_2a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21645.5-21650.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 345 ], + "I1": [ 2534 ], + "I2": [ 2533 ], + "I3": [ 2568 ], + "O": [ 2537 ] + } + }, + "LUT4_2b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21654.5-21659.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2284 ], + "I1": [ 2266 ], + "I2": [ 2539 ], + "I3": [ 2286 ], + "O": [ 2295 ] + } + }, + "LUT4_2c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21663.5-21668.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2540 ], + "I1": [ 2541 ], + "I2": [ 2266 ], + "I3": [ 2286 ], + "O": [ 2293 ] + } + }, + "LUT4_2d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21672.5-21677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2542 ], + "I1": [ 2544 ], + "I2": [ 2546 ], + "I3": [ 681 ], + "O": [ 2986 ] + } + }, + "LUT4_2e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21681.5-21686.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 2311 ], + "I2": [ 3300 ], + "I3": [ 374 ], + "O": [ 3301 ] + } + }, + "LUT4_2f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21690.5-21695.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2312 ], + "I1": [ 374 ], + "I2": [ 2317 ], + "I3": [ 2548 ], + "O": [ 2314 ] + } + }, + "LUT4_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21699.5-21704.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1990 ], + "I1": [ 3302 ], + "I2": [ 2024 ], + "I3": [ 1023 ], + "O": [ 1941 ] + } + }, + "LUT4_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21708.5-21713.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 2551 ], + "I2": [ 2993 ], + "I3": [ 259 ], + "O": [ 2553 ] + } + }, + "LUT4_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21717.5-21722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2315 ], + "I1": [ 2557 ], + "I2": [ 2317 ], + "I3": [ 259 ], + "O": [ 3303 ] + } + }, + "LUT4_32": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21726.5-21731.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 199 ], + "I1": [ 195 ], + "I2": [ 255 ], + "I3": [ 3304 ], + "O": [ 3305 ] + } + }, + "LUT4_33": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21735.5-21740.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2562 ], + "I1": [ 3306 ], + "I2": [ 2990 ], + "I3": [ 253 ], + "O": [ 2561 ] + } + }, + "LUT4_34": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21744.5-21749.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 195 ], + "I1": [ 251 ], + "I2": [ 253 ], + "I3": [ 2576 ], + "O": [ 2996 ] + } + }, + "LUT4_35": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21753.5-21758.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 195 ], + "I1": [ 251 ], + "I2": [ 253 ], + "I3": [ 2576 ], + "O": [ 2995 ] + } + }, + "LUT4_36": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21762.5-21767.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2565 ], + "I1": [ 2563 ], + "I2": [ 3307 ], + "I3": [ 2567 ], + "O": [ 182 ] + } + }, + "LUT4_37": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21771.5-21776.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2565 ], + "I1": [ 2563 ], + "I2": [ 3307 ], + "I3": [ 2567 ], + "O": [ 176 ] + } + }, + "LUT4_38": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21780.5-21785.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2566 ], + "I1": [ 197 ], + "I2": [ 2563 ], + "I3": [ 2564 ], + "O": [ 3307 ] + } + }, + "LUT4_39": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21789.5-21794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 217 ], + "I1": [ 207 ], + "I2": [ 2569 ], + "I3": [ 189 ], + "O": [ 202 ] + } + }, + "LUT4_3a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21798.5-21803.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 255 ], + "I1": [ 2570 ], + "I2": [ 219 ], + "I3": [ 2576 ], + "O": [ 3308 ] + } + }, + "LUT4_3b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21807.5-21812.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 169 ], + "I1": [ 2572 ], + "I2": [ 2990 ], + "I3": [ 2307 ], + "O": [ 178 ] + } + }, + "LUT4_3c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21816.5-21821.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2575 ], + "I1": [ 2999 ], + "I2": [ 3000 ], + "I3": [ 3309 ], + "O": [ 170 ] + } + }, + "LUT4_3d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21825.5-21830.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2578 ], + "I1": [ 175 ], + "I2": [ 2309 ], + "I3": [ 3310 ], + "O": [ 3001 ] + } + }, + "LUT4_3e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21834.5-21839.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3001 ], + "I1": [ 2579 ], + "I2": [ 2309 ], + "I3": [ 3310 ], + "O": [ 208 ] + } + }, + "LUT4_3f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21843.5-21848.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 311 ], + "I2": [ 3003 ], + "I3": [ 277 ], + "O": [ 3311 ] + } + }, + "LUT4_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21852.5-21857.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1467 ], + "I1": [ 511 ], + "I2": [ 3312 ], + "I3": [ 3313 ], + "O": [ 3314 ] + } + }, + "LUT4_40": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21861.5-21866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3003 ], + "I1": [ 277 ], + "I2": [ 291 ], + "I3": [ 311 ], + "O": [ 3005 ] + } + }, + "LUT4_41": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21870.5-21875.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 3005 ], + "I2": [ 3004 ], + "I3": [ 311 ], + "O": [ 300 ] + } + }, + "LUT4_42": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21879.5-21884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3008 ], + "I1": [ 291 ], + "I2": [ 271 ], + "I3": [ 3007 ], + "O": [ 2584 ] + } + }, + "LUT4_43": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21888.5-21893.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 2589 ], + "I2": [ 263 ], + "I3": [ 3315 ], + "O": [ 3011 ] + } + }, + "LUT4_44": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21897.5-21902.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 297 ], + "I1": [ 2591 ], + "I2": [ 2592 ], + "I3": [ 317 ], + "O": [ 3316 ] + } + }, + "LUT4_45": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21906.5-21911.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 297 ], + "I1": [ 2591 ], + "I2": [ 2592 ], + "I3": [ 317 ], + "O": [ 3317 ] + } + }, + "LUT4_46": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21915.5-21920.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2592 ], + "I1": [ 2591 ], + "I2": [ 3317 ], + "I3": [ 3316 ], + "O": [ 322 ] + } + }, + "LUT4_47": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21924.5-21929.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 2598 ], + "I2": [ 331 ], + "I3": [ 2597 ], + "O": [ 3318 ] + } + }, + "LUT4_48": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21933.5-21938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2600 ], + "I1": [ 2601 ], + "I2": [ 3014 ], + "I3": [ 3012 ], + "O": [ 298 ] + } + }, + "LUT4_49": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21942.5-21947.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2603 ], + "I1": [ 301 ], + "I2": [ 305 ], + "I3": [ 327 ], + "O": [ 278 ] + } + }, + "LUT4_4a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21951.5-21956.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3319 ], + "I1": [ 2603 ], + "I2": [ 305 ], + "I3": [ 287 ], + "O": [ 294 ] + } + }, + "LUT4_4b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21960.5-21965.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2603 ], + "I1": [ 3319 ], + "I2": [ 287 ], + "I3": [ 305 ], + "O": [ 324 ] + } + }, + "LUT4_4c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21969.5-21974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2603 ], + "I1": [ 3319 ], + "I2": [ 287 ], + "I3": [ 305 ], + "O": [ 276 ] + } + }, + "LUT4_4d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21978.5-21983.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 247 ], + "I1": [ 275 ], + "I2": [ 327 ], + "I3": [ 3002 ], + "O": [ 3014 ] + } + }, + "LUT4_4e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21987.5-21992.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3019 ], + "I1": [ 3320 ], + "I2": [ 3017 ], + "I3": [ 3018 ], + "O": [ 272 ] + } + }, + "LUT4_4f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:21996.5-22001.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3019 ], + "I1": [ 3320 ], + "I2": [ 3017 ], + "I3": [ 3018 ], + "O": [ 316 ] + } + }, + "LUT4_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22005.5-22010.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1467 ], + "I1": [ 2596 ], + "I2": [ 3314 ], + "I3": [ 1986 ], + "O": [ 1537 ] + } + }, + "LUT4_50": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22014.5-22019.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3024 ], + "I1": [ 3025 ], + "I2": [ 369 ], + "I3": [ 365 ], + "O": [ 366 ] + } + }, + "LUT4_51": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22023.5-22028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2607 ], + "I1": [ 3027 ], + "I2": [ 2605 ], + "I3": [ 2606 ], + "O": [ 2318 ] + } + }, + "LUT4_52": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22032.5-22037.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 357 ], + "I1": [ 2608 ], + "I2": [ 3029 ], + "I3": [ 3321 ], + "O": [ 3030 ] + } + }, + "LUT4_53": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22041.5-22046.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3030 ], + "I1": [ 2608 ], + "I2": [ 2609 ], + "I3": [ 357 ], + "O": [ 266 ] + } + }, + "LUT4_54": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22050.5-22055.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 363 ], + "I1": [ 3032 ], + "I2": [ 3033 ], + "I3": [ 3322 ], + "O": [ 352 ] + } + }, + "LUT4_55": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22059.5-22064.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 243 ], + "I1": [ 3036 ], + "I2": [ 3034 ], + "I3": [ 3035 ], + "O": [ 2324 ] + } + }, + "LUT4_56": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22068.5-22073.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3323 ], + "I1": [ 2547 ], + "I2": [ 341 ], + "I3": [ 2613 ], + "O": [ 368 ] + } + }, + "LUT4_57": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22077.5-22082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 341 ], + "I2": [ 3323 ], + "I3": [ 2613 ], + "O": [ 3021 ] + } + }, + "LUT4_58": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22086.5-22091.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 341 ], + "I2": [ 3323 ], + "I3": [ 2613 ], + "O": [ 338 ] + } + }, + "LUT4_59": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22095.5-22100.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2551 ], + "I1": [ 341 ], + "I2": [ 239 ], + "I3": [ 2547 ], + "O": [ 3324 ] + } + }, + "LUT4_5a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22104.5-22109.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3325 ], + "I1": [ 613 ], + "I2": [ 3326 ], + "I3": [ 345 ], + "O": [ 200 ] + } + }, + "LUT4_5b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22113.5-22118.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3325 ], + "I1": [ 613 ], + "I2": [ 3326 ], + "I3": [ 345 ], + "O": [ 268 ] + } + }, + "LUT4_5c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22122.5-22127.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3040 ], + "I1": [ 303 ], + "I2": [ 2614 ], + "I3": [ 3327 ], + "O": [ 714 ] + } + }, + "LUT4_5d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22131.5-22136.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3328 ], + "I1": [ 2018 ], + "I2": [ 3329 ], + "I3": [ 3330 ], + "O": [ 2615 ] + } + }, + "LUT4_5e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22140.5-22145.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2626 ], + "I1": [ 1560 ], + "I2": [ 1608 ], + "I3": [ 1594 ], + "O": [ 3047 ] + } + }, + "LUT4_5f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22149.5-22154.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2020 ], + "I1": [ 1596 ], + "I2": [ 3331 ], + "I3": [ 525 ], + "O": [ 2021 ] + } + }, + "LUT4_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22158.5-22163.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2662 ], + "I1": [ 3006 ], + "I2": [ 2989 ], + "I3": [ 2663 ], + "O": [ 1601 ] + } + }, + "LUT4_60": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22167.5-22172.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2020 ], + "I1": [ 1596 ], + "I2": [ 3331 ], + "I3": [ 525 ], + "O": [ 1044 ] + } + }, + "LUT4_61": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22176.5-22181.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2627 ], + "I1": [ 1566 ], + "I2": [ 525 ], + "I3": [ 1992 ], + "O": [ 3332 ] + } + }, + "LUT4_62": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22185.5-22190.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 3049 ], + "I2": [ 2630 ], + "I3": [ 2627 ], + "O": [ 1617 ] + } + }, + "LUT4_63": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22194.5-22199.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 605 ], + "I1": [ 1598 ], + "I2": [ 1600 ], + "I3": [ 1994 ], + "O": [ 600 ] + } + }, + "LUT4_64": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22203.5-22208.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 637 ], + "I1": [ 3333 ], + "I2": [ 3334 ], + "I3": [ 3335 ], + "O": [ 642 ] + } + }, + "LUT4_65": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22212.5-22217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2631 ], + "I1": [ 645 ], + "I2": [ 3336 ], + "I3": [ 2633 ], + "O": [ 640 ] + } + }, + "LUT4_66": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22221.5-22226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2636 ], + "I1": [ 2631 ], + "I2": [ 3337 ], + "I3": [ 604 ], + "O": [ 614 ] + } + }, + "LUT4_67": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22230.5-22235.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1598 ], + "I1": [ 639 ], + "I2": [ 591 ], + "I3": [ 615 ], + "O": [ 3338 ] + } + }, + "LUT4_68": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22239.5-22244.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3053 ], + "I1": [ 1598 ], + "I2": [ 619 ], + "I3": [ 2638 ], + "O": [ 3054 ] + } + }, + "LUT4_69": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22248.5-22253.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3053 ], + "I1": [ 3054 ], + "I2": [ 2638 ], + "I3": [ 619 ], + "O": [ 636 ] + } + }, + "LUT4_6a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22257.5-22262.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3053 ], + "I1": [ 3054 ], + "I2": [ 1598 ], + "I3": [ 2638 ], + "O": [ 630 ] + } + }, + "LUT4_6b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22266.5-22271.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2645 ], + "I1": [ 2646 ], + "I2": [ 3339 ], + "I3": [ 805 ], + "O": [ 584 ] + } + }, + "LUT4_6c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22275.5-22280.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 999 ], + "I2": [ 2648 ], + "I3": [ 611 ], + "O": [ 3340 ] + } + }, + "LUT4_6d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22284.5-22289.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 611 ], + "I2": [ 2648 ], + "I3": [ 999 ], + "O": [ 2651 ] + } + }, + "LUT4_6e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22293.5-22298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2652 ], + "I1": [ 2651 ], + "I2": [ 3056 ], + "I3": [ 3341 ], + "O": [ 634 ] + } + }, + "LUT4_6f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22302.5-22307.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 709 ], + "I1": [ 999 ], + "I2": [ 3051 ], + "I3": [ 604 ], + "O": [ 2638 ] + } + }, + "LUT4_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22311.5-22316.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3057 ], + "I1": [ 2786 ], + "I2": [ 3045 ], + "I3": [ 3044 ], + "O": [ 1989 ] + } + }, + "LUT4_70": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22320.5-22325.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3061 ], + "I1": [ 667 ], + "I2": [ 651 ], + "I3": [ 3342 ], + "O": [ 700 ] + } + }, + "LUT4_71": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22329.5-22334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3066 ], + "I1": [ 3067 ], + "I2": [ 3068 ], + "I3": [ 3072 ], + "O": [ 668 ] + } + }, + "LUT4_72": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22338.5-22343.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3066 ], + "I1": [ 701 ], + "I2": [ 3069 ], + "I3": [ 3072 ], + "O": [ 3071 ] + } + }, + "LUT4_73": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22347.5-22352.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3070 ], + "I1": [ 3071 ], + "I2": [ 3072 ], + "I3": [ 701 ], + "O": [ 3343 ] + } + }, + "LUT4_74": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22356.5-22361.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 649 ], + "I1": [ 3069 ], + "I2": [ 623 ], + "I3": [ 2660 ], + "O": [ 3063 ] + } + }, + "LUT4_75": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22365.5-22370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 649 ], + "I1": [ 3069 ], + "I2": [ 697 ], + "I3": [ 3073 ], + "O": [ 652 ] + } + }, + "LUT4_76": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22374.5-22379.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 703 ], + "I1": [ 3078 ], + "I2": [ 2641 ], + "I3": [ 600 ], + "O": [ 3344 ] + } + }, + "LUT4_77": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22383.5-22388.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 2668 ], + "I2": [ 3345 ], + "I3": [ 3077 ], + "O": [ 712 ] + } + }, + "LUT4_78": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22392.5-22397.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2671 ], + "I1": [ 604 ], + "I2": [ 683 ], + "I3": [ 709 ], + "O": [ 690 ] + } + }, + "LUT4_79": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22401.5-22406.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 683 ], + "I1": [ 2669 ], + "I2": [ 3076 ], + "I3": [ 2670 ], + "O": [ 760 ] + } + }, + "LUT4_7a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22410.5-22415.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2674 ], + "I1": [ 2673 ], + "I2": [ 711 ], + "I3": [ 713 ], + "O": [ 2668 ] + } + }, + "LUT4_7b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22419.5-22424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2673 ], + "I1": [ 711 ], + "I2": [ 2672 ], + "I3": [ 713 ], + "O": [ 796 ] + } + }, + "LUT4_7c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22428.5-22433.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 2678 ], + "I2": [ 683 ], + "I3": [ 3078 ], + "O": [ 3346 ] + } + }, + "LUT4_7d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22437.5-22442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 735 ], + "I2": [ 731 ], + "I3": [ 689 ], + "O": [ 3082 ] + } + }, + "LUT4_7e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22446.5-22451.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3083 ], + "I1": [ 3082 ], + "I2": [ 3347 ], + "I3": [ 3081 ], + "O": [ 3348 ] + } + }, + "LUT4_7f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22455.5-22460.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2681 ], + "I1": [ 751 ], + "I2": [ 3349 ], + "I3": [ 743 ], + "O": [ 756 ] + } + }, + "LUT4_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22464.5-22469.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2763 ], + "I1": [ 2739 ], + "I2": [ 2164 ], + "I3": [ 2092 ], + "O": [ 2157 ] + } + }, + "LUT4_80": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22473.5-22478.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2681 ], + "I1": [ 743 ], + "I2": [ 3349 ], + "I3": [ 751 ], + "O": [ 3350 ] + } + }, + "LUT4_81": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22482.5-22487.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 723 ], + "I1": [ 725 ], + "I2": [ 2683 ], + "I3": [ 3087 ], + "O": [ 742 ] + } + }, + "LUT4_82": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22491.5-22496.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 685 ], + "I1": [ 763 ], + "I2": [ 765 ], + "I3": [ 723 ], + "O": [ 3351 ] + } + }, + "LUT4_83": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22500.5-22505.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 685 ], + "I1": [ 763 ], + "I2": [ 765 ], + "I3": [ 723 ], + "O": [ 3352 ] + } + }, + "LUT4_84": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22509.5-22514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3353 ], + "I1": [ 771 ], + "I2": [ 777 ], + "I3": [ 773 ], + "O": [ 762 ] + } + }, + "LUT4_85": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22518.5-22523.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3353 ], + "I1": [ 771 ], + "I2": [ 777 ], + "I3": [ 773 ], + "O": [ 766 ] + } + }, + "LUT4_86": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22527.5-22532.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 771 ], + "I1": [ 775 ], + "I2": [ 777 ], + "I3": [ 3354 ], + "O": [ 3355 ] + } + }, + "LUT4_87": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22536.5-22541.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 771 ], + "I1": [ 775 ], + "I2": [ 777 ], + "I3": [ 3354 ], + "O": [ 3356 ] + } + }, + "LUT4_88": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22545.5-22550.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 737 ], + "I1": [ 3357 ], + "I2": [ 755 ], + "I3": [ 687 ], + "O": [ 3358 ] + } + }, + "LUT4_89": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22554.5-22559.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2694 ], + "I1": [ 737 ], + "I2": [ 3359 ], + "I3": [ 755 ], + "O": [ 740 ] + } + }, + "LUT4_8a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22563.5-22568.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 721 ], + "I1": [ 3093 ], + "I2": [ 687 ], + "I3": [ 691 ], + "O": [ 3360 ] + } + }, + "LUT4_8b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22572.5-22577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3096 ], + "I1": [ 3094 ], + "I2": [ 721 ], + "I3": [ 687 ], + "O": [ 772 ] + } + }, + "LUT4_8c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22581.5-22586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 737 ], + "I1": [ 3097 ], + "I2": [ 721 ], + "I3": [ 3361 ], + "O": [ 3362 ] + } + }, + "LUT4_8d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22590.5-22595.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2697 ], + "I1": [ 737 ], + "I2": [ 2696 ], + "I3": [ 3362 ], + "O": [ 732 ] + } + }, + "LUT4_8e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22599.5-22604.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1612 ], + "I1": [ 1315 ], + "I2": [ 1545 ], + "I3": [ 2699 ], + "O": [ 3363 ] + } + }, + "LUT4_8f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22608.5-22613.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1315 ], + "I1": [ 793 ], + "I2": [ 795 ], + "I3": [ 789 ], + "O": [ 808 ] + } + }, + "LUT4_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22617.5-22622.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2156 ], + "I1": [ 1636 ], + "I2": [ 1568 ], + "I3": [ 2164 ], + "O": [ 3057 ] + } + }, + "LUT4_90": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22626.5-22631.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 835 ], + "I1": [ 808 ], + "I2": [ 3364 ], + "I3": [ 3098 ], + "O": [ 3100 ] + } + }, + "LUT4_91": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22635.5-22640.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2704 ], + "I1": [ 3100 ], + "I2": [ 2703 ], + "I3": [ 1614 ], + "O": [ 792 ] + } + }, + "LUT4_92": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22644.5-22649.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 867 ], + "I1": [ 819 ], + "I2": [ 823 ], + "I3": [ 869 ], + "O": [ 3365 ] + } + }, + "LUT4_93": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22653.5-22658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2705 ], + "I1": [ 833 ], + "I2": [ 3366 ], + "I3": [ 849 ], + "O": [ 3367 ] + } + }, + "LUT4_94": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22662.5-22667.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 847 ], + "I1": [ 899 ], + "I2": [ 819 ], + "I3": [ 3368 ], + "O": [ 2708 ] + } + }, + "LUT4_95": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22671.5-22676.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 869 ], + "I1": [ 2711 ], + "I2": [ 3369 ], + "I3": [ 2709 ], + "O": [ 3370 ] + } + }, + "LUT4_96": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22680.5-22685.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 869 ], + "I1": [ 2711 ], + "I2": [ 3369 ], + "I3": [ 2709 ], + "O": [ 3371 ] + } + }, + "LUT4_97": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22689.5-22694.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3372 ], + "I1": [ 3373 ], + "I2": [ 2713 ], + "I3": [ 909 ], + "O": [ 826 ] + } + }, + "LUT4_98": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22698.5-22703.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 887 ], + "I1": [ 2713 ], + "I2": [ 3373 ], + "I3": [ 3372 ], + "O": [ 910 ] + } + }, + "LUT4_99": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22707.5-22712.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2716 ], + "I1": [ 2715 ], + "I2": [ 855 ], + "I3": [ 2717 ], + "O": [ 890 ] + } + }, + "LUT4_9a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22716.5-22721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3374 ], + "I1": [ 901 ], + "I2": [ 2716 ], + "I3": [ 881 ], + "O": [ 2719 ] + } + }, + "LUT4_9b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22725.5-22730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2716 ], + "I1": [ 901 ], + "I2": [ 2719 ], + "I3": [ 3374 ], + "O": [ 902 ] + } + }, + "LUT4_9c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22734.5-22739.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 829 ], + "I1": [ 2720 ], + "I2": [ 873 ], + "I3": [ 3375 ], + "O": [ 2722 ] + } + }, + "LUT4_9d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22743.5-22748.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2722 ], + "I1": [ 3103 ], + "I2": [ 2721 ], + "I3": [ 2720 ], + "O": [ 394 ] + } + }, + "LUT4_9e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22752.5-22757.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2724 ], + "I1": [ 2720 ], + "I2": [ 829 ], + "I3": [ 2725 ], + "O": [ 2728 ] + } + }, + "LUT4_9f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22761.5-22766.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2727 ], + "I1": [ 2728 ], + "I2": [ 2726 ], + "I3": [ 2720 ], + "O": [ 894 ] + } + }, + "LUT4_a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22770.5-22775.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 511 ], + "I1": [ 2162 ], + "I2": [ 3091 ], + "I3": [ 3376 ], + "O": [ 2810 ] + } + }, + "LUT4_a0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22779.5-22784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3104 ], + "I1": [ 2731 ], + "I2": [ 2730 ], + "I3": [ 3106 ], + "O": [ 3377 ] + } + }, + "LUT4_a1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22788.5-22793.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3104 ], + "I1": [ 3105 ], + "I2": [ 397 ], + "I3": [ 2730 ], + "O": [ 908 ] + } + }, + "LUT4_a2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22797.5-22802.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3104 ], + "I1": [ 3105 ], + "I2": [ 2730 ], + "I3": [ 397 ], + "O": [ 904 ] + } + }, + "LUT4_a3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22806.5-22811.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3107 ], + "I1": [ 861 ], + "I2": [ 457 ], + "I3": [ 897 ], + "O": [ 3378 ] + } + }, + "LUT4_a4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22815.5-22820.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 853 ], + "I1": [ 3113 ], + "I2": [ 873 ], + "I3": [ 3379 ], + "O": [ 882 ] + } + }, + "LUT4_a5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22824.5-22829.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 435 ], + "I1": [ 899 ], + "I2": [ 2735 ], + "I3": [ 377 ], + "O": [ 2734 ] + } + }, + "LUT4_a6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22833.5-22838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1570 ], + "I1": [ 3116 ], + "I2": [ 419 ], + "I3": [ 439 ], + "O": [ 3380 ] + } + }, + "LUT4_a7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22842.5-22847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3116 ], + "I1": [ 419 ], + "I2": [ 1570 ], + "I3": [ 3380 ], + "O": [ 430 ] + } + }, + "LUT4_a8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22851.5-22856.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 419 ], + "I1": [ 439 ], + "I2": [ 3116 ], + "I3": [ 2740 ], + "O": [ 2738 ] + } + }, + "LUT4_a9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22860.5-22865.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 419 ], + "I1": [ 2738 ], + "I2": [ 439 ], + "I3": [ 3116 ], + "O": [ 384 ] + } + }, + "LUT4_aa": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22869.5-22874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 427 ], + "I1": [ 2740 ], + "I2": [ 3381 ], + "I3": [ 425 ], + "O": [ 3382 ] + } + }, + "LUT4_ab": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22878.5-22883.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3383 ], + "I1": [ 1570 ], + "I2": [ 437 ], + "I3": [ 3384 ], + "O": [ 3385 ] + } + }, + "LUT4_ac": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22887.5-22892.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3383 ], + "I1": [ 1570 ], + "I2": [ 437 ], + "I3": [ 3384 ], + "O": [ 3386 ] + } + }, + "LUT4_ad": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22896.5-22901.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 417 ], + "I1": [ 437 ], + "I2": [ 3384 ], + "I3": [ 3387 ], + "O": [ 2743 ] + } + }, + "LUT4_ae": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22905.5-22910.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2743 ], + "I1": [ 2742 ], + "I2": [ 417 ], + "I3": [ 3384 ], + "O": [ 402 ] + } + }, + "LUT4_af": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22914.5-22919.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 829 ], + "I1": [ 3388 ], + "I2": [ 383 ], + "I3": [ 379 ], + "O": [ 406 ] + } + }, + "LUT4_b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22923.5-22928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2332 ], + "I1": [ 2162 ], + "I2": [ 3389 ], + "I3": [ 1984 ], + "O": [ 2333 ] + } + }, + "LUT4_b0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22932.5-22937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 829 ], + "I1": [ 3388 ], + "I2": [ 383 ], + "I3": [ 379 ], + "O": [ 424 ] + } + }, + "LUT4_b1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22941.5-22946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1543 ], + "I1": [ 2748 ], + "I2": [ 3390 ], + "I3": [ 3122 ], + "O": [ 3121 ] + } + }, + "LUT4_b2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22950.5-22955.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3121 ], + "I1": [ 2749 ], + "I2": [ 1543 ], + "I3": [ 3122 ], + "O": [ 838 ] + } + }, + "LUT4_b3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22959.5-22964.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 1543 ], + "I2": [ 3122 ], + "I3": [ 3390 ], + "O": [ 3391 ] + } + }, + "LUT4_b4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22968.5-22973.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2755 ], + "I1": [ 3128 ], + "I2": [ 3127 ], + "I3": [ 2756 ], + "O": [ 458 ] + } + }, + "LUT4_b5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22977.5-22982.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2755 ], + "I1": [ 3128 ], + "I2": [ 3127 ], + "I3": [ 2756 ], + "O": [ 448 ] + } + }, + "LUT4_b6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22986.5-22991.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 453 ], + "I1": [ 2761 ], + "I2": [ 3132 ], + "I3": [ 461 ], + "O": [ 3392 ] + } + }, + "LUT4_b7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:22995.5-23000.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 453 ], + "I1": [ 2762 ], + "I2": [ 3132 ], + "I3": [ 3393 ], + "O": [ 496 ] + } + }, + "LUT4_b8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23004.5-23009.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2764 ], + "I1": [ 475 ], + "I2": [ 465 ], + "I3": [ 449 ], + "O": [ 494 ] + } + }, + "LUT4_b9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23013.5-23018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 465 ], + "I1": [ 463 ], + "I2": [ 3134 ], + "I3": [ 2765 ], + "O": [ 834 ] + } + }, + "LUT4_ba": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23022.5-23027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 791 ], + "I1": [ 3135 ], + "I2": [ 599 ], + "I3": [ 1574 ], + "O": [ 786 ] + } + }, + "LUT4_bb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23031.5-23036.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1269 ], + "I1": [ 525 ], + "I2": [ 483 ], + "I3": [ 1273 ], + "O": [ 3394 ] + } + }, + "LUT4_bc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23040.5-23045.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1273 ], + "I1": [ 1269 ], + "I2": [ 485 ], + "I3": [ 809 ], + "O": [ 2829 ] + } + }, + "LUT4_bd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23049.5-23054.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 575 ], + "I2": [ 3138 ], + "I3": [ 3395 ], + "O": [ 3396 ] + } + }, + "LUT4_be": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23058.5-23063.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3397 ], + "I1": [ 1127 ], + "I2": [ 557 ], + "I3": [ 3396 ], + "O": [ 1126 ] + } + }, + "LUT4_bf": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23067.5-23072.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 557 ], + "I1": [ 2766 ], + "I2": [ 577 ], + "I3": [ 1127 ], + "O": [ 1132 ] + } + }, + "LUT4_c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23076.5-23081.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 3091 ], + "I2": [ 1984 ], + "I3": [ 2126 ], + "O": [ 2332 ] + } + }, + "LUT4_c0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23085.5-23090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 557 ], + "I1": [ 2766 ], + "I2": [ 577 ], + "I3": [ 1127 ], + "O": [ 1134 ] + } + }, + "LUT4_c1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23094.5-23099.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 515 ], + "I1": [ 527 ], + "I2": [ 573 ], + "I3": [ 513 ], + "O": [ 3398 ] + } + }, + "LUT4_c2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23103.5-23108.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2769 ], + "I1": [ 2770 ], + "I2": [ 533 ], + "I3": [ 555 ], + "O": [ 566 ] + } + }, + "LUT4_c3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23112.5-23117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 513 ], + "I1": [ 1133 ], + "I2": [ 3142 ], + "I3": [ 555 ], + "O": [ 3399 ] + } + }, + "LUT4_c4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23121.5-23126.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 513 ], + "I1": [ 3142 ], + "I2": [ 555 ], + "I3": [ 1133 ], + "O": [ 3400 ] + } + }, + "LUT4_c5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23130.5-23135.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1133 ], + "I1": [ 2771 ], + "I2": [ 3400 ], + "I3": [ 555 ], + "O": [ 1128 ] + } + }, + "LUT4_c6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23139.5-23144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1147 ], + "I1": [ 1149 ], + "I2": [ 1153 ], + "I3": [ 1139 ], + "O": [ 3143 ] + } + }, + "LUT4_c7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23148.5-23153.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1139 ], + "I1": [ 1147 ], + "I2": [ 1153 ], + "I3": [ 1149 ], + "O": [ 3401 ] + } + }, + "LUT4_c8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23157.5-23162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2781 ], + "I1": [ 2774 ], + "I2": [ 2775 ], + "I3": [ 2778 ], + "O": [ 3144 ] + } + }, + "LUT4_c9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23166.5-23171.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2772 ], + "I1": [ 2774 ], + "I2": [ 1149 ], + "I3": [ 2780 ], + "O": [ 1156 ] + } + }, + "LUT4_ca": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23175.5-23180.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1157 ], + "I1": [ 567 ], + "I2": [ 1145 ], + "I3": [ 1179 ], + "O": [ 3148 ] + } + }, + "LUT4_cb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23184.5-23189.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1179 ], + "I1": [ 2788 ], + "I2": [ 567 ], + "I3": [ 3402 ], + "O": [ 2787 ] + } + }, + "LUT4_cc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23193.5-23198.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2789 ], + "I1": [ 531 ], + "I2": [ 551 ], + "I3": [ 553 ], + "O": [ 3403 ] + } + }, + "LUT4_cd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23202.5-23207.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 531 ], + "I1": [ 2790 ], + "I2": [ 2789 ], + "I3": [ 3403 ], + "O": [ 2791 ] + } + }, + "LUT4_ce": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23211.5-23216.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 531 ], + "I1": [ 2790 ], + "I2": [ 2789 ], + "I3": [ 3403 ], + "O": [ 3152 ] + } + }, + "LUT4_cf": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23220.5-23225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2795 ], + "I1": [ 2796 ], + "I2": [ 2797 ], + "I3": [ 543 ], + "O": [ 560 ] + } + }, + "LUT4_d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23229.5-23234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2811 ], + "I1": [ 3109 ], + "I2": [ 3111 ], + "I3": [ 3110 ], + "O": [ 2091 ] + } + }, + "LUT4_d0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23238.5-23243.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2800 ], + "I1": [ 2798 ], + "I2": [ 3154 ], + "I3": [ 2799 ], + "O": [ 1176 ] + } + }, + "LUT4_d1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23247.5-23252.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3155 ], + "I1": [ 2803 ], + "I2": [ 2804 ], + "I3": [ 2802 ], + "O": [ 1190 ] + } + }, + "LUT4_d2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23256.5-23261.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3404 ], + "I1": [ 487 ], + "I2": [ 3405 ], + "I3": [ 1191 ], + "O": [ 532 ] + } + }, + "LUT4_d3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23265.5-23270.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 1191 ], + "I2": [ 3404 ], + "I3": [ 3405 ], + "O": [ 1180 ] + } + }, + "LUT4_d4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23274.5-23279.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3406 ], + "I1": [ 487 ], + "I2": [ 3156 ], + "I3": [ 3405 ], + "O": [ 526 ] + } + }, + "LUT4_d5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23283.5-23288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 3405 ], + "I2": [ 3406 ], + "I3": [ 3156 ], + "O": [ 1160 ] + } + }, + "LUT4_d6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23292.5-23297.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 3405 ], + "I2": [ 3406 ], + "I3": [ 3156 ], + "O": [ 528 ] + } + }, + "LUT4_d7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23301.5-23306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2809 ], + "I1": [ 3161 ], + "I2": [ 3160 ], + "I3": [ 2807 ], + "O": [ 534 ] + } + }, + "LUT4_d8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23310.5-23315.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3160 ], + "I1": [ 3156 ], + "I2": [ 2808 ], + "I3": [ 2807 ], + "O": [ 3407 ] + } + }, + "LUT4_d9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23319.5-23324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2813 ], + "I1": [ 3162 ], + "I2": [ 1205 ], + "I3": [ 3408 ], + "O": [ 1270 ] + } + }, + "LUT4_da": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23328.5-23333.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2813 ], + "I1": [ 1163 ], + "I2": [ 3163 ], + "I3": [ 3409 ], + "O": [ 1248 ] + } + }, + "LUT4_db": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23337.5-23342.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 2813 ], + "I2": [ 1269 ], + "I3": [ 1195 ], + "O": [ 3163 ] + } + }, + "LUT4_dc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23346.5-23351.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3168 ], + "I1": [ 3166 ], + "I2": [ 3167 ], + "I3": [ 2812 ], + "O": [ 1250 ] + } + }, + "LUT4_dd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23355.5-23360.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1283 ], + "I1": [ 1269 ], + "I2": [ 1233 ], + "I3": [ 3410 ], + "O": [ 1200 ] + } + }, + "LUT4_de": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23364.5-23369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3171 ], + "I1": [ 3172 ], + "I2": [ 1287 ], + "I3": [ 1249 ], + "O": [ 3173 ] + } + }, + "LUT4_df": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23373.5-23378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3172 ], + "I1": [ 3173 ], + "I2": [ 3171 ], + "I3": [ 1287 ], + "O": [ 1284 ] + } + }, + "LUT4_e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23382.5-23387.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3181 ], + "I1": [ 1806 ], + "I2": [ 1908 ], + "I3": [ 3169 ], + "O": [ 3136 ] + } + }, + "LUT4_e0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23391.5-23396.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1223 ], + "I1": [ 2823 ], + "I2": [ 1245 ], + "I3": [ 1275 ], + "O": [ 3175 ] + } + }, + "LUT4_e1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23400.5-23405.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2823 ], + "I1": [ 2822 ], + "I2": [ 3175 ], + "I3": [ 1275 ], + "O": [ 1254 ] + } + }, + "LUT4_e2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23409.5-23414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3411 ], + "I1": [ 509 ], + "I2": [ 1213 ], + "I3": [ 3412 ], + "O": [ 3413 ] + } + }, + "LUT4_e3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23418.5-23423.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3411 ], + "I1": [ 509 ], + "I2": [ 1213 ], + "I3": [ 3412 ], + "O": [ 486 ] + } + }, + "LUT4_e4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23427.5-23432.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3176 ], + "I1": [ 2824 ], + "I2": [ 3177 ], + "I3": [ 2825 ], + "O": [ 1210 ] + } + }, + "LUT4_e5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23436.5-23441.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1263 ], + "I1": [ 2828 ], + "I2": [ 2827 ], + "I3": [ 1291 ], + "O": [ 1170 ] + } + }, + "LUT4_e6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23445.5-23450.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2827 ], + "I1": [ 2828 ], + "I2": [ 1291 ], + "I3": [ 1263 ], + "O": [ 1264 ] + } + }, + "LUT4_e7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23454.5-23459.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1309 ], + "I1": [ 2830 ], + "I2": [ 3414 ], + "I3": [ 3415 ], + "O": [ 1322 ] + } + }, + "LUT4_e8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23463.5-23468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1311 ], + "I1": [ 1313 ], + "I2": [ 3180 ], + "I3": [ 1315 ], + "O": [ 1324 ] + } + }, + "LUT4_e9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23472.5-23477.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1311 ], + "I1": [ 3179 ], + "I2": [ 1313 ], + "I3": [ 1315 ], + "O": [ 3414 ] + } + }, + "LUT4_ea": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23481.5-23486.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1327 ], + "I1": [ 1017 ], + "I2": [ 3416 ], + "I3": [ 3417 ], + "O": [ 3418 ] + } + }, + "LUT4_eb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23490.5-23495.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1325 ], + "I1": [ 2838 ], + "I2": [ 3182 ], + "I3": [ 2837 ], + "O": [ 3183 ] + } + }, + "LUT4_ec": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23499.5-23504.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3182 ], + "I1": [ 3183 ], + "I2": [ 1325 ], + "I3": [ 2838 ], + "O": [ 1386 ] + } + }, + "LUT4_ed": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23508.5-23513.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2839 ], + "I1": [ 1305 ], + "I2": [ 1415 ], + "I3": [ 1379 ], + "O": [ 3184 ] + } + }, + "LUT4_ee": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23517.5-23522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3419 ], + "I1": [ 3185 ], + "I2": [ 1331 ], + "I3": [ 1339 ], + "O": [ 1364 ] + } + }, + "LUT4_ef": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23526.5-23531.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3185 ], + "I1": [ 1339 ], + "I2": [ 1331 ], + "I3": [ 3419 ], + "O": [ 1380 ] + } + }, + "LUT4_f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23535.5-23540.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3181 ], + "I1": [ 1806 ], + "I2": [ 1908 ], + "I3": [ 3169 ], + "O": [ 3137 ] + } + }, + "LUT4_f0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23544.5-23549.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2842 ], + "I1": [ 1015 ], + "I2": [ 1355 ], + "I3": [ 3420 ], + "O": [ 1300 ] + } + }, + "LUT4_f1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23553.5-23558.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1307 ], + "I1": [ 1419 ], + "I2": [ 2844 ], + "I3": [ 3187 ], + "O": [ 1336 ] + } + }, + "LUT4_f2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23562.5-23567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1419 ], + "I1": [ 2844 ], + "I2": [ 3187 ], + "I3": [ 3421 ], + "O": [ 1418 ] + } + }, + "LUT4_f3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23571.5-23576.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3422 ], + "I1": [ 3190 ], + "I2": [ 3423 ], + "I3": [ 1351 ], + "O": [ 3424 ] + } + }, + "LUT4_f4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23580.5-23585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3191 ], + "I1": [ 3422 ], + "I2": [ 3190 ], + "I3": [ 1395 ], + "O": [ 1366 ] + } + }, + "LUT4_f5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23589.5-23594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3191 ], + "I1": [ 3422 ], + "I2": [ 3190 ], + "I3": [ 1395 ], + "O": [ 1338 ] + } + }, + "LUT4_f6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23598.5-23603.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2846 ], + "I1": [ 3190 ], + "I2": [ 3192 ], + "I3": [ 2848 ], + "O": [ 1354 ] + } + }, + "LUT4_f7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23607.5-23612.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2846 ], + "I1": [ 3192 ], + "I2": [ 2848 ], + "I3": [ 3190 ], + "O": [ 1378 ] + } + }, + "LUT4_f8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23616.5-23621.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2846 ], + "I1": [ 3192 ], + "I2": [ 2848 ], + "I3": [ 3190 ], + "O": [ 1414 ] + } + }, + "LUT4_f9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23625.5-23630.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1391 ], + "I1": [ 1353 ], + "I2": [ 3194 ], + "I3": [ 3425 ], + "O": [ 3426 ] + } + }, + "LUT4_fa": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23634.5-23639.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1353 ], + "I1": [ 2849 ], + "I2": [ 3426 ], + "I3": [ 3425 ], + "O": [ 1330 ] + } + }, + "LUT4_fb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23643.5-23648.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3193 ], + "I1": [ 1391 ], + "I2": [ 3189 ], + "I3": [ 1353 ], + "O": [ 3425 ] + } + }, + "LUT4_fc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23652.5-23657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 3427 ], + "I1": [ 1389 ], + "I2": [ 1369 ], + "I3": [ 1343 ], + "O": [ 3428 ] + } + }, + "LUT4_fd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23661.5-23666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1385 ], + "I1": [ 2851 ], + "I2": [ 1369 ], + "I3": [ 2850 ], + "O": [ 1334 ] + } + }, + "LUT4_fe": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23670.5-23675.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 3429 ], + "I2": [ 1403 ], + "I3": [ 3430 ], + "O": [ 1400 ] + } + }, + "LUT4_ff": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23679.5-23684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 3429 ], + "I2": [ 1403 ], + "I3": [ 3430 ], + "O": [ 1020 ] + } + }, + "LUT5_0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23688.5-23694.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2297 ], + "I1": [ 348 ], + "I2": [ 345 ], + "I3": [ 443 ], + "I4": [ 2543 ], + "O": [ 850 ] + } + }, + "LUT5_1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23698.5-23704.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 347 ], + "I1": [ 749 ], + "I2": [ 851 ], + "I3": [ 235 ], + "I4": [ 343 ], + "O": [ 2517 ] + } + }, + "LUT5_10": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23708.5-23714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2332 ], + "I1": [ 2162 ], + "I2": [ 3389 ], + "I3": [ 1984 ], + "I4": [ 3092 ], + "O": [ 3111 ] + } + }, + "LUT5_100": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23718.5-23724.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3193 ], + "I1": [ 3189 ], + "I2": [ 1391 ], + "I3": [ 1383 ], + "I4": [ 1353 ], + "O": [ 3431 ] + } + }, + "LUT5_101": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23728.5-23734.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2849 ], + "I1": [ 1353 ], + "I2": [ 3426 ], + "I3": [ 3425 ], + "I4": [ 1391 ], + "O": [ 1348 ] + } + }, + "LUT5_102": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23738.5-23744.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1343 ], + "I1": [ 1385 ], + "I2": [ 1369 ], + "I3": [ 1389 ], + "I4": [ 1365 ], + "O": [ 3427 ] + } + }, + "LUT5_103": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23748.5-23754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1550 ], + "I1": [ 1423 ], + "I2": [ 1053 ], + "I3": [ 1345 ], + "I4": [ 3432 ], + "O": [ 3433 ] + } + }, + "LUT5_104": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23758.5-23764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 1403 ], + "I2": [ 1550 ], + "I3": [ 1423 ], + "I4": [ 1053 ], + "O": [ 3430 ] + } + }, + "LUT5_105": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23768.5-23774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3197 ], + "I1": [ 3430 ], + "I2": [ 1423 ], + "I3": [ 1550 ], + "I4": [ 1403 ], + "O": [ 1016 ] + } + }, + "LUT5_106": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23778.5-23784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 1345 ], + "I2": [ 3430 ], + "I3": [ 1423 ], + "I4": [ 1550 ], + "O": [ 3429 ] + } + }, + "LUT5_107": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23788.5-23794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 3430 ], + "I2": [ 3429 ], + "I3": [ 1403 ], + "I4": [ 1423 ], + "O": [ 1398 ] + } + }, + "LUT5_108": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23798.5-23804.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2854 ], + "I1": [ 3434 ], + "I2": [ 3199 ], + "I3": [ 1009 ], + "I4": [ 1019 ], + "O": [ 1010 ] + } + }, + "LUT5_109": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23808.5-23814.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1013 ], + "I1": [ 1421 ], + "I2": [ 1009 ], + "I3": [ 1313 ], + "I4": [ 1015 ], + "O": [ 3198 ] + } + }, + "LUT5_10a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23818.5-23824.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1005 ], + "I1": [ 1401 ], + "I2": [ 1345 ], + "I3": [ 1345 ], + "I4": [ 1447 ], + "O": [ 2334 ] + } + }, + "LUT5_10b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23828.5-23834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1005 ], + "I1": [ 1401 ], + "I2": [ 1345 ], + "I3": [ 2860 ], + "I4": [ 1345 ], + "O": [ 3435 ] + } + }, + "LUT5_10c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23838.5-23844.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3436 ], + "I1": [ 3437 ], + "I2": [ 1345 ], + "I3": [ 2860 ], + "I4": [ 1005 ], + "O": [ 1422 ] + } + }, + "LUT5_10d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23848.5-23854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 961 ], + "I1": [ 2338 ], + "I2": [ 3206 ], + "I3": [ 2865 ], + "I4": [ 2863 ], + "O": [ 986 ] + } + }, + "LUT5_10e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23858.5-23864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3438 ], + "I1": [ 3439 ], + "I2": [ 979 ], + "I3": [ 953 ], + "I4": [ 3440 ], + "O": [ 1452 ] + } + }, + "LUT5_10f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23868.5-23874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 953 ], + "I1": [ 2866 ], + "I2": [ 975 ], + "I3": [ 959 ], + "I4": [ 3441 ], + "O": [ 2340 ] + } + }, + "LUT5_11": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23878.5-23884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3169 ], + "I1": [ 3181 ], + "I2": [ 1908 ], + "I3": [ 1806 ], + "I4": [ 3442 ], + "O": [ 1498 ] + } + }, + "LUT5_110": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23888.5-23894.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3209 ], + "I1": [ 959 ], + "I2": [ 977 ], + "I3": [ 3207 ], + "I4": [ 1435 ], + "O": [ 2341 ] + } + }, + "LUT5_111": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23898.5-23904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2342 ], + "I1": [ 2868 ], + "I2": [ 977 ], + "I3": [ 945 ], + "I4": [ 3443 ], + "O": [ 1442 ] + } + }, + "LUT5_112": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23908.5-23914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 939 ], + "I1": [ 937 ], + "I2": [ 923 ], + "I3": [ 1439 ], + "I4": [ 967 ], + "O": [ 3444 ] + } + }, + "LUT5_113": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23918.5-23924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 923 ], + "I1": [ 937 ], + "I2": [ 967 ], + "I3": [ 3444 ], + "I4": [ 939 ], + "O": [ 2349 ] + } + }, + "LUT5_114": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23928.5-23934.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 923 ], + "I1": [ 2349 ], + "I2": [ 937 ], + "I3": [ 967 ], + "I4": [ 3444 ], + "O": [ 1460 ] + } + }, + "LUT5_115": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23938.5-23944.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 927 ], + "I1": [ 931 ], + "I2": [ 2351 ], + "I3": [ 3445 ], + "I4": [ 1437 ], + "O": [ 3213 ] + } + }, + "LUT5_116": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23948.5-23954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1433 ], + "I1": [ 1437 ], + "I2": [ 929 ], + "I3": [ 931 ], + "I4": [ 1003 ], + "O": [ 2351 ] + } + }, + "LUT5_117": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23958.5-23964.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2353 ], + "I1": [ 931 ], + "I2": [ 1433 ], + "I3": [ 929 ], + "I4": [ 1437 ], + "O": [ 2871 ] + } + }, + "LUT5_118": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23968.5-23974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3446 ], + "I1": [ 1409 ], + "I2": [ 1399 ], + "I3": [ 1461 ], + "I4": [ 1463 ], + "O": [ 1456 ] + } + }, + "LUT5_119": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23978.5-23984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1463 ], + "I1": [ 1459 ], + "I2": [ 1399 ], + "I3": [ 1409 ], + "I4": [ 3447 ], + "O": [ 3446 ] + } + }, + "LUT5_11a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23988.5-23994.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1035 ], + "I1": [ 1071 ], + "I2": [ 1469 ], + "I3": [ 1027 ], + "I4": [ 1029 ], + "O": [ 2039 ] + } + }, + "LUT5_11b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:23998.5-24004.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 372 ], + "I2": [ 1085 ], + "I3": [ 1089 ], + "I4": [ 1774 ], + "O": [ 1470 ] + } + }, + "LUT5_11c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24008.5-24014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1101 ], + "I1": [ 1638 ], + "I2": [ 1648 ], + "I3": [ 1666 ], + "I4": [ 1650 ], + "O": [ 3448 ] + } + }, + "LUT5_11d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24018.5-24024.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1660 ], + "I1": [ 1690 ], + "I2": [ 1652 ], + "I3": [ 3448 ], + "I4": [ 2878 ], + "O": [ 3449 ] + } + }, + "LUT5_11e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24028.5-24034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3218 ], + "I1": [ 3219 ], + "I2": [ 2878 ], + "I3": [ 1660 ], + "I4": [ 1690 ], + "O": [ 2876 ] + } + }, + "LUT5_11f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24038.5-24044.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2885 ], + "I1": [ 1664 ], + "I2": [ 2880 ], + "I3": [ 2881 ], + "I4": [ 1638 ], + "O": [ 3222 ] + } + }, + "LUT5_12": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24048.5-24054.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2870 ], + "I1": [ 2380 ], + "I2": [ 1602 ], + "I3": [ 2350 ], + "I4": [ 3214 ], + "O": [ 2163 ] + } + }, + "LUT5_120": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24058.5-24064.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 2880 ], + "I2": [ 1664 ], + "I3": [ 2885 ], + "I4": [ 2881 ], + "O": [ 2883 ] + } + }, + "LUT5_121": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24068.5-24074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 2881 ], + "I2": [ 1664 ], + "I3": [ 2885 ], + "I4": [ 2880 ], + "O": [ 2879 ] + } + }, + "LUT5_122": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24078.5-24084.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2373 ], + "I1": [ 1644 ], + "I2": [ 2374 ], + "I3": [ 1698 ], + "I4": [ 1093 ], + "O": [ 3450 ] + } + }, + "LUT5_123": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24088.5-24094.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2373 ], + "I1": [ 1093 ], + "I2": [ 2374 ], + "I3": [ 3451 ], + "I4": [ 3452 ], + "O": [ 1645 ] + } + }, + "LUT5_124": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24098.5-24104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2374 ], + "I1": [ 1093 ], + "I2": [ 2373 ], + "I3": [ 1644 ], + "I4": [ 1698 ], + "O": [ 2886 ] + } + }, + "LUT5_125": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24108.5-24114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2374 ], + "I1": [ 2373 ], + "I2": [ 1698 ], + "I3": [ 1644 ], + "I4": [ 2886 ], + "O": [ 2887 ] + } + }, + "LUT5_126": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24118.5-24124.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1696 ], + "I1": [ 1658 ], + "I2": [ 1103 ], + "I3": [ 1121 ], + "I4": [ 1684 ], + "O": [ 3453 ] + } + }, + "LUT5_127": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24128.5-24134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1658 ], + "I1": [ 1694 ], + "I2": [ 1698 ], + "I3": [ 1103 ], + "I4": [ 3454 ], + "O": [ 2889 ] + } + }, + "LUT5_128": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24138.5-24144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1103 ], + "I1": [ 1658 ], + "I2": [ 1642 ], + "I3": [ 2376 ], + "I4": [ 3455 ], + "O": [ 1096 ] + } + }, + "LUT5_129": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24148.5-24154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1696 ], + "I1": [ 1698 ], + "I2": [ 1648 ], + "I3": [ 1662 ], + "I4": [ 1117 ], + "O": [ 3231 ] + } + }, + "LUT5_12a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24158.5-24164.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3456 ], + "I1": [ 1698 ], + "I2": [ 1097 ], + "I3": [ 1662 ], + "I4": [ 3232 ], + "O": [ 1094 ] + } + }, + "LUT5_12b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24168.5-24174.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1694 ], + "I1": [ 1698 ], + "I2": [ 1097 ], + "I3": [ 1662 ], + "I4": [ 3231 ], + "O": [ 3456 ] + } + }, + "LUT5_12c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24178.5-24184.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3457 ], + "I1": [ 1097 ], + "I2": [ 1662 ], + "I3": [ 1694 ], + "I4": [ 3233 ], + "O": [ 3458 ] + } + }, + "LUT5_12d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24188.5-24194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1097 ], + "I1": [ 3457 ], + "I2": [ 1662 ], + "I3": [ 3233 ], + "I4": [ 1694 ], + "O": [ 2890 ] + } + }, + "LUT5_12e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24198.5-24204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 1097 ], + "I2": [ 3233 ], + "I3": [ 2890 ], + "I4": [ 3457 ], + "O": [ 1098 ] + } + }, + "LUT5_12f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24208.5-24214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1740 ], + "I1": [ 2385 ], + "I2": [ 1752 ], + "I3": [ 3459 ], + "I4": [ 3234 ], + "O": [ 3460 ] + } + }, + "LUT5_13": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24218.5-24224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2859 ], + "I1": [ 1738 ], + "I2": [ 2160 ], + "I3": [ 1982 ], + "I4": [ 2401 ], + "O": [ 3225 ] + } + }, + "LUT5_130": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24228.5-24234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2386 ], + "I1": [ 2385 ], + "I2": [ 3459 ], + "I3": [ 3461 ], + "I4": [ 1770 ], + "O": [ 3235 ] + } + }, + "LUT5_131": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24238.5-24244.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1754 ], + "I1": [ 2391 ], + "I2": [ 1732 ], + "I3": [ 1728 ], + "I4": [ 1744 ], + "O": [ 3462 ] + } + }, + "LUT5_132": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24248.5-24254.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1732 ], + "I1": [ 1728 ], + "I2": [ 1754 ], + "I3": [ 1744 ], + "I4": [ 2391 ], + "O": [ 2394 ] + } + }, + "LUT5_133": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24258.5-24264.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2395 ], + "I1": [ 1754 ], + "I2": [ 2394 ], + "I3": [ 2396 ], + "I4": [ 1732 ], + "O": [ 1725 ] + } + }, + "LUT5_134": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24268.5-24274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3237 ], + "I1": [ 2398 ], + "I2": [ 2399 ], + "I3": [ 1748 ], + "I4": [ 1756 ], + "O": [ 3236 ] + } + }, + "LUT5_135": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24278.5-24284.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2896 ], + "I1": [ 1736 ], + "I2": [ 1756 ], + "I3": [ 1582 ], + "I4": [ 2402 ], + "O": [ 2406 ] + } + }, + "LUT5_136": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24288.5-24294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1710 ], + "I1": [ 1714 ], + "I2": [ 1740 ], + "I3": [ 1728 ], + "I4": [ 1770 ], + "O": [ 3463 ] + } + }, + "LUT5_137": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24298.5-24304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1714 ], + "I1": [ 3464 ], + "I2": [ 1762 ], + "I3": [ 1710 ], + "I4": [ 1740 ], + "O": [ 3465 ] + } + }, + "LUT5_138": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24308.5-24314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2408 ], + "I1": [ 1119 ], + "I2": [ 1111 ], + "I3": [ 3466 ], + "I4": [ 2899 ], + "O": [ 1727 ] + } + }, + "LUT5_139": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24318.5-24324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1746 ], + "I1": [ 1726 ], + "I2": [ 1115 ], + "I3": [ 1718 ], + "I4": [ 1730 ], + "O": [ 2901 ] + } + }, + "LUT5_13a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24328.5-24334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3467 ], + "I1": [ 1678 ], + "I2": [ 1726 ], + "I3": [ 1746 ], + "I4": [ 1718 ], + "O": [ 3468 ] + } + }, + "LUT5_13b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24338.5-24344.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2410 ], + "I1": [ 1718 ], + "I2": [ 2409 ], + "I3": [ 1726 ], + "I4": [ 3469 ], + "O": [ 1721 ] + } + }, + "LUT5_13c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24348.5-24354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1700 ], + "I1": [ 1800 ], + "I2": [ 2418 ], + "I3": [ 3470 ], + "I4": [ 2427 ], + "O": [ 1092 ] + } + }, + "LUT5_13d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24358.5-24364.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2420 ], + "I1": [ 1800 ], + "I2": [ 1702 ], + "I3": [ 1700 ], + "I4": [ 3244 ], + "O": [ 2373 ] + } + }, + "LUT5_13e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24368.5-24374.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1620 ], + "I1": [ 2910 ], + "I2": [ 2909 ], + "I3": [ 1107 ], + "I4": [ 3471 ], + "O": [ 2907 ] + } + }, + "LUT5_13f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24378.5-24384.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2422 ], + "I1": [ 2421 ], + "I2": [ 2908 ], + "I3": [ 3472 ], + "I4": [ 1107 ], + "O": [ 1084 ] + } + }, + "LUT5_14": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24388.5-24394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2401 ], + "I1": [ 2400 ], + "I2": [ 1982 ], + "I3": [ 3225 ], + "I4": [ 1738 ], + "O": [ 988 ] + } + }, + "LUT5_140": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24398.5-24404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2912 ], + "I1": [ 3473 ], + "I2": [ 2363 ], + "I3": [ 2910 ], + "I4": [ 3474 ], + "O": [ 2913 ] + } + }, + "LUT5_141": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24408.5-24414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2913 ], + "I1": [ 2912 ], + "I2": [ 2363 ], + "I3": [ 2910 ], + "I4": [ 3473 ], + "O": [ 1793 ] + } + }, + "LUT5_142": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24418.5-24424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1107 ], + "I1": [ 1582 ], + "I2": [ 1083 ], + "I3": [ 1788 ], + "I4": [ 1063 ], + "O": [ 3247 ] + } + }, + "LUT5_143": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24428.5-24434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1069 ], + "I1": [ 2914 ], + "I2": [ 1083 ], + "I3": [ 2370 ], + "I4": [ 3475 ], + "O": [ 3476 ] + } + }, + "LUT5_144": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24438.5-24444.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2917 ], + "I2": [ 2915 ], + "I3": [ 3249 ], + "I4": [ 2916 ], + "O": [ 1118 ] + } + }, + "LUT5_145": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24448.5-24454.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3250 ], + "I1": [ 2427 ], + "I2": [ 2428 ], + "I3": [ 2915 ], + "I4": [ 2370 ], + "O": [ 1066 ] + } + }, + "LUT5_146": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24458.5-24464.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1774 ], + "I1": [ 1776 ], + "I2": [ 1624 ], + "I3": [ 1473 ], + "I4": [ 1037 ], + "O": [ 2919 ] + } + }, + "LUT5_147": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24468.5-24474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1033 ], + "I1": [ 1778 ], + "I2": [ 1780 ], + "I3": [ 1471 ], + "I4": [ 2042 ], + "O": [ 2431 ] + } + }, + "LUT5_148": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24478.5-24484.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2918 ], + "I1": [ 2429 ], + "I2": [ 1624 ], + "I3": [ 2919 ], + "I4": [ 2431 ], + "O": [ 1775 ] + } + }, + "LUT5_149": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24488.5-24494.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 1820 ], + "I2": [ 1804 ], + "I3": [ 1027 ], + "I4": [ 1782 ], + "O": [ 2921 ] + } + }, + "LUT5_14a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24498.5-24504.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1820 ], + "I1": [ 2431 ], + "I2": [ 1782 ], + "I3": [ 1625 ], + "I4": [ 1033 ], + "O": [ 3477 ] + } + }, + "LUT5_14b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24508.5-24514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2923 ], + "I1": [ 2921 ], + "I2": [ 1820 ], + "I3": [ 3478 ], + "I4": [ 2433 ], + "O": [ 3252 ] + } + }, + "LUT5_14c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24518.5-24524.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1820 ], + "I1": [ 2433 ], + "I2": [ 2921 ], + "I3": [ 3478 ], + "I4": [ 2923 ], + "O": [ 1783 ] + } + }, + "LUT5_14d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24528.5-24534.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2432 ], + "I1": [ 1033 ], + "I2": [ 2431 ], + "I3": [ 1820 ], + "I4": [ 2921 ], + "O": [ 3478 ] + } + }, + "LUT5_14e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24538.5-24544.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2920 ], + "I1": [ 3477 ], + "I2": [ 2919 ], + "I3": [ 1782 ], + "I4": [ 3479 ], + "O": [ 2924 ] + } + }, + "LUT5_14f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24548.5-24554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2924 ], + "I1": [ 3253 ], + "I2": [ 2925 ], + "I3": [ 1625 ], + "I4": [ 3480 ], + "O": [ 1825 ] + } + }, + "LUT5_15": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24558.5-24564.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2179 ], + "I1": [ 921 ], + "I2": [ 1986 ], + "I3": [ 1295 ], + "I4": [ 955 ], + "O": [ 3481 ] + } + }, + "LUT5_150": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24568.5-24574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1071 ], + "I1": [ 1493 ], + "I2": [ 1027 ], + "I3": [ 1784 ], + "I4": [ 1495 ], + "O": [ 3482 ] + } + }, + "LUT5_151": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24578.5-24584.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3482 ], + "I1": [ 3483 ], + "I2": [ 1493 ], + "I3": [ 1071 ], + "I4": [ 1858 ], + "O": [ 2929 ] + } + }, + "LUT5_152": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24588.5-24594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 3484 ], + "I2": [ 2006 ], + "I3": [ 2434 ], + "I4": [ 1784 ], + "O": [ 2918 ] + } + }, + "LUT5_153": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24598.5-24604.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 2006 ], + "I2": [ 2434 ], + "I3": [ 1784 ], + "I4": [ 3484 ], + "O": [ 3254 ] + } + }, + "LUT5_154": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24608.5-24614.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2931 ], + "I1": [ 1826 ], + "I2": [ 2008 ], + "I3": [ 3256 ], + "I4": [ 3485 ], + "O": [ 3486 ] + } + }, + "LUT5_155": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24618.5-24624.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2438 ], + "I1": [ 3255 ], + "I2": [ 2931 ], + "I3": [ 1826 ], + "I4": [ 3486 ], + "O": [ 2437 ] + } + }, + "LUT5_156": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24628.5-24634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3255 ], + "I1": [ 2931 ], + "I2": [ 1826 ], + "I3": [ 2438 ], + "I4": [ 3486 ], + "O": [ 2439 ] + } + }, + "LUT5_157": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24638.5-24644.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2442 ], + "I1": [ 2440 ], + "I2": [ 1493 ], + "I3": [ 2441 ], + "I4": [ 1810 ], + "O": [ 1829 ] + } + }, + "LUT5_158": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24648.5-24654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2440 ], + "I1": [ 2441 ], + "I2": [ 1810 ], + "I3": [ 1493 ], + "I4": [ 1033 ], + "O": [ 2932 ] + } + }, + "LUT5_159": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24658.5-24664.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1071 ], + "I1": [ 2443 ], + "I2": [ 1898 ], + "I3": [ 1822 ], + "I4": [ 3487 ], + "O": [ 2445 ] + } + }, + "LUT5_15a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24668.5-24674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1812 ], + "I1": [ 1818 ], + "I2": [ 1862 ], + "I3": [ 1830 ], + "I4": [ 1898 ], + "O": [ 2458 ] + } + }, + "LUT5_15b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24678.5-24684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1812 ], + "I1": [ 1832 ], + "I2": [ 1866 ], + "I3": [ 1860 ], + "I4": [ 1854 ], + "O": [ 1863 ] + } + }, + "LUT5_15c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24688.5-24694.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1816 ], + "I1": [ 1842 ], + "I2": [ 1872 ], + "I3": [ 1812 ], + "I4": [ 1834 ], + "O": [ 2935 ] + } + }, + "LUT5_15d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24698.5-24704.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2934 ], + "I1": [ 1846 ], + "I2": [ 1896 ], + "I3": [ 2933 ], + "I4": [ 1864 ], + "O": [ 1847 ] + } + }, + "LUT5_15e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24708.5-24714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1864 ], + "I1": [ 2933 ], + "I2": [ 1846 ], + "I3": [ 3488 ], + "I4": [ 1896 ], + "O": [ 3257 ] + } + }, + "LUT5_15f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24718.5-24724.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2936 ], + "I1": [ 2935 ], + "I2": [ 2937 ], + "I3": [ 1854 ], + "I4": [ 2939 ], + "O": [ 3489 ] + } + }, + "LUT5_16": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24728.5-24734.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3490 ], + "I1": [ 2513 ], + "I2": [ 2966 ], + "I3": [ 2520 ], + "I4": [ 2927 ], + "O": [ 2168 ] + } + }, + "LUT5_160": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24738.5-24744.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2935 ], + "I1": [ 2936 ], + "I2": [ 1854 ], + "I3": [ 2939 ], + "I4": [ 1838 ], + "O": [ 3265 ] + } + }, + "LUT5_161": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24748.5-24754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2459 ], + "I1": [ 2458 ], + "I2": [ 2455 ], + "I3": [ 1852 ], + "I4": [ 1844 ], + "O": [ 2942 ] + } + }, + "LUT5_162": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24758.5-24764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 1814 ], + "I2": [ 1860 ], + "I3": [ 1818 ], + "I4": [ 1878 ], + "O": [ 3268 ] + } + }, + "LUT5_163": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24768.5-24774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3269 ], + "I1": [ 3268 ], + "I2": [ 2946 ], + "I3": [ 1838 ], + "I4": [ 1814 ], + "O": [ 3491 ] + } + }, + "LUT5_164": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24778.5-24784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2946 ], + "I1": [ 1880 ], + "I2": [ 1818 ], + "I3": [ 1860 ], + "I4": [ 1900 ], + "O": [ 2945 ] + } + }, + "LUT5_165": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24788.5-24794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3492 ], + "I1": [ 1912 ], + "I2": [ 1966 ], + "I3": [ 2463 ], + "I4": [ 1958 ], + "O": [ 3493 ] + } + }, + "LUT5_166": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24798.5-24804.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2466 ], + "I1": [ 2469 ], + "I2": [ 3492 ], + "I3": [ 3494 ], + "I4": [ 1966 ], + "O": [ 2951 ] + } + }, + "LUT5_167": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24808.5-24814.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3495 ], + "I1": [ 3496 ], + "I2": [ 3278 ], + "I3": [ 1960 ], + "I4": [ 1948 ], + "O": [ 1963 ] + } + }, + "LUT5_168": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24818.5-24824.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1956 ], + "I1": [ 1910 ], + "I2": [ 1930 ], + "I3": [ 1906 ], + "I4": [ 1944 ], + "O": [ 3277 ] + } + }, + "LUT5_169": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24828.5-24834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2472 ], + "I1": [ 1906 ], + "I2": [ 3279 ], + "I3": [ 2473 ], + "I4": [ 2471 ], + "O": [ 1915 ] + } + }, + "LUT5_16a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24838.5-24844.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1906 ], + "I1": [ 1910 ], + "I2": [ 1944 ], + "I3": [ 1956 ], + "I4": [ 1930 ], + "O": [ 2471 ] + } + }, + "LUT5_16b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24848.5-24854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1970 ], + "I1": [ 1916 ], + "I2": [ 1962 ], + "I3": [ 1924 ], + "I4": [ 1972 ], + "O": [ 2479 ] + } + }, + "LUT5_16c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24858.5-24864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2480 ], + "I1": [ 1928 ], + "I2": [ 3281 ], + "I3": [ 2479 ], + "I4": [ 1970 ], + "O": [ 3497 ] + } + }, + "LUT5_16d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24868.5-24874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1920 ], + "I1": [ 2485 ], + "I2": [ 1924 ], + "I3": [ 1962 ], + "I4": [ 1479 ], + "O": [ 3498 ] + } + }, + "LUT5_16e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24878.5-24884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1858 ], + "I1": [ 2487 ], + "I2": [ 3285 ], + "I3": [ 2491 ], + "I4": [ 1886 ], + "O": [ 2488 ] + } + }, + "LUT5_16f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24888.5-24894.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 2488 ], + "I2": [ 3285 ], + "I3": [ 2487 ], + "I4": [ 1858 ], + "O": [ 1931 ] + } + }, + "LUT5_17": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24898.5-24904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2513 ], + "I1": [ 2249 ], + "I2": [ 2966 ], + "I3": [ 2222 ], + "I4": [ 2514 ], + "O": [ 2246 ] + } + }, + "LUT5_170": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24908.5-24914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3499 ], + "I1": [ 1876 ], + "I2": [ 2489 ], + "I3": [ 3500 ], + "I4": [ 2962 ], + "O": [ 3501 ] + } + }, + "LUT5_171": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24918.5-24924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2489 ], + "I1": [ 2962 ], + "I2": [ 2491 ], + "I3": [ 1876 ], + "I4": [ 1902 ], + "O": [ 3499 ] + } + }, + "LUT5_172": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24928.5-24934.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 3502 ], + "I2": [ 2493 ], + "I3": [ 3288 ], + "I4": [ 2965 ], + "O": [ 3287 ] + } + }, + "LUT5_173": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24938.5-24944.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3287 ], + "I1": [ 1089 ], + "I2": [ 2493 ], + "I3": [ 3288 ], + "I4": [ 3502 ], + "O": [ 1024 ] + } + }, + "LUT5_174": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24948.5-24954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2044 ], + "I1": [ 3290 ], + "I2": [ 2012 ], + "I3": [ 1473 ], + "I4": [ 1027 ], + "O": [ 3289 ] + } + }, + "LUT5_175": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24958.5-24964.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2012 ], + "I1": [ 1473 ], + "I2": [ 3290 ], + "I3": [ 3289 ], + "I4": [ 2044 ], + "O": [ 918 ] + } + }, + "LUT5_176": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24968.5-24974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 1505 ], + "I2": [ 1029 ], + "I3": [ 1001 ], + "I4": [ 1545 ], + "O": [ 3503 ] + } + }, + "LUT5_177": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24978.5-24984.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3504 ], + "I1": [ 3505 ], + "I2": [ 597 ], + "I3": [ 3506 ], + "I4": [ 2046 ], + "O": [ 2047 ] + } + }, + "LUT5_178": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24988.5-24994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2499 ], + "I1": [ 2500 ], + "I2": [ 2046 ], + "I3": [ 2050 ], + "I4": [ 3506 ], + "O": [ 2502 ] + } + }, + "LUT5_179": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:24998.5-25004.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3507 ], + "I1": [ 1029 ], + "I2": [ 1001 ], + "I3": [ 1502 ], + "I4": [ 2503 ], + "O": [ 2051 ] + } + }, + "LUT5_18": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25008.5-25014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2247 ], + "I1": [ 2226 ], + "I2": [ 2173 ], + "I3": [ 2230 ], + "I4": [ 2175 ], + "O": [ 3508 ] + } + }, + "LUT5_19": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25018.5-25024.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2519 ], + "I1": [ 2175 ], + "I2": [ 2960 ], + "I3": [ 3508 ], + "I4": [ 2230 ], + "O": [ 3291 ] + } + }, + "LUT5_1a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25028.5-25034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2206 ], + "I1": [ 2202 ], + "I2": [ 2521 ], + "I3": [ 3509 ], + "I4": [ 3293 ], + "O": [ 2522 ] + } + }, + "LUT5_1b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25038.5-25044.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2185 ], + "I1": [ 3293 ], + "I2": [ 2204 ], + "I3": [ 2169 ], + "I4": [ 2187 ], + "O": [ 3510 ] + } + }, + "LUT5_1c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25048.5-25054.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3293 ], + "I1": [ 2187 ], + "I2": [ 2185 ], + "I3": [ 2204 ], + "I4": [ 2169 ], + "O": [ 3292 ] + } + }, + "LUT5_1d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25058.5-25064.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3293 ], + "I1": [ 3292 ], + "I2": [ 2187 ], + "I3": [ 2204 ], + "I4": [ 2185 ], + "O": [ 3511 ] + } + }, + "LUT5_1e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25068.5-25074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3292 ], + "I1": [ 3293 ], + "I2": [ 2204 ], + "I3": [ 2187 ], + "I4": [ 3511 ], + "O": [ 2174 ] + } + }, + "LUT5_1f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25078.5-25084.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2204 ], + "I1": [ 2967 ], + "I2": [ 2187 ], + "I3": [ 3292 ], + "I4": [ 3293 ], + "O": [ 2229 ] + } + }, + "LUT5_2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25088.5-25094.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 749 ], + "I1": [ 2517 ], + "I2": [ 347 ], + "I3": [ 3201 ], + "I4": [ 235 ], + "O": [ 3202 ] + } + }, + "LUT5_20": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25098.5-25104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3512 ], + "I1": [ 2255 ], + "I2": [ 2527 ], + "I3": [ 2894 ], + "I4": [ 2969 ], + "O": [ 1430 ] + } + }, + "LUT5_21": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25108.5-25114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2527 ], + "I1": [ 2526 ], + "I2": [ 2975 ], + "I3": [ 2183 ], + "I4": [ 2968 ], + "O": [ 2186 ] + } + }, + "LUT5_22": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25118.5-25124.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1261 ], + "I1": [ 2531 ], + "I2": [ 511 ], + "I3": [ 2268 ], + "I4": [ 2282 ], + "O": [ 2976 ] + } + }, + "LUT5_23": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25128.5-25134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3513 ], + "I1": [ 2976 ], + "I2": [ 2546 ], + "I3": [ 3514 ], + "I4": [ 3515 ], + "O": [ 2538 ] + } + }, + "LUT5_24": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25138.5-25144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2976 ], + "I1": [ 1329 ], + "I2": [ 1124 ], + "I3": [ 2546 ], + "I4": [ 3514 ], + "O": [ 3516 ] + } + }, + "LUT5_25": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25148.5-25154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1124 ], + "I1": [ 1329 ], + "I2": [ 2546 ], + "I3": [ 2976 ], + "I4": [ 3516 ], + "O": [ 3517 ] + } + }, + "LUT5_26": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25158.5-25164.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 345 ], + "I1": [ 2268 ], + "I2": [ 2294 ], + "I3": [ 2531 ], + "I4": [ 2243 ], + "O": [ 3518 ] + } + }, + "LUT5_27": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25168.5-25174.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3519 ], + "I1": [ 2294 ], + "I2": [ 2532 ], + "I3": [ 2296 ], + "I4": [ 3520 ], + "O": [ 3521 ] + } + }, + "LUT5_28": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25178.5-25184.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3519 ], + "I1": [ 2268 ], + "I2": [ 2294 ], + "I3": [ 345 ], + "I4": [ 3522 ], + "O": [ 3523 ] + } + }, + "LUT5_29": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25188.5-25194.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2268 ], + "I1": [ 2296 ], + "I2": [ 2980 ], + "I3": [ 2979 ], + "I4": [ 2288 ], + "O": [ 544 ] + } + }, + "LUT5_2a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25198.5-25204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2983 ], + "I1": [ 1397 ], + "I2": [ 2531 ], + "I3": [ 2981 ], + "I4": [ 1261 ], + "O": [ 2234 ] + } + }, + "LUT5_2b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25208.5-25214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2270 ], + "I1": [ 345 ], + "I2": [ 1329 ], + "I3": [ 2534 ], + "I4": [ 2568 ], + "O": [ 3298 ] + } + }, + "LUT5_2c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25218.5-25224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2292 ], + "I1": [ 2286 ], + "I2": [ 2284 ], + "I3": [ 2290 ], + "I4": [ 2266 ], + "O": [ 2539 ] + } + }, + "LUT5_2d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25228.5-25234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2286 ], + "I1": [ 2540 ], + "I2": [ 2266 ], + "I3": [ 2541 ], + "I4": [ 2539 ], + "O": [ 2267 ] + } + }, + "LUT5_2e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25238.5-25244.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 349 ], + "I1": [ 681 ], + "I2": [ 2546 ], + "I3": [ 2546 ], + "I4": [ 477 ], + "O": [ 2544 ] + } + }, + "LUT5_2f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25248.5-25254.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 681 ], + "I1": [ 3524 ], + "I2": [ 349 ], + "I3": [ 2546 ], + "I4": [ 2542 ], + "O": [ 3525 ] + } + }, + "LUT5_3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25258.5-25264.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 343 ], + "I1": [ 851 ], + "I2": [ 749 ], + "I3": [ 2517 ], + "I4": [ 2330 ], + "O": [ 3203 ] + } + }, + "LUT5_30": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25268.5-25274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 681 ], + "I1": [ 2544 ], + "I2": [ 2542 ], + "I3": [ 3524 ], + "I4": [ 349 ], + "O": [ 2987 ] + } + }, + "LUT5_31": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25278.5-25284.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 374 ], + "I1": [ 2547 ], + "I2": [ 2548 ], + "I3": [ 3300 ], + "I4": [ 337 ], + "O": [ 2273 ] + } + }, + "LUT5_32": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25288.5-25294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2555 ], + "I1": [ 2993 ], + "I2": [ 2317 ], + "I3": [ 259 ], + "I4": [ 2991 ], + "O": [ 3526 ] + } + }, + "LUT5_33": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25298.5-25304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2551 ], + "I1": [ 2558 ], + "I2": [ 2995 ], + "I3": [ 2996 ], + "I4": [ 3527 ], + "O": [ 254 ] + } + }, + "LUT5_34": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25308.5-25314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2997 ], + "I1": [ 253 ], + "I2": [ 3304 ], + "I3": [ 199 ], + "I4": [ 2990 ], + "O": [ 3528 ] + } + }, + "LUT5_35": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25318.5-25324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2560 ], + "I1": [ 2998 ], + "I2": [ 253 ], + "I3": [ 3304 ], + "I4": [ 3306 ], + "O": [ 3529 ] + } + }, + "LUT5_36": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25328.5-25334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2997 ], + "I1": [ 195 ], + "I2": [ 251 ], + "I3": [ 3529 ], + "I4": [ 3528 ], + "O": [ 192 ] + } + }, + "LUT5_37": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25338.5-25344.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 253 ], + "I1": [ 2990 ], + "I2": [ 2562 ], + "I3": [ 255 ], + "I4": [ 3304 ], + "O": [ 3530 ] + } + }, + "LUT5_38": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25348.5-25354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2561 ], + "I1": [ 2562 ], + "I2": [ 3530 ], + "I3": [ 3304 ], + "I4": [ 255 ], + "O": [ 2304 ] + } + }, + "LUT5_39": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25358.5-25364.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 195 ], + "I1": [ 251 ], + "I2": [ 2576 ], + "I3": [ 253 ], + "I4": [ 2559 ], + "O": [ 3531 ] + } + }, + "LUT5_3a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25368.5-25374.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 217 ], + "I1": [ 207 ], + "I2": [ 189 ], + "I3": [ 233 ], + "I4": [ 3532 ], + "O": [ 2569 ] + } + }, + "LUT5_3b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25378.5-25384.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 221 ], + "I1": [ 255 ], + "I2": [ 219 ], + "I3": [ 2576 ], + "I4": [ 191 ], + "O": [ 2570 ] + } + }, + "LUT5_3c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25388.5-25394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 255 ], + "I1": [ 219 ], + "I2": [ 2576 ], + "I3": [ 2570 ], + "I4": [ 221 ], + "O": [ 3533 ] + } + }, + "LUT5_3d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25398.5-25404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 257 ], + "I1": [ 3534 ], + "I2": [ 2571 ], + "I3": [ 255 ], + "I4": [ 191 ], + "O": [ 2573 ] + } + }, + "LUT5_3e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25408.5-25414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 257 ], + "I1": [ 191 ], + "I2": [ 3534 ], + "I3": [ 2571 ], + "I4": [ 255 ], + "O": [ 2574 ] + } + }, + "LUT5_3f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25418.5-25424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3000 ], + "I1": [ 221 ], + "I2": [ 3309 ], + "I3": [ 2575 ], + "I4": [ 2576 ], + "O": [ 2999 ] + } + }, + "LUT5_4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25428.5-25434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1990 ], + "I1": [ 2058 ], + "I2": [ 681 ], + "I3": [ 1499 ], + "I4": [ 1840 ], + "O": [ 3535 ] + } + }, + "LUT5_40": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25438.5-25444.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2999 ], + "I1": [ 3000 ], + "I2": [ 2575 ], + "I3": [ 3309 ], + "I4": [ 221 ], + "O": [ 216 ] + } + }, + "LUT5_41": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25448.5-25454.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2309 ], + "I1": [ 3310 ], + "I2": [ 203 ], + "I3": [ 175 ], + "I4": [ 2578 ], + "O": [ 3536 ] + } + }, + "LUT5_42": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25458.5-25464.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3001 ], + "I1": [ 2309 ], + "I2": [ 2579 ], + "I3": [ 3310 ], + "I4": [ 2578 ], + "O": [ 232 ] + } + }, + "LUT5_43": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25468.5-25474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3315 ], + "I1": [ 2581 ], + "I2": [ 2580 ], + "I3": [ 3537 ], + "I4": [ 307 ], + "O": [ 306 ] + } + }, + "LUT5_44": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25478.5-25484.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2580 ], + "I1": [ 2581 ], + "I2": [ 3315 ], + "I3": [ 3537 ], + "I4": [ 307 ], + "O": [ 2583 ] + } + }, + "LUT5_45": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25488.5-25494.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3538 ], + "I1": [ 271 ], + "I2": [ 267 ], + "I3": [ 299 ], + "I4": [ 311 ], + "O": [ 3539 ] + } + }, + "LUT5_46": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25498.5-25504.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 3008 ], + "I2": [ 311 ], + "I3": [ 271 ], + "I4": [ 3540 ], + "O": [ 3007 ] + } + }, + "LUT5_47": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25508.5-25514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2587 ], + "I1": [ 3011 ], + "I2": [ 2586 ], + "I3": [ 2590 ], + "I4": [ 3541 ], + "O": [ 3542 ] + } + }, + "LUT5_48": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25518.5-25524.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2586 ], + "I1": [ 3011 ], + "I2": [ 2587 ], + "I3": [ 3542 ], + "I4": [ 2590 ], + "O": [ 264 ] + } + }, + "LUT5_49": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25528.5-25534.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2589 ], + "I1": [ 3315 ], + "I2": [ 263 ], + "I3": [ 291 ], + "I4": [ 273 ], + "O": [ 2587 ] + } + }, + "LUT5_4a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25538.5-25544.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 317 ], + "I1": [ 331 ], + "I2": [ 245 ], + "I3": [ 279 ], + "I4": [ 325 ], + "O": [ 3543 ] + } + }, + "LUT5_4b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25548.5-25554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2592 ], + "I1": [ 2593 ], + "I2": [ 2594 ], + "I3": [ 297 ], + "I4": [ 2591 ], + "O": [ 326 ] + } + }, + "LUT5_4c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25558.5-25564.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2599 ], + "I1": [ 3544 ], + "I2": [ 245 ], + "I3": [ 2598 ], + "I4": [ 331 ], + "O": [ 304 ] + } + }, + "LUT5_4d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25568.5-25574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 331 ], + "I1": [ 245 ], + "I2": [ 2598 ], + "I3": [ 3544 ], + "I4": [ 297 ], + "O": [ 2597 ] + } + }, + "LUT5_4e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25578.5-25584.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2600 ], + "I1": [ 2601 ], + "I2": [ 3014 ], + "I3": [ 3545 ], + "I4": [ 327 ], + "O": [ 3012 ] + } + }, + "LUT5_4f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25588.5-25594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 287 ], + "I1": [ 327 ], + "I2": [ 301 ], + "I3": [ 305 ], + "I4": [ 3014 ], + "O": [ 2603 ] + } + }, + "LUT5_5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25598.5-25604.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 511 ], + "I1": [ 2024 ], + "I2": [ 1057 ], + "I3": [ 1467 ], + "I4": [ 1986 ], + "O": [ 3313 ] + } + }, + "LUT5_50": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25608.5-25614.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 287 ], + "I1": [ 305 ], + "I2": [ 2603 ], + "I3": [ 327 ], + "I4": [ 301 ], + "O": [ 3319 ] + } + }, + "LUT5_51": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25618.5-25624.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 327 ], + "I1": [ 3002 ], + "I2": [ 247 ], + "I3": [ 275 ], + "I4": [ 287 ], + "O": [ 2601 ] + } + }, + "LUT5_52": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25628.5-25634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3018 ], + "I1": [ 3017 ], + "I2": [ 3019 ], + "I3": [ 3320 ], + "I4": [ 2604 ], + "O": [ 270 ] + } + }, + "LUT5_53": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25638.5-25644.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 369 ], + "I1": [ 365 ], + "I2": [ 355 ], + "I3": [ 339 ], + "I4": [ 353 ], + "O": [ 3024 ] + } + }, + "LUT5_54": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25648.5-25654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 369 ], + "I1": [ 365 ], + "I2": [ 3024 ], + "I3": [ 355 ], + "I4": [ 339 ], + "O": [ 3025 ] + } + }, + "LUT5_55": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25658.5-25664.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 265 ], + "I1": [ 2307 ], + "I2": [ 2299 ], + "I3": [ 359 ], + "I4": [ 367 ], + "O": [ 3029 ] + } + }, + "LUT5_56": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25668.5-25674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 363 ], + "I1": [ 243 ], + "I2": [ 341 ], + "I3": [ 2547 ], + "I4": [ 3546 ], + "O": [ 3033 ] + } + }, + "LUT5_57": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25678.5-25684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 363 ], + "I1": [ 3547 ], + "I2": [ 3548 ], + "I3": [ 3322 ], + "I4": [ 243 ], + "O": [ 3032 ] + } + }, + "LUT5_58": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25688.5-25694.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 363 ], + "I1": [ 3549 ], + "I2": [ 3322 ], + "I3": [ 3548 ], + "I4": [ 3547 ], + "O": [ 3550 ] + } + }, + "LUT5_59": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25698.5-25704.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3551 ], + "I1": [ 243 ], + "I2": [ 363 ], + "I3": [ 3035 ], + "I4": [ 3548 ], + "O": [ 2302 ] + } + }, + "LUT5_5a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25708.5-25714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 243 ], + "I1": [ 3035 ], + "I2": [ 3034 ], + "I3": [ 3548 ], + "I4": [ 363 ], + "O": [ 3551 ] + } + }, + "LUT5_5b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25718.5-25724.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 341 ], + "I2": [ 3324 ], + "I3": [ 3037 ], + "I4": [ 239 ], + "O": [ 3323 ] + } + }, + "LUT5_5c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25728.5-25734.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 593 ], + "I1": [ 345 ], + "I2": [ 613 ], + "I3": [ 477 ], + "I4": [ 2543 ], + "O": [ 3326 ] + } + }, + "LUT5_5d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25738.5-25744.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 613 ], + "I1": [ 3326 ], + "I2": [ 3552 ], + "I3": [ 593 ], + "I4": [ 3553 ], + "O": [ 816 ] + } + }, + "LUT5_5e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25748.5-25754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2018 ], + "I1": [ 347 ], + "I2": [ 2054 ], + "I3": [ 1590 ], + "I4": [ 303 ], + "O": [ 3554 ] + } + }, + "LUT5_5f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25758.5-25764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 1590 ], + "I2": [ 303 ], + "I3": [ 1039 ], + "I4": [ 2056 ], + "O": [ 3330 ] + } + }, + "LUT5_6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25768.5-25774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1023 ], + "I1": [ 511 ], + "I2": [ 2024 ], + "I3": [ 2617 ], + "I4": [ 1990 ], + "O": [ 3302 ] + } + }, + "LUT5_60": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25778.5-25784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 303 ], + "I1": [ 2614 ], + "I2": [ 3038 ], + "I3": [ 3327 ], + "I4": [ 3555 ], + "O": [ 3040 ] + } + }, + "LUT5_61": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25788.5-25794.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 303 ], + "I1": [ 3040 ], + "I2": [ 2614 ], + "I3": [ 3327 ], + "I4": [ 3038 ], + "O": [ 612 ] + } + }, + "LUT5_62": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25798.5-25804.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1039 ], + "I1": [ 3041 ], + "I2": [ 3556 ], + "I3": [ 2054 ], + "I4": [ 347 ], + "O": [ 3557 ] + } + }, + "LUT5_63": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25808.5-25814.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3558 ], + "I1": [ 3041 ], + "I2": [ 1039 ], + "I3": [ 2619 ], + "I4": [ 201 ], + "O": [ 3559 ] + } + }, + "LUT5_64": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25818.5-25824.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2619 ], + "I1": [ 201 ], + "I2": [ 3558 ], + "I3": [ 1039 ], + "I4": [ 3559 ], + "O": [ 2620 ] + } + }, + "LUT5_65": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25828.5-25834.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2620 ], + "I1": [ 2619 ], + "I2": [ 1039 ], + "I3": [ 201 ], + "I4": [ 3558 ], + "O": [ 3560 ] + } + }, + "LUT5_66": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25838.5-25844.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2619 ], + "I1": [ 3041 ], + "I2": [ 201 ], + "I3": [ 3561 ], + "I4": [ 3558 ], + "O": [ 1561 ] + } + }, + "LUT5_67": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25848.5-25854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 1560 ], + "I2": [ 1610 ], + "I3": [ 1594 ], + "I4": [ 1996 ], + "O": [ 596 ] + } + }, + "LUT5_68": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25858.5-25864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1560 ], + "I1": [ 3046 ], + "I2": [ 1998 ], + "I3": [ 3562 ], + "I4": [ 1610 ], + "O": [ 3563 ] + } + }, + "LUT5_69": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25868.5-25874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 525 ], + "I1": [ 1041 ], + "I2": [ 2020 ], + "I3": [ 1596 ], + "I4": [ 2000 ], + "O": [ 3331 ] + } + }, + "LUT5_6a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25878.5-25884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2020 ], + "I1": [ 3331 ], + "I2": [ 1596 ], + "I3": [ 525 ], + "I4": [ 1041 ], + "O": [ 1038 ] + } + }, + "LUT5_6b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25888.5-25894.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 525 ], + "I1": [ 2630 ], + "I2": [ 2627 ], + "I3": [ 1566 ], + "I4": [ 1992 ], + "O": [ 2629 ] + } + }, + "LUT5_6c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25898.5-25904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 2630 ], + "I2": [ 2627 ], + "I3": [ 2021 ], + "I4": [ 3332 ], + "O": [ 3049 ] + } + }, + "LUT5_6d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25908.5-25914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2630 ], + "I1": [ 2627 ], + "I2": [ 1596 ], + "I3": [ 3049 ], + "I4": [ 2021 ], + "O": [ 998 ] + } + }, + "LUT5_6e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25918.5-25924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 619 ], + "I1": [ 2641 ], + "I2": [ 609 ], + "I3": [ 3058 ], + "I4": [ 1975 ], + "O": [ 996 ] + } + }, + "LUT5_6f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25928.5-25934.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2641 ], + "I1": [ 591 ], + "I2": [ 2639 ], + "I3": [ 3338 ], + "I4": [ 619 ], + "O": [ 3564 ] + } + }, + "LUT5_7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25938.5-25944.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1467 ], + "I1": [ 3314 ], + "I2": [ 511 ], + "I3": [ 3312 ], + "I4": [ 2024 ], + "O": [ 1519 ] + } + }, + "LUT5_70": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25948.5-25954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2641 ], + "I1": [ 2643 ], + "I2": [ 3052 ], + "I3": [ 2640 ], + "I4": [ 2642 ], + "O": [ 632 ] + } + }, + "LUT5_71": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25958.5-25964.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 615 ], + "I1": [ 2645 ], + "I2": [ 805 ], + "I3": [ 2646 ], + "I4": [ 3059 ], + "O": [ 3339 ] + } + }, + "LUT5_72": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25968.5-25974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3339 ], + "I1": [ 805 ], + "I2": [ 2645 ], + "I3": [ 2646 ], + "I4": [ 615 ], + "O": [ 582 ] + } + }, + "LUT5_73": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25978.5-25984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2648 ], + "I1": [ 999 ], + "I2": [ 611 ], + "I3": [ 621 ], + "I4": [ 607 ], + "O": [ 3341 ] + } + }, + "LUT5_74": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25988.5-25994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3341 ], + "I1": [ 3056 ], + "I2": [ 2651 ], + "I3": [ 621 ], + "I4": [ 3340 ], + "O": [ 2652 ] + } + }, + "LUT5_75": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:25998.5-26004.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 583 ], + "I1": [ 2653 ], + "I2": [ 675 ], + "I3": [ 657 ], + "I4": [ 673 ], + "O": [ 3565 ] + } + }, + "LUT5_76": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26008.5-26014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2653 ], + "I1": [ 583 ], + "I2": [ 675 ], + "I3": [ 657 ], + "I4": [ 3565 ], + "O": [ 654 ] + } + }, + "LUT5_77": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26018.5-26024.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 673 ], + "I1": [ 2654 ], + "I2": [ 583 ], + "I3": [ 2653 ], + "I4": [ 3060 ], + "O": [ 678 ] + } + }, + "LUT5_78": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26028.5-26034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 667 ], + "I1": [ 651 ], + "I2": [ 3342 ], + "I3": [ 3061 ], + "I4": [ 3566 ], + "O": [ 658 ] + } + }, + "LUT5_79": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26038.5-26044.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 653 ], + "I1": [ 2656 ], + "I2": [ 598 ], + "I3": [ 3062 ], + "I4": [ 2657 ], + "O": [ 3567 ] + } + }, + "LUT5_7a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26048.5-26054.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 701 ], + "I1": [ 3068 ], + "I2": [ 3072 ], + "I3": [ 3066 ], + "I4": [ 3069 ], + "O": [ 3067 ] + } + }, + "LUT5_7b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26058.5-26064.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3066 ], + "I1": [ 3067 ], + "I2": [ 3068 ], + "I3": [ 3072 ], + "I4": [ 701 ], + "O": [ 656 ] + } + }, + "LUT5_7c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26068.5-26074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 697 ], + "I1": [ 3069 ], + "I2": [ 649 ], + "I3": [ 623 ], + "I4": [ 2660 ], + "O": [ 3073 ] + } + }, + "LUT5_7d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26078.5-26084.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 709 ], + "I1": [ 2664 ], + "I2": [ 2666 ], + "I3": [ 3078 ], + "I4": [ 2665 ], + "O": [ 606 ] + } + }, + "LUT5_7e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26088.5-26094.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 705 ], + "I1": [ 709 ], + "I2": [ 481 ], + "I3": [ 303 ], + "I4": [ 2002 ], + "O": [ 3078 ] + } + }, + "LUT5_7f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26098.5-26104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 711 ], + "I1": [ 999 ], + "I2": [ 713 ], + "I3": [ 683 ], + "I4": [ 761 ], + "O": [ 3568 ] + } + }, + "LUT5_8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26108.5-26114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2988 ], + "I1": [ 1704 ], + "I2": [ 3535 ], + "I3": [ 1670 ], + "I4": [ 1499 ], + "O": [ 3006 ] + } + }, + "LUT5_80": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26118.5-26124.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 481 ], + "I1": [ 705 ], + "I2": [ 713 ], + "I3": [ 729 ], + "I4": [ 3569 ], + "O": [ 708 ] + } + }, + "LUT5_81": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26128.5-26134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 709 ], + "I1": [ 805 ], + "I2": [ 683 ], + "I3": [ 604 ], + "I4": [ 999 ], + "O": [ 3570 ] + } + }, + "LUT5_82": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26138.5-26144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 683 ], + "I1": [ 3076 ], + "I2": [ 2670 ], + "I3": [ 3570 ], + "I4": [ 709 ], + "O": [ 2669 ] + } + }, + "LUT5_83": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26148.5-26154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 3078 ], + "I2": [ 683 ], + "I3": [ 2678 ], + "I4": [ 3571 ], + "O": [ 3572 ] + } + }, + "LUT5_84": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26158.5-26164.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2675 ], + "I1": [ 621 ], + "I2": [ 3078 ], + "I3": [ 3079 ], + "I4": [ 2679 ], + "O": [ 3080 ] + } + }, + "LUT5_85": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26168.5-26174.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 687 ], + "I1": [ 799 ], + "I2": [ 729 ], + "I3": [ 3051 ], + "I4": [ 691 ], + "O": [ 2678 ] + } + }, + "LUT5_86": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26178.5-26184.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3573 ], + "I1": [ 693 ], + "I2": [ 3574 ], + "I3": [ 3081 ], + "I4": [ 3083 ], + "O": [ 720 ] + } + }, + "LUT5_87": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26188.5-26194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3081 ], + "I1": [ 3574 ], + "I2": [ 693 ], + "I3": [ 3082 ], + "I4": [ 3083 ], + "O": [ 3573 ] + } + }, + "LUT5_88": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26198.5-26204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3574 ], + "I1": [ 3081 ], + "I2": [ 3083 ], + "I3": [ 693 ], + "I4": [ 3082 ], + "O": [ 3575 ] + } + }, + "LUT5_89": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26208.5-26214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3082 ], + "I1": [ 693 ], + "I2": [ 3081 ], + "I3": [ 3083 ], + "I4": [ 3574 ], + "O": [ 3347 ] + } + }, + "LUT5_8a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26218.5-26224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3082 ], + "I1": [ 3081 ], + "I2": [ 3083 ], + "I3": [ 3347 ], + "I4": [ 693 ], + "O": [ 718 ] + } + }, + "LUT5_8b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26228.5-26234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3081 ], + "I1": [ 3082 ], + "I2": [ 3083 ], + "I3": [ 3347 ], + "I4": [ 3348 ], + "O": [ 754 ] + } + }, + "LUT5_8c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26238.5-26244.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 729 ], + "I1": [ 743 ], + "I2": [ 741 ], + "I3": [ 751 ], + "I4": [ 689 ], + "O": [ 2681 ] + } + }, + "LUT5_8d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26248.5-26254.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 743 ], + "I1": [ 751 ], + "I2": [ 2681 ], + "I3": [ 741 ], + "I4": [ 689 ], + "O": [ 3349 ] + } + }, + "LUT5_8e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26258.5-26264.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 725 ], + "I1": [ 2683 ], + "I2": [ 723 ], + "I3": [ 2684 ], + "I4": [ 3086 ], + "O": [ 726 ] + } + }, + "LUT5_8f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26268.5-26274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 773 ], + "I1": [ 717 ], + "I2": [ 779 ], + "I3": [ 771 ], + "I4": [ 3088 ], + "O": [ 3576 ] + } + }, + "LUT5_9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26278.5-26284.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1467 ], + "I1": [ 2662 ], + "I2": [ 1572 ], + "I3": [ 1874 ], + "I4": [ 3577 ], + "O": [ 3578 ] + } + }, + "LUT5_90": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26288.5-26294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 777 ], + "I1": [ 773 ], + "I2": [ 3579 ], + "I3": [ 771 ], + "I4": [ 775 ], + "O": [ 3353 ] + } + }, + "LUT5_91": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26298.5-26304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 777 ], + "I1": [ 3353 ], + "I2": [ 773 ], + "I3": [ 771 ], + "I4": [ 3579 ], + "O": [ 758 ] + } + }, + "LUT5_92": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26308.5-26314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 779 ], + "I1": [ 777 ], + "I2": [ 717 ], + "I3": [ 771 ], + "I4": [ 775 ], + "O": [ 3579 ] + } + }, + "LUT5_93": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26318.5-26324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 777 ], + "I1": [ 775 ], + "I2": [ 771 ], + "I3": [ 3354 ], + "I4": [ 717 ], + "O": [ 3580 ] + } + }, + "LUT5_94": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26328.5-26334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3354 ], + "I1": [ 775 ], + "I2": [ 771 ], + "I3": [ 777 ], + "I4": [ 3580 ], + "O": [ 780 ] + } + }, + "LUT5_95": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26338.5-26344.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 755 ], + "I1": [ 737 ], + "I2": [ 2694 ], + "I3": [ 3359 ], + "I4": [ 3581 ], + "O": [ 734 ] + } + }, + "LUT5_96": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26348.5-26354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 721 ], + "I1": [ 687 ], + "I2": [ 3096 ], + "I3": [ 3094 ], + "I4": [ 3582 ], + "O": [ 764 ] + } + }, + "LUT5_97": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26358.5-26364.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 691 ], + "I1": [ 721 ], + "I2": [ 727 ], + "I3": [ 737 ], + "I4": [ 3051 ], + "O": [ 3093 ] + } + }, + "LUT5_98": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26368.5-26374.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 691 ], + "I1": [ 737 ], + "I2": [ 721 ], + "I3": [ 727 ], + "I4": [ 3097 ], + "O": [ 3583 ] + } + }, + "LUT5_99": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26378.5-26384.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 867 ], + "I1": [ 823 ], + "I2": [ 819 ], + "I3": [ 1315 ], + "I4": [ 827 ], + "O": [ 3584 ] + } + }, + "LUT5_9a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26388.5-26394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2705 ], + "I1": [ 3366 ], + "I2": [ 849 ], + "I3": [ 833 ], + "I4": [ 3585 ], + "O": [ 3586 ] + } + }, + "LUT5_9b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26398.5-26404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3366 ], + "I1": [ 833 ], + "I2": [ 2705 ], + "I3": [ 849 ], + "I4": [ 3586 ], + "O": [ 870 ] + } + }, + "LUT5_9c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26408.5-26414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 895 ], + "I1": [ 1315 ], + "I2": [ 887 ], + "I3": [ 855 ], + "I4": [ 3372 ], + "O": [ 3587 ] + } + }, + "LUT5_9d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26418.5-26424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 909 ], + "I1": [ 895 ], + "I2": [ 855 ], + "I3": [ 393 ], + "I4": [ 3588 ], + "O": [ 3589 ] + } + }, + "LUT5_9e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26428.5-26434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3372 ], + "I1": [ 2713 ], + "I2": [ 909 ], + "I3": [ 887 ], + "I4": [ 3590 ], + "O": [ 3373 ] + } + }, + "LUT5_9f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26438.5-26444.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2716 ], + "I1": [ 855 ], + "I2": [ 2715 ], + "I3": [ 907 ], + "I4": [ 3374 ], + "O": [ 3591 ] + } + }, + "LUT5_a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26448.5-26454.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2689 ], + "I1": [ 2688 ], + "I2": [ 1467 ], + "I3": [ 3592 ], + "I4": [ 2662 ], + "O": [ 2710 ] + } + }, + "LUT5_a0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26458.5-26464.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2715 ], + "I1": [ 855 ], + "I2": [ 2716 ], + "I3": [ 2717 ], + "I4": [ 3591 ], + "O": [ 390 ] + } + }, + "LUT5_a1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26468.5-26474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2716 ], + "I1": [ 901 ], + "I2": [ 3374 ], + "I3": [ 881 ], + "I4": [ 3593 ], + "O": [ 396 ] + } + }, + "LUT5_a2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26478.5-26484.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3375 ], + "I1": [ 2724 ], + "I2": [ 2720 ], + "I3": [ 873 ], + "I4": [ 829 ], + "O": [ 2725 ] + } + }, + "LUT5_a3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26488.5-26494.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2730 ], + "I1": [ 3104 ], + "I2": [ 397 ], + "I3": [ 3106 ], + "I4": [ 2731 ], + "O": [ 3105 ] + } + }, + "LUT5_a4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26498.5-26504.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 893 ], + "I1": [ 457 ], + "I2": [ 897 ], + "I3": [ 861 ], + "I4": [ 849 ], + "O": [ 2729 ] + } + }, + "LUT5_a5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26508.5-26514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 861 ], + "I1": [ 849 ], + "I2": [ 897 ], + "I3": [ 457 ], + "I4": [ 893 ], + "O": [ 3107 ] + } + }, + "LUT5_a6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26518.5-26524.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 457 ], + "I1": [ 861 ], + "I2": [ 3107 ], + "I3": [ 897 ], + "I4": [ 849 ], + "O": [ 3594 ] + } + }, + "LUT5_a7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26528.5-26534.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 399 ], + "I1": [ 873 ], + "I2": [ 859 ], + "I3": [ 1570 ], + "I4": [ 875 ], + "O": [ 3113 ] + } + }, + "LUT5_a8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26538.5-26544.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 849 ], + "I1": [ 2732 ], + "I2": [ 853 ], + "I3": [ 3595 ], + "I4": [ 859 ], + "O": [ 2733 ] + } + }, + "LUT5_a9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26548.5-26554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 853 ], + "I1": [ 3379 ], + "I2": [ 873 ], + "I3": [ 3113 ], + "I4": [ 399 ], + "O": [ 898 ] + } + }, + "LUT5_aa": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26558.5-26564.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3385 ], + "I1": [ 3382 ], + "I2": [ 3386 ], + "I3": [ 431 ], + "I4": [ 2737 ], + "O": [ 428 ] + } + }, + "LUT5_ab": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26568.5-26574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 377 ], + "I1": [ 899 ], + "I2": [ 435 ], + "I3": [ 407 ], + "I4": [ 2735 ], + "O": [ 2736 ] + } + }, + "LUT5_ac": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26578.5-26584.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3118 ], + "I1": [ 3117 ], + "I2": [ 1570 ], + "I3": [ 439 ], + "I4": [ 419 ], + "O": [ 398 ] + } + }, + "LUT5_ad": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26588.5-26594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3596 ], + "I1": [ 2740 ], + "I2": [ 419 ], + "I3": [ 439 ], + "I4": [ 3116 ], + "O": [ 3597 ] + } + }, + "LUT5_ae": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26598.5-26604.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2740 ], + "I1": [ 419 ], + "I2": [ 425 ], + "I3": [ 427 ], + "I4": [ 3598 ], + "O": [ 3381 ] + } + }, + "LUT5_af": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26608.5-26614.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2740 ], + "I1": [ 427 ], + "I2": [ 425 ], + "I3": [ 3381 ], + "I4": [ 419 ], + "O": [ 3599 ] + } + }, + "LUT5_b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26618.5-26624.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2689 ], + "I1": [ 2688 ], + "I2": [ 2710 ], + "I3": [ 2690 ], + "I4": [ 1467 ], + "O": [ 1987 ] + } + }, + "LUT5_b0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26628.5-26634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 417 ], + "I1": [ 387 ], + "I2": [ 437 ], + "I3": [ 3600 ], + "I4": [ 3599 ], + "O": [ 400 ] + } + }, + "LUT5_b1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26638.5-26644.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2744 ], + "I1": [ 411 ], + "I2": [ 415 ], + "I3": [ 429 ], + "I4": [ 433 ], + "O": [ 2746 ] + } + }, + "LUT5_b2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26648.5-26654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 879 ], + "I1": [ 401 ], + "I2": [ 379 ], + "I3": [ 383 ], + "I4": [ 405 ], + "O": [ 3601 ] + } + }, + "LUT5_b3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26658.5-26664.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3602 ], + "I1": [ 3603 ], + "I2": [ 385 ], + "I3": [ 383 ], + "I4": [ 829 ], + "O": [ 426 ] + } + }, + "LUT5_b4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26668.5-26674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 829 ], + "I1": [ 379 ], + "I2": [ 383 ], + "I3": [ 3604 ], + "I4": [ 401 ], + "O": [ 3388 ] + } + }, + "LUT5_b5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26678.5-26684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3605 ], + "I1": [ 3122 ], + "I2": [ 821 ], + "I3": [ 867 ], + "I4": [ 3606 ], + "O": [ 456 ] + } + }, + "LUT5_b6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26688.5-26694.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 821 ], + "I2": [ 3122 ], + "I3": [ 3607 ], + "I4": [ 3606 ], + "O": [ 840 ] + } + }, + "LUT5_b7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26698.5-26704.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 784 ], + "I1": [ 3608 ], + "I2": [ 2765 ], + "I3": [ 462 ], + "I4": [ 791 ], + "O": [ 464 ] + } + }, + "LUT5_b8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26708.5-26714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3609 ], + "I1": [ 499 ], + "I2": [ 495 ], + "I3": [ 447 ], + "I4": [ 497 ], + "O": [ 472 ] + } + }, + "LUT5_b9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26718.5-26724.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3610 ], + "I1": [ 791 ], + "I2": [ 2754 ], + "I3": [ 837 ], + "I4": [ 2752 ], + "O": [ 3125 ] + } + }, + "LUT5_ba": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26728.5-26734.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2751 ], + "I1": [ 791 ], + "I2": [ 2752 ], + "I3": [ 3126 ], + "I4": [ 3125 ], + "O": [ 832 ] + } + }, + "LUT5_bb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26738.5-26744.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 499 ], + "I1": [ 447 ], + "I2": [ 497 ], + "I3": [ 471 ], + "I4": [ 467 ], + "O": [ 2752 ] + } + }, + "LUT5_bc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26748.5-26754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3132 ], + "I1": [ 2762 ], + "I2": [ 453 ], + "I3": [ 3393 ], + "I4": [ 2761 ], + "O": [ 468 ] + } + }, + "LUT5_bd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26758.5-26764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 465 ], + "I1": [ 473 ], + "I2": [ 449 ], + "I3": [ 475 ], + "I4": [ 491 ], + "O": [ 2764 ] + } + }, + "LUT5_be": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26768.5-26774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2764 ], + "I1": [ 475 ], + "I2": [ 449 ], + "I3": [ 465 ], + "I4": [ 473 ], + "O": [ 498 ] + } + }, + "LUT5_bf": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26778.5-26784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3611 ], + "I1": [ 847 ], + "I2": [ 459 ], + "I3": [ 465 ], + "I4": [ 2765 ], + "O": [ 814 ] + } + }, + "LUT5_c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26788.5-26794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1978 ], + "I1": [ 1980 ], + "I2": [ 1636 ], + "I3": [ 3057 ], + "I4": [ 2092 ], + "O": [ 3044 ] + } + }, + "LUT5_c0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26798.5-26804.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 459 ], + "I1": [ 465 ], + "I2": [ 847 ], + "I3": [ 463 ], + "I4": [ 2765 ], + "O": [ 3611 ] + } + }, + "LUT5_c1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26808.5-26814.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 847 ], + "I1": [ 463 ], + "I2": [ 465 ], + "I3": [ 2765 ], + "I4": [ 459 ], + "O": [ 3134 ] + } + }, + "LUT5_c2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26818.5-26824.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3134 ], + "I1": [ 463 ], + "I2": [ 465 ], + "I3": [ 2765 ], + "I4": [ 847 ], + "O": [ 794 ] + } + }, + "LUT5_c3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26828.5-26834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 791 ], + "I2": [ 1574 ], + "I3": [ 3135 ], + "I4": [ 3612 ], + "O": [ 3098 ] + } + }, + "LUT5_c4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26838.5-26844.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 539 ], + "I1": [ 541 ], + "I2": [ 537 ], + "I3": [ 1137 ], + "I4": [ 1179 ], + "O": [ 3613 ] + } + }, + "LUT5_c5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26848.5-26854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3614 ], + "I1": [ 1137 ], + "I2": [ 3615 ], + "I3": [ 3616 ], + "I4": [ 3613 ], + "O": [ 524 ] + } + }, + "LUT5_c6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26858.5-26864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 575 ], + "I1": [ 557 ], + "I2": [ 535 ], + "I3": [ 1131 ], + "I4": [ 537 ], + "O": [ 3395 ] + } + }, + "LUT5_c7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26868.5-26874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 2767 ], + "I2": [ 1127 ], + "I3": [ 557 ], + "I4": [ 3397 ], + "O": [ 2766 ] + } + }, + "LUT5_c8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26878.5-26884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3139 ], + "I1": [ 3398 ], + "I2": [ 3617 ], + "I3": [ 2768 ], + "I4": [ 563 ], + "O": [ 3142 ] + } + }, + "LUT5_c9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26888.5-26894.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3618 ], + "I1": [ 3619 ], + "I2": [ 1133 ], + "I3": [ 533 ], + "I4": [ 547 ], + "O": [ 516 ] + } + }, + "LUT5_ca": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26898.5-26904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2769 ], + "I1": [ 533 ], + "I2": [ 3140 ], + "I3": [ 555 ], + "I4": [ 513 ], + "O": [ 2770 ] + } + }, + "LUT5_cb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26908.5-26914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1157 ], + "I1": [ 567 ], + "I2": [ 1145 ], + "I3": [ 1179 ], + "I4": [ 2783 ], + "O": [ 2785 ] + } + }, + "LUT5_cc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26918.5-26924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 565 ], + "I1": [ 551 ], + "I2": [ 549 ], + "I3": [ 553 ], + "I4": [ 531 ], + "O": [ 2782 ] + } + }, + "LUT5_cd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26928.5-26934.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2789 ], + "I1": [ 553 ], + "I2": [ 551 ], + "I3": [ 531 ], + "I4": [ 3151 ], + "O": [ 1152 ] + } + }, + "LUT5_ce": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26938.5-26944.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 1173 ], + "I2": [ 1137 ], + "I3": [ 521 ], + "I4": [ 519 ], + "O": [ 3620 ] + } + }, + "LUT5_cf": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26948.5-26954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2792 ], + "I1": [ 2793 ], + "I2": [ 521 ], + "I3": [ 1183 ], + "I4": [ 3621 ], + "O": [ 538 ] + } + }, + "LUT5_d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26958.5-26964.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2164 ], + "I1": [ 1980 ], + "I2": [ 3622 ], + "I3": [ 1636 ], + "I4": [ 1978 ], + "O": [ 2739 ] + } + }, + "LUT5_d0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26968.5-26974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2795 ], + "I1": [ 543 ], + "I2": [ 2797 ], + "I3": [ 529 ], + "I4": [ 3623 ], + "O": [ 2796 ] + } + }, + "LUT5_d1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26978.5-26984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2799 ], + "I1": [ 1177 ], + "I2": [ 2798 ], + "I3": [ 1271 ], + "I4": [ 1137 ], + "O": [ 3154 ] + } + }, + "LUT5_d2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26988.5-26994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3154 ], + "I1": [ 2800 ], + "I2": [ 2799 ], + "I3": [ 2798 ], + "I4": [ 1177 ], + "O": [ 3616 ] + } + }, + "LUT5_d3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:26998.5-27004.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2801 ], + "I1": [ 2803 ], + "I2": [ 2802 ], + "I3": [ 1175 ], + "I4": [ 541 ], + "O": [ 3155 ] + } + }, + "LUT5_d4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27008.5-27014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3155 ], + "I1": [ 2802 ], + "I2": [ 2804 ], + "I3": [ 2803 ], + "I4": [ 2805 ], + "O": [ 1172 ] + } + }, + "LUT5_d5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27018.5-27024.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3156 ], + "I1": [ 3405 ], + "I2": [ 487 ], + "I3": [ 3157 ], + "I4": [ 1191 ], + "O": [ 3406 ] + } + }, + "LUT5_d6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27028.5-27034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3156 ], + "I1": [ 2807 ], + "I2": [ 2808 ], + "I3": [ 3407 ], + "I4": [ 3160 ], + "O": [ 1188 ] + } + }, + "LUT5_d7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27038.5-27044.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1269 ], + "I1": [ 1221 ], + "I2": [ 1205 ], + "I3": [ 1163 ], + "I4": [ 1225 ], + "O": [ 3168 ] + } + }, + "LUT5_d8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27048.5-27054.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1205 ], + "I1": [ 1269 ], + "I2": [ 1195 ], + "I3": [ 2813 ], + "I4": [ 1163 ], + "O": [ 3162 ] + } + }, + "LUT5_d9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27058.5-27064.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3165 ], + "I1": [ 3164 ], + "I2": [ 1269 ], + "I3": [ 3163 ], + "I4": [ 1163 ], + "O": [ 1286 ] + } + }, + "LUT5_da": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27068.5-27074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3163 ], + "I1": [ 1163 ], + "I2": [ 2813 ], + "I3": [ 1269 ], + "I4": [ 1195 ], + "O": [ 3409 ] + } + }, + "LUT5_db": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27078.5-27084.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3167 ], + "I1": [ 2812 ], + "I2": [ 3168 ], + "I3": [ 1285 ], + "I4": [ 1203 ], + "O": [ 3624 ] + } + }, + "LUT5_dc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27088.5-27094.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2812 ], + "I1": [ 3168 ], + "I2": [ 3167 ], + "I3": [ 1203 ], + "I4": [ 1285 ], + "O": [ 3166 ] + } + }, + "LUT5_dd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27098.5-27104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1269 ], + "I1": [ 3625 ], + "I2": [ 1233 ], + "I3": [ 1283 ], + "I4": [ 1239 ], + "O": [ 3410 ] + } + }, + "LUT5_de": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27108.5-27114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3410 ], + "I1": [ 1269 ], + "I2": [ 1283 ], + "I3": [ 1233 ], + "I4": [ 3625 ], + "O": [ 1280 ] + } + }, + "LUT5_df": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27118.5-27124.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3626 ], + "I1": [ 1217 ], + "I2": [ 3627 ], + "I3": [ 1243 ], + "I4": [ 1279 ], + "O": [ 3628 ] + } + }, + "LUT5_e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27128.5-27134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2156 ], + "I1": [ 1568 ], + "I2": [ 1636 ], + "I3": [ 2164 ], + "I4": [ 2092 ], + "O": [ 3045 ] + } + }, + "LUT5_e0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27138.5-27144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1243 ], + "I1": [ 3170 ], + "I2": [ 2816 ], + "I3": [ 1217 ], + "I4": [ 3627 ], + "O": [ 1238 ] + } + }, + "LUT5_e1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27148.5-27154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3629 ], + "I1": [ 3630 ], + "I2": [ 1279 ], + "I3": [ 3172 ], + "I4": [ 1249 ], + "O": [ 1282 ] + } + }, + "LUT5_e2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27158.5-27164.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1251 ], + "I1": [ 1277 ], + "I2": [ 1281 ], + "I3": [ 1215 ], + "I4": [ 1253 ], + "O": [ 3631 ] + } + }, + "LUT5_e3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27168.5-27174.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1253 ], + "I1": [ 1281 ], + "I2": [ 1277 ], + "I3": [ 1251 ], + "I4": [ 1215 ], + "O": [ 2821 ] + } + }, + "LUT5_e4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27178.5-27184.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1281 ], + "I1": [ 2821 ], + "I2": [ 1251 ], + "I3": [ 1253 ], + "I4": [ 1277 ], + "O": [ 2820 ] + } + }, + "LUT5_e5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27188.5-27194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2820 ], + "I1": [ 2821 ], + "I2": [ 1281 ], + "I3": [ 1251 ], + "I4": [ 1253 ], + "O": [ 1204 ] + } + }, + "LUT5_e6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27198.5-27204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1245 ], + "I1": [ 1223 ], + "I2": [ 1275 ], + "I3": [ 2823 ], + "I4": [ 1241 ], + "O": [ 1198 ] + } + }, + "LUT5_e7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27208.5-27214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2824 ], + "I1": [ 1209 ], + "I2": [ 3632 ], + "I3": [ 1293 ], + "I4": [ 1213 ], + "O": [ 3633 ] + } + }, + "LUT5_e8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27218.5-27224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2824 ], + "I1": [ 1293 ], + "I2": [ 3176 ], + "I3": [ 2825 ], + "I4": [ 1209 ], + "O": [ 3177 ] + } + }, + "LUT5_e9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27228.5-27234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3177 ], + "I1": [ 2824 ], + "I2": [ 3176 ], + "I3": [ 2825 ], + "I4": [ 1293 ], + "O": [ 482 ] + } + }, + "LUT5_ea": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27238.5-27244.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1291 ], + "I1": [ 1191 ], + "I2": [ 1273 ], + "I3": [ 1263 ], + "I4": [ 3634 ], + "O": [ 3635 ] + } + }, + "LUT5_eb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27248.5-27254.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1263 ], + "I1": [ 1273 ], + "I2": [ 1265 ], + "I3": [ 1191 ], + "I4": [ 1291 ], + "O": [ 3636 ] + } + }, + "LUT5_ec": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27258.5-27264.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1191 ], + "I1": [ 1265 ], + "I2": [ 1273 ], + "I3": [ 1263 ], + "I4": [ 1291 ], + "O": [ 2828 ] + } + }, + "LUT5_ed": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27268.5-27274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1263 ], + "I1": [ 1291 ], + "I2": [ 2828 ], + "I3": [ 1273 ], + "I4": [ 1191 ], + "O": [ 2827 ] + } + }, + "LUT5_ee": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27278.5-27284.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2829 ], + "I1": [ 481 ], + "I2": [ 990 ], + "I3": [ 525 ], + "I4": [ 1273 ], + "O": [ 508 ] + } + }, + "LUT5_ef": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27288.5-27294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1321 ], + "I1": [ 3215 ], + "I2": [ 992 ], + "I3": [ 2361 ], + "I4": [ 1323 ], + "O": [ 1316 ] + } + }, + "LUT5_f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27298.5-27304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1984 ], + "I1": [ 2162 ], + "I2": [ 511 ], + "I3": [ 3091 ], + "I4": [ 1572 ], + "O": [ 3637 ] + } + }, + "LUT5_f0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27308.5-27314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3638 ], + "I1": [ 3639 ], + "I2": [ 3640 ], + "I3": [ 1017 ], + "I4": [ 1327 ], + "O": [ 3641 ] + } + }, + "LUT5_f1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27318.5-27324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1616 ], + "I1": [ 2038 ], + "I2": [ 1309 ], + "I3": [ 3179 ], + "I4": [ 1311 ], + "O": [ 3642 ] + } + }, + "LUT5_f2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27328.5-27334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2831 ], + "I1": [ 3643 ], + "I2": [ 1309 ], + "I3": [ 2038 ], + "I4": [ 3414 ], + "O": [ 1302 ] + } + }, + "LUT5_f3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27338.5-27344.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2830 ], + "I1": [ 1309 ], + "I2": [ 3414 ], + "I3": [ 1301 ], + "I4": [ 3643 ], + "O": [ 3415 ] + } + }, + "LUT5_f4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27348.5-27354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2830 ], + "I1": [ 3415 ], + "I2": [ 3414 ], + "I3": [ 1309 ], + "I4": [ 2038 ], + "O": [ 3178 ] + } + }, + "LUT5_f5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27358.5-27364.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1313 ], + "I1": [ 3639 ], + "I2": [ 1616 ], + "I3": [ 2038 ], + "I4": [ 1301 ], + "O": [ 3416 ] + } + }, + "LUT5_f6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27368.5-27374.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2833 ], + "I1": [ 1327 ], + "I2": [ 3416 ], + "I3": [ 1313 ], + "I4": [ 1017 ], + "O": [ 2832 ] + } + }, + "LUT5_f7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27378.5-27384.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2832 ], + "I1": [ 1313 ], + "I2": [ 2833 ], + "I3": [ 1327 ], + "I4": [ 3416 ], + "O": [ 1342 ] + } + }, + "LUT5_f8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27388.5-27394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1349 ], + "I1": [ 1303 ], + "I2": [ 1347 ], + "I3": [ 1019 ], + "I4": [ 1013 ], + "O": [ 2837 ] + } + }, + "LUT5_f9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27398.5-27404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3182 ], + "I1": [ 3183 ], + "I2": [ 2838 ], + "I3": [ 1325 ], + "I4": [ 3644 ], + "O": [ 1390 ] + } + }, + "LUT5_fa": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27408.5-27414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3645 ], + "I1": [ 1331 ], + "I2": [ 2836 ], + "I3": [ 1361 ], + "I4": [ 1411 ], + "O": [ 1392 ] + } + }, + "LUT5_fb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27418.5-27424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2841 ], + "I1": [ 1343 ], + "I2": [ 1411 ], + "I3": [ 1339 ], + "I4": [ 3419 ], + "O": [ 1368 ] + } + }, + "LUT5_fc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27428.5-27434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3419 ], + "I1": [ 1331 ], + "I2": [ 1339 ], + "I3": [ 1343 ], + "I4": [ 1411 ], + "O": [ 3185 ] + } + }, + "LUT5_fd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27438.5-27444.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3646 ], + "I1": [ 2844 ], + "I2": [ 1355 ], + "I3": [ 1297 ], + "I4": [ 2842 ], + "O": [ 1356 ] + } + }, + "LUT5_fe": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27448.5-27454.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2844 ], + "I1": [ 1419 ], + "I2": [ 3187 ], + "I3": [ 1297 ], + "I4": [ 1307 ], + "O": [ 3421 ] + } + }, + "LUT5_ff": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27458.5-27464.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 3190 ], + "I1": [ 1395 ], + "I2": [ 3422 ], + "I3": [ 3423 ], + "I4": [ 1351 ], + "O": [ 3191 ] + } + }, + "LUT6_0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27468.5-27475.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 349 ], + "I1": [ 2547 ], + "I2": [ 3647 ], + "I3": [ 817 ], + "I4": [ 1561 ], + "I5": [ 3560 ], + "O": [ 302 ] + } + }, + "LUT6_1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27479.5-27486.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 348 ], + "I1": [ 477 ], + "I2": [ 851 ], + "I3": [ 443 ], + "I4": [ 885 ], + "I5": [ 2274 ], + "O": [ 3648 ] + } + }, + "LUT6_10": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27490.5-27497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1023 ], + "I1": [ 2024 ], + "I2": [ 1990 ], + "I3": [ 511 ], + "I4": [ 2617 ], + "I5": [ 1057 ], + "O": [ 1567 ] + } + }, + "LUT6_100": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27501.5-27508.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2638 ], + "I1": [ 3052 ], + "I2": [ 591 ], + "I3": [ 3338 ], + "I4": [ 619 ], + "I5": [ 1598 ], + "O": [ 2645 ] + } + }, + "LUT6_101": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27512.5-27519.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2639 ], + "I1": [ 3052 ], + "I2": [ 2638 ], + "I3": [ 591 ], + "I4": [ 2641 ], + "I5": [ 3564 ], + "O": [ 2643 ] + } + }, + "LUT6_102": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27523.5-27530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 591 ], + "I1": [ 1598 ], + "I2": [ 2639 ], + "I3": [ 2638 ], + "I4": [ 3338 ], + "I5": [ 3052 ], + "O": [ 3053 ] + } + }, + "LUT6_103": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27534.5-27541.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2638 ], + "I1": [ 1598 ], + "I2": [ 619 ], + "I3": [ 3053 ], + "I4": [ 2644 ], + "I5": [ 591 ], + "O": [ 644 ] + } + }, + "LUT6_104": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27545.5-27552.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2647 ], + "I1": [ 2645 ], + "I2": [ 3059 ], + "I3": [ 805 ], + "I4": [ 2646 ], + "I5": [ 615 ], + "O": [ 626 ] + } + }, + "LUT6_105": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27556.5-27563.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 607 ], + "I1": [ 999 ], + "I2": [ 611 ], + "I3": [ 643 ], + "I4": [ 621 ], + "I5": [ 641 ], + "O": [ 2648 ] + } + }, + "LUT6_106": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27567.5-27574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 641 ], + "I1": [ 607 ], + "I2": [ 611 ], + "I3": [ 621 ], + "I4": [ 2648 ], + "I5": [ 643 ], + "O": [ 3649 ] + } + }, + "LUT6_107": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27578.5-27585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 999 ], + "I1": [ 2648 ], + "I2": [ 621 ], + "I3": [ 3055 ], + "I4": [ 611 ], + "I5": [ 607 ], + "O": [ 3650 ] + } + }, + "LUT6_108": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27589.5-27596.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 999 ], + "I1": [ 2648 ], + "I2": [ 611 ], + "I3": [ 621 ], + "I4": [ 3055 ], + "I5": [ 3650 ], + "O": [ 586 ] + } + }, + "LUT6_109": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27600.5-27607.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3050 ], + "I1": [ 3651 ], + "I2": [ 598 ], + "I3": [ 609 ], + "I4": [ 3652 ], + "I5": [ 661 ], + "O": [ 3653 ] + } + }, + "LUT6_10a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27611.5-27618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 665 ], + "I1": [ 663 ], + "I2": [ 625 ], + "I3": [ 598 ], + "I4": [ 3050 ], + "I5": [ 583 ], + "O": [ 3654 ] + } + }, + "LUT6_10b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27622.5-27629.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 667 ], + "I1": [ 2655 ], + "I2": [ 3064 ], + "I3": [ 3567 ], + "I4": [ 3343 ], + "I5": [ 3654 ], + "O": [ 664 ] + } + }, + "LUT6_10c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27633.5-27640.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3343 ], + "I1": [ 3655 ], + "I2": [ 3656 ], + "I3": [ 3064 ], + "I4": [ 2655 ], + "I5": [ 3657 ], + "O": [ 666 ] + } + }, + "LUT6_10d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27644.5-27651.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3658 ], + "I1": [ 583 ], + "I2": [ 673 ], + "I3": [ 669 ], + "I4": [ 671 ], + "I5": [ 675 ], + "O": [ 698 ] + } + }, + "LUT6_10e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27655.5-27662.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 675 ], + "I1": [ 657 ], + "I2": [ 669 ], + "I3": [ 673 ], + "I4": [ 583 ], + "I5": [ 671 ], + "O": [ 3659 ] + } + }, + "LUT6_10f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27666.5-27673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 675 ], + "I1": [ 673 ], + "I2": [ 583 ], + "I3": [ 669 ], + "I4": [ 3660 ], + "I5": [ 3659 ], + "O": [ 3661 ] + } + }, + "LUT6_11": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27677.5-27684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2618 ], + "I1": [ 2974 ], + "I2": [ 2617 ], + "I3": [ 1023 ], + "I4": [ 2024 ], + "I5": [ 511 ], + "O": [ 1805 ] + } + }, + "LUT6_110": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27688.5-27695.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 673 ], + "I1": [ 657 ], + "I2": [ 675 ], + "I3": [ 583 ], + "I4": [ 669 ], + "I5": [ 671 ], + "O": [ 2653 ] + } + }, + "LUT6_111": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27699.5-27706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 667 ], + "I1": [ 651 ], + "I2": [ 625 ], + "I3": [ 623 ], + "I4": [ 653 ], + "I5": [ 3050 ], + "O": [ 3342 ] + } + }, + "LUT6_112": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27710.5-27717.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3662 ], + "I1": [ 3663 ], + "I2": [ 3050 ], + "I3": [ 623 ], + "I4": [ 651 ], + "I5": [ 625 ], + "O": [ 3655 ] + } + }, + "LUT6_113": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27721.5-27728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3664 ], + "I1": [ 598 ], + "I2": [ 653 ], + "I3": [ 3050 ], + "I4": [ 625 ], + "I5": [ 651 ], + "O": [ 694 ] + } + }, + "LUT6_114": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27732.5-27739.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 625 ], + "I1": [ 598 ], + "I2": [ 651 ], + "I3": [ 3050 ], + "I4": [ 653 ], + "I5": [ 623 ], + "O": [ 3664 ] + } + }, + "LUT6_115": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27743.5-27750.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 625 ], + "I1": [ 598 ], + "I2": [ 653 ], + "I3": [ 651 ], + "I4": [ 623 ], + "I5": [ 3050 ], + "O": [ 2656 ] + } + }, + "LUT6_116": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27754.5-27761.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2658 ], + "I1": [ 2659 ], + "I2": [ 653 ], + "I3": [ 2656 ], + "I4": [ 598 ], + "I5": [ 625 ], + "O": [ 676 ] + } + }, + "LUT6_117": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27765.5-27772.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 679 ], + "I1": [ 677 ], + "I2": [ 598 ], + "I3": [ 699 ], + "I4": [ 609 ], + "I5": [ 625 ], + "O": [ 3665 ] + } + }, + "LUT6_118": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27776.5-27783.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 659 ], + "I1": [ 701 ], + "I2": [ 623 ], + "I3": [ 3050 ], + "I4": [ 667 ], + "I5": [ 3665 ], + "O": [ 3666 ] + } + }, + "LUT6_119": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27787.5-27794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 667 ], + "I1": [ 695 ], + "I2": [ 697 ], + "I3": [ 655 ], + "I4": [ 623 ], + "I5": [ 3666 ], + "O": [ 3656 ] + } + }, + "LUT6_11a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27798.5-27805.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 659 ], + "I1": [ 655 ], + "I2": [ 697 ], + "I3": [ 667 ], + "I4": [ 701 ], + "I5": [ 3050 ], + "O": [ 3066 ] + } + }, + "LUT6_11b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27809.5-27816.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 655 ], + "I1": [ 659 ], + "I2": [ 701 ], + "I3": [ 695 ], + "I4": [ 697 ], + "I5": [ 667 ], + "O": [ 3072 ] + } + }, + "LUT6_11c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27820.5-27827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 655 ], + "I1": [ 695 ], + "I2": [ 649 ], + "I3": [ 623 ], + "I4": [ 701 ], + "I5": [ 659 ], + "O": [ 3069 ] + } + }, + "LUT6_11d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27831.5-27838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3066 ], + "I1": [ 3069 ], + "I2": [ 3072 ], + "I3": [ 701 ], + "I4": [ 2660 ], + "I5": [ 3065 ], + "O": [ 3068 ] + } + }, + "LUT6_11e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27842.5-27849.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3074 ], + "I1": [ 623 ], + "I2": [ 697 ], + "I3": [ 3069 ], + "I4": [ 649 ], + "I5": [ 2660 ], + "O": [ 670 ] + } + }, + "LUT6_11f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27853.5-27860.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 705 ], + "I1": [ 2641 ], + "I2": [ 3078 ], + "I3": [ 709 ], + "I4": [ 600 ], + "I5": [ 805 ], + "O": [ 3667 ] + } + }, + "LUT6_12": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27864.5-27871.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2988 ], + "I1": [ 2662 ], + "I2": [ 1091 ], + "I3": [ 1670 ], + "I4": [ 3535 ], + "I5": [ 1499 ], + "O": [ 954 ] + } + }, + "LUT6_120": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27875.5-27882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3668 ], + "I1": [ 2641 ], + "I2": [ 709 ], + "I3": [ 3078 ], + "I4": [ 703 ], + "I5": [ 705 ], + "O": [ 702 ] + } + }, + "LUT6_121": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27886.5-27893.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2641 ], + "I1": [ 709 ], + "I2": [ 600 ], + "I3": [ 705 ], + "I4": [ 703 ], + "I5": [ 3667 ], + "O": [ 3668 ] + } + }, + "LUT6_122": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27897.5-27904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2661 ], + "I1": [ 3078 ], + "I2": [ 703 ], + "I3": [ 709 ], + "I4": [ 705 ], + "I5": [ 2641 ], + "O": [ 622 ] + } + }, + "LUT6_123": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27908.5-27915.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3669 ], + "I1": [ 705 ], + "I2": [ 703 ], + "I3": [ 709 ], + "I4": [ 3078 ], + "I5": [ 600 ], + "O": [ 3651 ] + } + }, + "LUT6_124": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27919.5-27926.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2641 ], + "I1": [ 709 ], + "I2": [ 3078 ], + "I3": [ 600 ], + "I4": [ 705 ], + "I5": [ 703 ], + "O": [ 3669 ] + } + }, + "LUT6_125": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27930.5-27937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3344 ], + "I1": [ 703 ], + "I2": [ 709 ], + "I3": [ 3078 ], + "I4": [ 600 ], + "I5": [ 2641 ], + "O": [ 3652 ] + } + }, + "LUT6_126": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27941.5-27948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 709 ], + "I1": [ 703 ], + "I2": [ 2641 ], + "I3": [ 3078 ], + "I4": [ 600 ], + "I5": [ 705 ], + "O": [ 2664 ] + } + }, + "LUT6_127": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27952.5-27959.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2664 ], + "I1": [ 709 ], + "I2": [ 3078 ], + "I3": [ 2641 ], + "I4": [ 703 ], + "I5": [ 600 ], + "O": [ 2666 ] + } + }, + "LUT6_128": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27963.5-27970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3078 ], + "I1": [ 709 ], + "I2": [ 3051 ], + "I3": [ 707 ], + "I4": [ 303 ], + "I5": [ 2002 ], + "O": [ 704 ] + } + }, + "LUT6_129": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27974.5-27981.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3670 ], + "I1": [ 801 ], + "I2": [ 3350 ], + "I3": [ 3671 ], + "I4": [ 3672 ], + "I5": [ 803 ], + "O": [ 688 ] + } + }, + "LUT6_12a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27985.5-27992.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 761 ], + "I1": [ 683 ], + "I2": [ 481 ], + "I3": [ 604 ], + "I4": [ 729 ], + "I5": [ 619 ], + "O": [ 3673 ] + } + }, + "LUT6_12b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:27996.5-28003.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 711 ], + "I1": [ 621 ], + "I2": [ 481 ], + "I3": [ 709 ], + "I4": [ 683 ], + "I5": [ 797 ], + "O": [ 3674 ] + } + }, + "LUT6_12c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28007.5-28014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 683 ], + "I1": [ 729 ], + "I2": [ 705 ], + "I3": [ 805 ], + "I4": [ 713 ], + "I5": [ 711 ], + "O": [ 3675 ] + } + }, + "LUT6_12d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28018.5-28025.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 711 ], + "I1": [ 709 ], + "I2": [ 604 ], + "I3": [ 729 ], + "I4": [ 3675 ], + "I5": [ 3674 ], + "O": [ 3676 ] + } + }, + "LUT6_12e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28029.5-28036.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3677 ], + "I1": [ 621 ], + "I2": [ 999 ], + "I3": [ 805 ], + "I4": [ 713 ], + "I5": [ 711 ], + "O": [ 686 ] + } + }, + "LUT6_12f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28040.5-28047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 805 ], + "I1": [ 683 ], + "I2": [ 3570 ], + "I3": [ 2670 ], + "I4": [ 709 ], + "I5": [ 3075 ], + "O": [ 3678 ] + } + }, + "LUT6_13": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28051.5-28058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1874 ], + "I1": [ 2662 ], + "I2": [ 989 ], + "I3": [ 3577 ], + "I4": [ 1467 ], + "I5": [ 1572 ], + "O": [ 3679 ] + } + }, + "LUT6_130": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28062.5-28069.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2670 ], + "I1": [ 805 ], + "I2": [ 709 ], + "I3": [ 683 ], + "I4": [ 604 ], + "I5": [ 3570 ], + "O": [ 3680 ] + } + }, + "LUT6_131": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28073.5-28080.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2670 ], + "I1": [ 3570 ], + "I2": [ 709 ], + "I3": [ 683 ], + "I4": [ 604 ], + "I5": [ 805 ], + "O": [ 3076 ] + } + }, + "LUT6_132": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28084.5-28091.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 713 ], + "I1": [ 705 ], + "I2": [ 481 ], + "I3": [ 621 ], + "I4": [ 711 ], + "I5": [ 729 ], + "O": [ 2670 ] + } + }, + "LUT6_133": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28095.5-28102.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 705 ], + "I2": [ 481 ], + "I3": [ 713 ], + "I4": [ 711 ], + "I5": [ 729 ], + "O": [ 2673 ] + } + }, + "LUT6_134": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28106.5-28113.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 711 ], + "I1": [ 713 ], + "I2": [ 2673 ], + "I3": [ 705 ], + "I4": [ 481 ], + "I5": [ 621 ], + "O": [ 3677 ] + } + }, + "LUT6_135": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28117.5-28124.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3078 ], + "I1": [ 621 ], + "I2": [ 2678 ], + "I3": [ 683 ], + "I4": [ 3078 ], + "I5": [ 729 ], + "O": [ 3571 ] + } + }, + "LUT6_136": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28128.5-28135.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3346 ], + "I1": [ 621 ], + "I2": [ 683 ], + "I3": [ 3078 ], + "I4": [ 2678 ], + "I5": [ 3572 ], + "O": [ 798 ] + } + }, + "LUT6_137": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28139.5-28146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 621 ], + "I1": [ 2679 ], + "I2": [ 2675 ], + "I3": [ 3079 ], + "I4": [ 3078 ], + "I5": [ 683 ], + "O": [ 3671 ] + } + }, + "LUT6_138": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28150.5-28157.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2676 ], + "I1": [ 2677 ], + "I2": [ 3078 ], + "I3": [ 2679 ], + "I4": [ 2675 ], + "I5": [ 3079 ], + "O": [ 804 ] + } + }, + "LUT6_139": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28161.5-28168.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 757 ], + "I1": [ 799 ], + "I2": [ 753 ], + "I3": [ 2678 ], + "I4": [ 2678 ], + "I5": [ 733 ], + "O": [ 3681 ] + } + }, + "LUT6_13a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28172.5-28179.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 735 ], + "I1": [ 729 ], + "I2": [ 743 ], + "I3": [ 693 ], + "I4": [ 745 ], + "I5": [ 3681 ], + "O": [ 716 ] + } + }, + "LUT6_13b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28183.5-28190.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3682 ], + "I1": [ 745 ], + "I2": [ 743 ], + "I3": [ 693 ], + "I4": [ 689 ], + "I5": [ 729 ], + "O": [ 736 ] + } + }, + "LUT6_13c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28194.5-28201.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 739 ], + "I2": [ 751 ], + "I3": [ 735 ], + "I4": [ 743 ], + "I5": [ 745 ], + "O": [ 3083 ] + } + }, + "LUT6_13d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28205.5-28212.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3082 ], + "I1": [ 3083 ], + "I2": [ 3574 ], + "I3": [ 693 ], + "I4": [ 3081 ], + "I5": [ 2680 ], + "O": [ 3683 ] + } + }, + "LUT6_13e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28216.5-28223.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3574 ], + "I1": [ 3082 ], + "I2": [ 693 ], + "I3": [ 3083 ], + "I4": [ 3081 ], + "I5": [ 3683 ], + "O": [ 3684 ] + } + }, + "LUT6_13f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28227.5-28234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3574 ], + "I1": [ 3082 ], + "I2": [ 3081 ], + "I3": [ 3083 ], + "I4": [ 693 ], + "I5": [ 3684 ], + "O": [ 728 ] + } + }, + "LUT6_14": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28238.5-28245.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1572 ], + "I1": [ 3577 ], + "I2": [ 3679 ], + "I3": [ 1467 ], + "I4": [ 989 ], + "I5": [ 1874 ], + "O": [ 3685 ] + } + }, + "LUT6_140": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28249.5-28256.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3083 ], + "I1": [ 693 ], + "I2": [ 3082 ], + "I3": [ 3081 ], + "I4": [ 3574 ], + "I5": [ 3575 ], + "O": [ 752 ] + } + }, + "LUT6_141": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28260.5-28267.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3084 ], + "I1": [ 3085 ], + "I2": [ 3083 ], + "I3": [ 3082 ], + "I4": [ 3081 ], + "I5": [ 3347 ], + "O": [ 746 ] + } + }, + "LUT6_142": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28271.5-28278.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 729 ], + "I1": [ 689 ], + "I2": [ 743 ], + "I3": [ 751 ], + "I4": [ 741 ], + "I5": [ 3686 ], + "O": [ 3687 ] + } + }, + "LUT6_143": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28282.5-28289.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 751 ], + "I1": [ 741 ], + "I2": [ 729 ], + "I3": [ 689 ], + "I4": [ 743 ], + "I5": [ 3687 ], + "O": [ 750 ] + } + }, + "LUT6_144": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28293.5-28300.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 741 ], + "I1": [ 689 ], + "I2": [ 751 ], + "I3": [ 2681 ], + "I4": [ 743 ], + "I5": [ 729 ], + "O": [ 3682 ] + } + }, + "LUT6_145": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28304.5-28311.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2682 ], + "I1": [ 743 ], + "I2": [ 689 ], + "I3": [ 741 ], + "I4": [ 2681 ], + "I5": [ 751 ], + "O": [ 3672 ] + } + }, + "LUT6_146": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28315.5-28322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 725 ], + "I1": [ 765 ], + "I2": [ 763 ], + "I3": [ 747 ], + "I4": [ 723 ], + "I5": [ 685 ], + "O": [ 2691 ] + } + }, + "LUT6_147": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28326.5-28333.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3351 ], + "I1": [ 3352 ], + "I2": [ 3089 ], + "I3": [ 685 ], + "I4": [ 725 ], + "I5": [ 765 ], + "O": [ 738 ] + } + }, + "LUT6_148": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28337.5-28344.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 723 ], + "I1": [ 725 ], + "I2": [ 685 ], + "I3": [ 763 ], + "I4": [ 747 ], + "I5": [ 765 ], + "O": [ 2683 ] + } + }, + "LUT6_149": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28348.5-28355.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 771 ], + "I1": [ 773 ], + "I2": [ 717 ], + "I3": [ 779 ], + "I4": [ 775 ], + "I5": [ 3576 ], + "O": [ 768 ] + } + }, + "LUT6_14a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28359.5-28366.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 775 ], + "I1": [ 779 ], + "I2": [ 771 ], + "I3": [ 717 ], + "I4": [ 777 ], + "I5": [ 773 ], + "O": [ 3354 ] + } + }, + "LUT6_14b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28370.5-28377.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3354 ], + "I1": [ 717 ], + "I2": [ 777 ], + "I3": [ 775 ], + "I4": [ 771 ], + "I5": [ 779 ], + "O": [ 3688 ] + } + }, + "LUT6_14c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28381.5-28388.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 777 ], + "I1": [ 771 ], + "I2": [ 3354 ], + "I3": [ 717 ], + "I4": [ 775 ], + "I5": [ 3688 ], + "O": [ 724 ] + } + }, + "LUT6_14d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28392.5-28399.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3356 ], + "I1": [ 3355 ], + "I2": [ 717 ], + "I3": [ 3354 ], + "I4": [ 777 ], + "I5": [ 771 ], + "O": [ 744 ] + } + }, + "LUT6_14e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28403.5-28410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2695 ], + "I1": [ 3358 ], + "I2": [ 721 ], + "I3": [ 687 ], + "I4": [ 719 ], + "I5": [ 767 ], + "O": [ 774 ] + } + }, + "LUT6_14f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28414.5-28421.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 691 ], + "I1": [ 3051 ], + "I2": [ 719 ], + "I3": [ 755 ], + "I4": [ 737 ], + "I5": [ 767 ], + "O": [ 2692 ] + } + }, + "LUT6_15": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28425.5-28432.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2662 ], + "I1": [ 1091 ], + "I2": [ 1467 ], + "I3": [ 3679 ], + "I4": [ 989 ], + "I5": [ 3685 ], + "O": [ 1907 ] + } + }, + "LUT6_150": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28436.5-28443.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2691 ], + "I1": [ 2692 ], + "I2": [ 727 ], + "I3": [ 769 ], + "I4": [ 3051 ], + "I5": [ 719 ], + "O": [ 3689 ] + } + }, + "LUT6_151": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28447.5-28454.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2692 ], + "I1": [ 3051 ], + "I2": [ 727 ], + "I3": [ 2691 ], + "I4": [ 769 ], + "I5": [ 3689 ], + "O": [ 3690 ] + } + }, + "LUT6_152": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28458.5-28465.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2691 ], + "I1": [ 769 ], + "I2": [ 2692 ], + "I3": [ 3051 ], + "I4": [ 727 ], + "I5": [ 3690 ], + "O": [ 778 ] + } + }, + "LUT6_153": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28469.5-28476.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 737 ], + "I1": [ 687 ], + "I2": [ 3051 ], + "I3": [ 755 ], + "I4": [ 767 ], + "I5": [ 781 ], + "O": [ 3097 ] + } + }, + "LUT6_154": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28480.5-28487.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 755 ], + "I1": [ 3581 ], + "I2": [ 737 ], + "I3": [ 687 ], + "I4": [ 3357 ], + "I5": [ 781 ], + "O": [ 3359 ] + } + }, + "LUT6_155": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28491.5-28498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3691 ], + "I1": [ 2698 ], + "I2": [ 3692 ], + "I3": [ 737 ], + "I4": [ 691 ], + "I5": [ 3051 ], + "O": [ 730 ] + } + }, + "LUT6_156": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28502.5-28509.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 721 ], + "I1": [ 3094 ], + "I2": [ 687 ], + "I3": [ 691 ], + "I4": [ 3095 ], + "I5": [ 3360 ], + "O": [ 3582 ] + } + }, + "LUT6_157": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28513.5-28520.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3583 ], + "I1": [ 721 ], + "I2": [ 3051 ], + "I3": [ 727 ], + "I4": [ 3097 ], + "I5": [ 691 ], + "O": [ 3691 ] + } + }, + "LUT6_158": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28524.5-28531.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3051 ], + "I1": [ 737 ], + "I2": [ 691 ], + "I3": [ 721 ], + "I4": [ 3097 ], + "I5": [ 727 ], + "O": [ 3361 ] + } + }, + "LUT6_159": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28535.5-28542.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 737 ], + "I1": [ 3097 ], + "I2": [ 3361 ], + "I3": [ 721 ], + "I4": [ 691 ], + "I5": [ 3051 ], + "O": [ 3692 ] + } + }, + "LUT6_15a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28546.5-28553.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 811 ], + "I1": [ 809 ], + "I2": [ 1049 ], + "I3": [ 813 ], + "I4": [ 807 ], + "I5": [ 1037 ], + "O": [ 2701 ] + } + }, + "LUT6_15b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28557.5-28564.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 841 ], + "I1": [ 839 ], + "I2": [ 808 ], + "I3": [ 2701 ], + "I4": [ 784 ], + "I5": [ 835 ], + "O": [ 3693 ] + } + }, + "LUT6_15c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28568.5-28575.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 835 ], + "I1": [ 808 ], + "I2": [ 839 ], + "I3": [ 810 ], + "I4": [ 3693 ], + "I5": [ 784 ], + "O": [ 836 ] + } + }, + "LUT6_15d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28579.5-28586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 841 ], + "I1": [ 835 ], + "I2": [ 1614 ], + "I3": [ 3098 ], + "I4": [ 808 ], + "I5": [ 1543 ], + "O": [ 2702 ] + } + }, + "LUT6_15e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28590.5-28597.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 808 ], + "I1": [ 2701 ], + "I2": [ 835 ], + "I3": [ 1614 ], + "I4": [ 841 ], + "I5": [ 1543 ], + "O": [ 3364 ] + } + }, + "LUT6_15f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28601.5-28608.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 1614 ], + "I2": [ 843 ], + "I3": [ 837 ], + "I4": [ 509 ], + "I5": [ 421 ], + "O": [ 3694 ] + } + }, + "LUT6_16": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28612.5-28619.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1874 ], + "I1": [ 3577 ], + "I2": [ 2662 ], + "I3": [ 1091 ], + "I4": [ 1467 ], + "I5": [ 1572 ], + "O": [ 2688 ] + } + }, + "LUT6_160": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28623.5-28630.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1315 ], + "I1": [ 847 ], + "I2": [ 849 ], + "I3": [ 867 ], + "I4": [ 899 ], + "I5": [ 819 ], + "O": [ 3695 ] + } + }, + "LUT6_161": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28634.5-28641.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 819 ], + "I1": [ 899 ], + "I2": [ 849 ], + "I3": [ 847 ], + "I4": [ 833 ], + "I5": [ 867 ], + "O": [ 3585 ] + } + }, + "LUT6_162": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28645.5-28652.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3585 ], + "I1": [ 869 ], + "I2": [ 823 ], + "I3": [ 3584 ], + "I4": [ 3695 ], + "I5": [ 867 ], + "O": [ 3366 ] + } + }, + "LUT6_163": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28656.5-28663.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3367 ], + "I1": [ 2706 ], + "I2": [ 3366 ], + "I3": [ 833 ], + "I4": [ 3585 ], + "I5": [ 849 ], + "O": [ 420 ] + } + }, + "LUT6_164": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28667.5-28674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 847 ], + "I1": [ 819 ], + "I2": [ 899 ], + "I3": [ 849 ], + "I4": [ 3365 ], + "I5": [ 3696 ], + "O": [ 828 ] + } + }, + "LUT6_165": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28678.5-28685.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 899 ], + "I1": [ 847 ], + "I2": [ 819 ], + "I3": [ 833 ], + "I4": [ 3365 ], + "I5": [ 849 ], + "O": [ 3368 ] + } + }, + "LUT6_166": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28689.5-28696.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 899 ], + "I1": [ 833 ], + "I2": [ 847 ], + "I3": [ 3365 ], + "I4": [ 3368 ], + "I5": [ 819 ], + "O": [ 3697 ] + } + }, + "LUT6_167": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28700.5-28707.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2707 ], + "I1": [ 3368 ], + "I2": [ 833 ], + "I3": [ 847 ], + "I4": [ 3697 ], + "I5": [ 3365 ], + "O": [ 810 ] + } + }, + "LUT6_168": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28711.5-28718.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 819 ], + "I1": [ 833 ], + "I2": [ 849 ], + "I3": [ 847 ], + "I4": [ 869 ], + "I5": [ 899 ], + "O": [ 2711 ] + } + }, + "LUT6_169": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28722.5-28729.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 849 ], + "I1": [ 833 ], + "I2": [ 869 ], + "I3": [ 2711 ], + "I4": [ 819 ], + "I5": [ 847 ], + "O": [ 3369 ] + } + }, + "LUT6_16a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28733.5-28740.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 881 ], + "I1": [ 875 ], + "I2": [ 865 ], + "I3": [ 3370 ], + "I4": [ 3101 ], + "I5": [ 3371 ], + "O": [ 868 ] + } + }, + "LUT6_16b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28744.5-28751.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 457 ], + "I1": [ 903 ], + "I2": [ 905 ], + "I3": [ 849 ], + "I4": [ 873 ], + "I5": [ 875 ], + "O": [ 3588 ] + } + }, + "LUT6_16c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28755.5-28762.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 887 ], + "I1": [ 1315 ], + "I2": [ 899 ], + "I3": [ 399 ], + "I4": [ 1570 ], + "I5": [ 913 ], + "O": [ 2715 ] + } + }, + "LUT6_16d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28766.5-28773.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 895 ], + "I1": [ 907 ], + "I2": [ 855 ], + "I3": [ 901 ], + "I4": [ 395 ], + "I5": [ 917 ], + "O": [ 3102 ] + } + }, + "LUT6_16e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28777.5-28784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 901 ], + "I1": [ 395 ], + "I2": [ 393 ], + "I3": [ 881 ], + "I4": [ 887 ], + "I5": [ 857 ], + "O": [ 3372 ] + } + }, + "LUT6_16f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28788.5-28795.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1315 ], + "I1": [ 3372 ], + "I2": [ 887 ], + "I3": [ 909 ], + "I4": [ 855 ], + "I5": [ 393 ], + "O": [ 3698 ] + } + }, + "LUT6_17": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28799.5-28806.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3028 ], + "I1": [ 2662 ], + "I2": [ 2688 ], + "I3": [ 1467 ], + "I4": [ 3592 ], + "I5": [ 2689 ], + "O": [ 1737 ] + } + }, + "LUT6_170": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28810.5-28817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 909 ], + "I1": [ 1315 ], + "I2": [ 887 ], + "I3": [ 3372 ], + "I4": [ 855 ], + "I5": [ 3699 ], + "O": [ 896 ] + } + }, + "LUT6_171": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28821.5-28828.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3700 ], + "I1": [ 3372 ], + "I2": [ 909 ], + "I3": [ 895 ], + "I4": [ 887 ], + "I5": [ 1315 ], + "O": [ 866 ] + } + }, + "LUT6_172": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28832.5-28839.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 887 ], + "I1": [ 895 ], + "I2": [ 1315 ], + "I3": [ 909 ], + "I4": [ 3372 ], + "I5": [ 855 ], + "O": [ 3700 ] + } + }, + "LUT6_173": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28843.5-28850.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2713 ], + "I1": [ 909 ], + "I2": [ 887 ], + "I3": [ 3590 ], + "I4": [ 3372 ], + "I5": [ 895 ], + "O": [ 878 ] + } + }, + "LUT6_174": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28854.5-28861.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2714 ], + "I1": [ 909 ], + "I2": [ 3372 ], + "I3": [ 887 ], + "I4": [ 3590 ], + "I5": [ 2713 ], + "O": [ 852 ] + } + }, + "LUT6_175": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28865.5-28872.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 907 ], + "I1": [ 881 ], + "I2": [ 3102 ], + "I3": [ 2715 ], + "I4": [ 855 ], + "I5": [ 395 ], + "O": [ 3374 ] + } + }, + "LUT6_176": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28876.5-28883.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2718 ], + "I1": [ 2715 ], + "I2": [ 3374 ], + "I3": [ 907 ], + "I4": [ 2716 ], + "I5": [ 855 ], + "O": [ 888 ] + } + }, + "LUT6_177": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28887.5-28894.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 881 ], + "I1": [ 901 ], + "I2": [ 2716 ], + "I3": [ 3374 ], + "I4": [ 855 ], + "I5": [ 2715 ], + "O": [ 3593 ] + } + }, + "LUT6_178": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28898.5-28905.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 897 ], + "I1": [ 889 ], + "I2": [ 893 ], + "I3": [ 829 ], + "I4": [ 389 ], + "I5": [ 397 ], + "O": [ 3375 ] + } + }, + "LUT6_179": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28909.5-28916.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3701 ], + "I1": [ 3108 ], + "I2": [ 3594 ], + "I3": [ 3378 ], + "I4": [ 849 ], + "I5": [ 915 ], + "O": [ 916 ] + } + }, + "LUT6_17a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28920.5-28927.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 893 ], + "I1": [ 829 ], + "I2": [ 849 ], + "I3": [ 389 ], + "I4": [ 397 ], + "I5": [ 873 ], + "O": [ 2720 ] + } + }, + "LUT6_17b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28931.5-28938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2723 ], + "I1": [ 2720 ], + "I2": [ 2721 ], + "I3": [ 2722 ], + "I4": [ 3375 ], + "I5": [ 873 ], + "O": [ 876 ] + } + }, + "LUT6_17c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28942.5-28949.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 849 ], + "I1": [ 391 ], + "I2": [ 397 ], + "I3": [ 863 ], + "I4": [ 2730 ], + "I5": [ 2729 ], + "O": [ 3701 ] + } + }, + "LUT6_17d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28953.5-28960.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3702 ], + "I1": [ 863 ], + "I2": [ 2730 ], + "I3": [ 849 ], + "I4": [ 2729 ], + "I5": [ 397 ], + "O": [ 858 ] + } + }, + "LUT6_17e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28964.5-28971.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 391 ], + "I1": [ 2730 ], + "I2": [ 863 ], + "I3": [ 2729 ], + "I4": [ 849 ], + "I5": [ 397 ], + "O": [ 3702 ] + } + }, + "LUT6_17f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28975.5-28982.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2729 ], + "I1": [ 397 ], + "I2": [ 2730 ], + "I3": [ 849 ], + "I4": [ 391 ], + "I5": [ 863 ], + "O": [ 3104 ] + } + }, + "LUT6_18": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28986.5-28993.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2164 ], + "I2": [ 1978 ], + "I3": [ 1636 ], + "I4": [ 1980 ], + "I5": [ 2156 ], + "O": [ 3622 ] + } + }, + "LUT6_180": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:28997.5-29004.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3104 ], + "I1": [ 2731 ], + "I2": [ 3106 ], + "I3": [ 397 ], + "I4": [ 2730 ], + "I5": [ 3377 ], + "O": [ 912 ] + } + }, + "LUT6_181": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29008.5-29015.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1570 ], + "I1": [ 399 ], + "I2": [ 859 ], + "I3": [ 873 ], + "I4": [ 3113 ], + "I5": [ 3703 ], + "O": [ 3112 ] + } + }, + "LUT6_182": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29019.5-29026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3114 ], + "I1": [ 849 ], + "I2": [ 859 ], + "I3": [ 873 ], + "I4": [ 1570 ], + "I5": [ 399 ], + "O": [ 3379 ] + } + }, + "LUT6_183": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29030.5-29037.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3704 ], + "I1": [ 399 ], + "I2": [ 853 ], + "I3": [ 849 ], + "I4": [ 873 ], + "I5": [ 1570 ], + "O": [ 854 ] + } + }, + "LUT6_184": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29041.5-29048.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 873 ], + "I1": [ 853 ], + "I2": [ 859 ], + "I3": [ 399 ], + "I4": [ 1570 ], + "I5": [ 849 ], + "O": [ 3704 ] + } + }, + "LUT6_185": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29052.5-29059.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 859 ], + "I1": [ 1570 ], + "I2": [ 853 ], + "I3": [ 849 ], + "I4": [ 873 ], + "I5": [ 399 ], + "O": [ 3595 ] + } + }, + "LUT6_186": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29063.5-29070.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3705 ], + "I1": [ 859 ], + "I2": [ 849 ], + "I3": [ 2732 ], + "I4": [ 1570 ], + "I5": [ 853 ], + "O": [ 860 ] + } + }, + "LUT6_187": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29074.5-29081.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 849 ], + "I1": [ 3595 ], + "I2": [ 2732 ], + "I3": [ 853 ], + "I4": [ 859 ], + "I5": [ 1570 ], + "O": [ 3705 ] + } + }, + "LUT6_188": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29085.5-29092.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 899 ], + "I1": [ 829 ], + "I2": [ 871 ], + "I3": [ 849 ], + "I4": [ 823 ], + "I5": [ 399 ], + "O": [ 3600 ] + } + }, + "LUT6_189": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29096.5-29103.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3706 ], + "I1": [ 407 ], + "I2": [ 829 ], + "I3": [ 435 ], + "I4": [ 899 ], + "I5": [ 377 ], + "O": [ 3116 ] + } + }, + "LUT6_18a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29107.5-29114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 377 ], + "I1": [ 829 ], + "I2": [ 877 ], + "I3": [ 899 ], + "I4": [ 435 ], + "I5": [ 407 ], + "O": [ 3706 ] + } + }, + "LUT6_18b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29118.5-29125.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3707 ], + "I1": [ 877 ], + "I2": [ 899 ], + "I3": [ 407 ], + "I4": [ 435 ], + "I5": [ 377 ], + "O": [ 3596 ] + } + }, + "LUT6_18c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29129.5-29136.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 407 ], + "I1": [ 899 ], + "I2": [ 377 ], + "I3": [ 829 ], + "I4": [ 877 ], + "I5": [ 435 ], + "O": [ 3707 ] + } + }, + "LUT6_18d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29140.5-29147.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 377 ], + "I1": [ 899 ], + "I2": [ 435 ], + "I3": [ 407 ], + "I4": [ 829 ], + "I5": [ 877 ], + "O": [ 2735 ] + } + }, + "LUT6_18e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29151.5-29158.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1570 ], + "I1": [ 419 ], + "I2": [ 387 ], + "I3": [ 417 ], + "I4": [ 825 ], + "I5": [ 423 ], + "O": [ 2740 ] + } + }, + "LUT6_18f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29162.5-29169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 419 ], + "I1": [ 1570 ], + "I2": [ 3116 ], + "I3": [ 439 ], + "I4": [ 3596 ], + "I5": [ 3115 ], + "O": [ 3708 ] + } + }, + "LUT6_19": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29173.5-29180.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2164 ], + "I1": [ 1978 ], + "I2": [ 3622 ], + "I3": [ 1636 ], + "I4": [ 1980 ], + "I5": [ 2092 ], + "O": [ 2125 ] + } + }, + "LUT6_190": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29184.5-29191.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 439 ], + "I1": [ 419 ], + "I2": [ 1570 ], + "I3": [ 3116 ], + "I4": [ 3596 ], + "I5": [ 3708 ], + "O": [ 874 ] + } + }, + "LUT6_191": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29195.5-29202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 419 ], + "I1": [ 439 ], + "I2": [ 2740 ], + "I3": [ 3116 ], + "I4": [ 3596 ], + "I5": [ 3597 ], + "O": [ 418 ] + } + }, + "LUT6_192": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29206.5-29213.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2741 ], + "I1": [ 2740 ], + "I2": [ 3598 ], + "I3": [ 419 ], + "I4": [ 425 ], + "I5": [ 427 ], + "O": [ 3709 ] + } + }, + "LUT6_193": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29217.5-29224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3600 ], + "I1": [ 437 ], + "I2": [ 417 ], + "I3": [ 387 ], + "I4": [ 1570 ], + "I5": [ 3384 ], + "O": [ 3383 ] + } + }, + "LUT6_194": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29228.5-29235.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3710 ], + "I1": [ 387 ], + "I2": [ 1570 ], + "I3": [ 3384 ], + "I4": [ 3600 ], + "I5": [ 417 ], + "O": [ 414 ] + } + }, + "LUT6_195": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29239.5-29246.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 437 ], + "I1": [ 387 ], + "I2": [ 3600 ], + "I3": [ 417 ], + "I4": [ 1570 ], + "I5": [ 3384 ], + "O": [ 3710 ] + } + }, + "LUT6_196": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29250.5-29257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 437 ], + "I1": [ 387 ], + "I2": [ 1570 ], + "I3": [ 3384 ], + "I4": [ 417 ], + "I5": [ 3600 ], + "O": [ 3387 ] + } + }, + "LUT6_197": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29261.5-29268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 437 ], + "I1": [ 417 ], + "I2": [ 3387 ], + "I3": [ 387 ], + "I4": [ 3384 ], + "I5": [ 1570 ], + "O": [ 3711 ] + } + }, + "LUT6_198": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29272.5-29279.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3384 ], + "I1": [ 417 ], + "I2": [ 437 ], + "I3": [ 3387 ], + "I4": [ 387 ], + "I5": [ 3711 ], + "O": [ 382 ] + } + }, + "LUT6_199": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29283.5-29290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 429 ], + "I1": [ 433 ], + "I2": [ 411 ], + "I3": [ 2744 ], + "I4": [ 415 ], + "I5": [ 441 ], + "O": [ 436 ] + } + }, + "LUT6_19a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29294.5-29301.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 403 ], + "I1": [ 383 ], + "I2": [ 401 ], + "I3": [ 385 ], + "I4": [ 379 ], + "I5": [ 3712 ], + "O": [ 3119 ] + } + }, + "LUT6_19b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29305.5-29312.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 383 ], + "I1": [ 829 ], + "I2": [ 385 ], + "I3": [ 401 ], + "I4": [ 403 ], + "I5": [ 379 ], + "O": [ 3120 ] + } + }, + "LUT6_19c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29316.5-29323.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 383 ], + "I1": [ 403 ], + "I2": [ 401 ], + "I3": [ 829 ], + "I4": [ 379 ], + "I5": [ 385 ], + "O": [ 3604 ] + } + }, + "LUT6_19d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29327.5-29334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3604 ], + "I1": [ 383 ], + "I2": [ 401 ], + "I3": [ 829 ], + "I4": [ 379 ], + "I5": [ 403 ], + "O": [ 416 ] + } + }, + "LUT6_19e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29338.5-29345.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2747 ], + "I1": [ 829 ], + "I2": [ 3604 ], + "I3": [ 383 ], + "I4": [ 401 ], + "I5": [ 379 ], + "O": [ 3703 ] + } + }, + "LUT6_19f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29349.5-29356.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3713 ], + "I1": [ 1543 ], + "I2": [ 3694 ], + "I3": [ 821 ], + "I4": [ 867 ], + "I5": [ 3122 ], + "O": [ 822 ] + } + }, + "LUT6_1a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29360.5-29367.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1980 ], + "I1": [ 1978 ], + "I2": [ 3622 ], + "I3": [ 1636 ], + "I4": [ 2092 ], + "I5": [ 2164 ], + "O": [ 2763 ] + } + }, + "LUT6_1a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29371.5-29378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 821 ], + "I2": [ 3122 ], + "I3": [ 1543 ], + "I4": [ 867 ], + "I5": [ 3694 ], + "O": [ 3606 ] + } + }, + "LUT6_1a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29382.5-29389.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 3606 ], + "I2": [ 3122 ], + "I3": [ 821 ], + "I4": [ 867 ], + "I5": [ 1543 ], + "O": [ 3605 ] + } + }, + "LUT6_1a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29393.5-29400.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3123 ], + "I1": [ 3124 ], + "I2": [ 867 ], + "I3": [ 821 ], + "I4": [ 3122 ], + "I5": [ 3606 ], + "O": [ 3713 ] + } + }, + "LUT6_1a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29404.5-29411.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2701 ], + "I1": [ 867 ], + "I2": [ 1543 ], + "I3": [ 3606 ], + "I4": [ 821 ], + "I5": [ 3122 ], + "O": [ 3607 ] + } + }, + "LUT6_1a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29415.5-29422.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3122 ], + "I1": [ 2701 ], + "I2": [ 1543 ], + "I3": [ 3606 ], + "I4": [ 867 ], + "I5": [ 821 ], + "O": [ 3390 ] + } + }, + "LUT6_1a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29426.5-29433.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2748 ], + "I1": [ 3390 ], + "I2": [ 3122 ], + "I3": [ 1543 ], + "I4": [ 3391 ], + "I5": [ 2701 ], + "O": [ 818 ] + } + }, + "LUT6_1a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29437.5-29444.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 471 ], + "I1": [ 791 ], + "I2": [ 447 ], + "I3": [ 497 ], + "I4": [ 789 ], + "I5": [ 493 ], + "O": [ 3714 ] + } + }, + "LUT6_1a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29448.5-29455.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 467 ], + "I1": [ 447 ], + "I2": [ 791 ], + "I3": [ 471 ], + "I4": [ 455 ], + "I5": [ 2750 ], + "O": [ 3715 ] + } + }, + "LUT6_1a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29459.5-29466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 499 ], + "I1": [ 447 ], + "I2": [ 471 ], + "I3": [ 837 ], + "I4": [ 791 ], + "I5": [ 451 ], + "O": [ 3716 ] + } + }, + "LUT6_1a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29470.5-29477.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 447 ], + "I1": [ 791 ], + "I2": [ 497 ], + "I3": [ 837 ], + "I4": [ 2829 ], + "I5": [ 495 ], + "O": [ 3610 ] + } + }, + "LUT6_1aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29481.5-29488.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2754 ], + "I1": [ 2752 ], + "I2": [ 791 ], + "I3": [ 3716 ], + "I4": [ 3610 ], + "I5": [ 837 ], + "O": [ 3609 ] + } + }, + "LUT6_1ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29492.5-29499.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 837 ], + "I1": [ 2752 ], + "I2": [ 791 ], + "I3": [ 3610 ], + "I4": [ 2754 ], + "I5": [ 3716 ], + "O": [ 3717 ] + } + }, + "LUT6_1ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29503.5-29510.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 837 ], + "I1": [ 791 ], + "I2": [ 2754 ], + "I3": [ 2752 ], + "I4": [ 3610 ], + "I5": [ 3717 ], + "O": [ 3718 ] + } + }, + "LUT6_1ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29514.5-29521.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 837 ], + "I1": [ 2754 ], + "I2": [ 2752 ], + "I3": [ 791 ], + "I4": [ 3610 ], + "I5": [ 3718 ], + "O": [ 500 ] + } + }, + "LUT6_1ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29525.5-29532.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 791 ], + "I1": [ 3125 ], + "I2": [ 2752 ], + "I3": [ 837 ], + "I4": [ 3610 ], + "I5": [ 2754 ], + "O": [ 3608 ] + } + }, + "LUT6_1af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29536.5-29543.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 837 ], + "I1": [ 791 ], + "I2": [ 455 ], + "I3": [ 2829 ], + "I4": [ 469 ], + "I5": [ 789 ], + "O": [ 2756 ] + } + }, + "LUT6_1b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29547.5-29554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2158 ], + "I1": [ 1520 ], + "I2": [ 2160 ], + "I3": [ 593 ], + "I4": [ 1572 ], + "I5": [ 1738 ], + "O": [ 3719 ] + } + }, + "LUT6_1b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29558.5-29565.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2753 ], + "I1": [ 837 ], + "I2": [ 2829 ], + "I3": [ 455 ], + "I4": [ 791 ], + "I5": [ 2756 ], + "O": [ 3720 ] + } + }, + "LUT6_1b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29569.5-29576.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3721 ], + "I1": [ 455 ], + "I2": [ 2756 ], + "I3": [ 791 ], + "I4": [ 2829 ], + "I5": [ 837 ], + "O": [ 462 ] + } + }, + "LUT6_1b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29580.5-29587.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2753 ], + "I1": [ 2829 ], + "I2": [ 455 ], + "I3": [ 791 ], + "I4": [ 837 ], + "I5": [ 2756 ], + "O": [ 3721 ] + } + }, + "LUT6_1b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29591.5-29598.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 791 ], + "I1": [ 455 ], + "I2": [ 2756 ], + "I3": [ 2829 ], + "I4": [ 2753 ], + "I5": [ 837 ], + "O": [ 2755 ] + } + }, + "LUT6_1b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29602.5-29609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2829 ], + "I1": [ 2756 ], + "I2": [ 2755 ], + "I3": [ 2757 ], + "I4": [ 455 ], + "I5": [ 791 ], + "O": [ 506 ] + } + }, + "LUT6_1b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29613.5-29620.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 837 ], + "I1": [ 2756 ], + "I2": [ 455 ], + "I3": [ 791 ], + "I4": [ 469 ], + "I5": [ 3720 ], + "O": [ 3129 ] + } + }, + "LUT6_1b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29624.5-29631.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 491 ], + "I1": [ 505 ], + "I2": [ 475 ], + "I3": [ 465 ], + "I4": [ 503 ], + "I5": [ 445 ], + "O": [ 3722 ] + } + }, + "LUT6_1b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29635.5-29642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3133 ], + "I1": [ 3723 ], + "I2": [ 501 ], + "I3": [ 461 ], + "I4": [ 465 ], + "I5": [ 491 ], + "O": [ 492 ] + } + }, + "LUT6_1b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29646.5-29653.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3132 ], + "I1": [ 3724 ], + "I2": [ 449 ], + "I3": [ 453 ], + "I4": [ 461 ], + "I5": [ 3725 ], + "O": [ 454 ] + } + }, + "LUT6_1b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29657.5-29664.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3392 ], + "I1": [ 2761 ], + "I2": [ 3132 ], + "I3": [ 461 ], + "I4": [ 453 ], + "I5": [ 3130 ], + "O": [ 466 ] + } + }, + "LUT6_1ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29668.5-29675.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 453 ], + "I1": [ 2761 ], + "I2": [ 3132 ], + "I3": [ 461 ], + "I4": [ 3392 ], + "I5": [ 3130 ], + "O": [ 3393 ] + } + }, + "LUT6_1bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29679.5-29686.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 473 ], + "I1": [ 465 ], + "I2": [ 449 ], + "I3": [ 475 ], + "I4": [ 491 ], + "I5": [ 505 ], + "O": [ 2761 ] + } + }, + "LUT6_1bc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29690.5-29697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 1574 ], + "I2": [ 791 ], + "I3": [ 815 ], + "I4": [ 785 ], + "I5": [ 795 ], + "O": [ 3612 ] + } + }, + "LUT6_1bd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29701.5-29708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1054 ], + "I1": [ 990 ], + "I2": [ 525 ], + "I3": [ 2829 ], + "I4": [ 1273 ], + "I5": [ 2124 ], + "O": [ 480 ] + } + }, + "LUT6_1be": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29712.5-29719.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3394 ], + "I1": [ 485 ], + "I2": [ 2094 ], + "I3": [ 1547 ], + "I4": [ 3363 ], + "I5": [ 481 ], + "O": [ 1054 ] + } + }, + "LUT6_1bf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29723.5-29730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 483 ], + "I1": [ 1269 ], + "I2": [ 813 ], + "I3": [ 2124 ], + "I4": [ 993 ], + "I5": [ 811 ], + "O": [ 3726 ] + } + }, + "LUT6_1c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29734.5-29741.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1572 ], + "I1": [ 2158 ], + "I2": [ 1806 ], + "I3": [ 511 ], + "I4": [ 2162 ], + "I5": [ 1772 ], + "O": [ 3727 ] + } + }, + "LUT6_1c0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29745.5-29752.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 541 ], + "I2": [ 3615 ], + "I3": [ 3614 ], + "I4": [ 539 ], + "I5": [ 3616 ], + "O": [ 536 ] + } + }, + "LUT6_1c1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29756.5-29763.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1173 ], + "I1": [ 541 ], + "I2": [ 535 ], + "I3": [ 529 ], + "I4": [ 533 ], + "I5": [ 1183 ], + "O": [ 1136 ] + } + }, + "LUT6_1c2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29767.5-29774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 1127 ], + "I2": [ 537 ], + "I3": [ 1131 ], + "I4": [ 571 ], + "I5": [ 559 ], + "O": [ 3728 ] + } + }, + "LUT6_1c3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29778.5-29785.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 575 ], + "I1": [ 537 ], + "I2": [ 535 ], + "I3": [ 1131 ], + "I4": [ 1127 ], + "I5": [ 3728 ], + "O": [ 3729 ] + } + }, + "LUT6_1c4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29789.5-29796.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 537 ], + "I2": [ 575 ], + "I3": [ 1131 ], + "I4": [ 1127 ], + "I5": [ 557 ], + "O": [ 2767 ] + } + }, + "LUT6_1c5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29800.5-29807.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 557 ], + "I1": [ 577 ], + "I2": [ 535 ], + "I3": [ 1127 ], + "I4": [ 537 ], + "I5": [ 1131 ], + "O": [ 3397 ] + } + }, + "LUT6_1c6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29811.5-29818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1133 ], + "I1": [ 1129 ], + "I2": [ 547 ], + "I3": [ 555 ], + "I4": [ 573 ], + "I5": [ 563 ], + "O": [ 3617 ] + } + }, + "LUT6_1c7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29822.5-29829.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 527 ], + "I1": [ 1129 ], + "I2": [ 1133 ], + "I3": [ 533 ], + "I4": [ 555 ], + "I5": [ 513 ], + "O": [ 3619 ] + } + }, + "LUT6_1c8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29833.5-29840.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3140 ], + "I1": [ 533 ], + "I2": [ 555 ], + "I3": [ 3142 ], + "I4": [ 1133 ], + "I5": [ 547 ], + "O": [ 3618 ] + } + }, + "LUT6_1c9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29844.5-29851.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 555 ], + "I1": [ 3140 ], + "I2": [ 3619 ], + "I3": [ 513 ], + "I4": [ 533 ], + "I5": [ 1129 ], + "O": [ 2769 ] + } + }, + "LUT6_1ca": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29855.5-29862.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3140 ], + "I1": [ 533 ], + "I2": [ 513 ], + "I3": [ 2769 ], + "I4": [ 555 ], + "I5": [ 3619 ], + "O": [ 568 ] + } + }, + "LUT6_1cb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29866.5-29873.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3141 ], + "I1": [ 3140 ], + "I2": [ 513 ], + "I3": [ 555 ], + "I4": [ 2769 ], + "I5": [ 533 ], + "O": [ 552 ] + } + }, + "LUT6_1cc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29877.5-29884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3399 ], + "I1": [ 3142 ], + "I2": [ 513 ], + "I3": [ 555 ], + "I4": [ 1133 ], + "I5": [ 527 ], + "O": [ 518 ] + } + }, + "LUT6_1cd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29888.5-29895.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1149 ], + "I1": [ 1139 ], + "I2": [ 1153 ], + "I3": [ 1147 ], + "I4": [ 1141 ], + "I5": [ 3730 ], + "O": [ 1142 ] + } + }, + "LUT6_1ce": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29899.5-29906.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1149 ], + "I1": [ 2781 ], + "I2": [ 3401 ], + "I3": [ 2774 ], + "I4": [ 3143 ], + "I5": [ 2772 ], + "O": [ 3145 ] + } + }, + "LUT6_1cf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29910.5-29917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1149 ], + "I1": [ 2772 ], + "I2": [ 2781 ], + "I3": [ 3143 ], + "I4": [ 2774 ], + "I5": [ 3401 ], + "O": [ 2773 ] + } + }, + "LUT6_1d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29921.5-29928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2162 ], + "I1": [ 2126 ], + "I2": [ 1984 ], + "I3": [ 1942 ], + "I4": [ 511 ], + "I5": [ 1572 ], + "O": [ 3376 ] + } + }, + "LUT6_1d0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29932.5-29939.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3143 ], + "I1": [ 2774 ], + "I2": [ 1149 ], + "I3": [ 2772 ], + "I4": [ 2781 ], + "I5": [ 3401 ], + "O": [ 2779 ] + } + }, + "LUT6_1d1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29943.5-29950.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 551 ], + "I1": [ 1179 ], + "I2": [ 1145 ], + "I3": [ 553 ], + "I4": [ 567 ], + "I5": [ 531 ], + "O": [ 3730 ] + } + }, + "LUT6_1d2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29954.5-29961.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1179 ], + "I1": [ 553 ], + "I2": [ 523 ], + "I3": [ 1143 ], + "I4": [ 569 ], + "I5": [ 1151 ], + "O": [ 3402 ] + } + }, + "LUT6_1d3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29965.5-29972.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3731 ], + "I1": [ 3148 ], + "I2": [ 3146 ], + "I3": [ 3402 ], + "I4": [ 567 ], + "I5": [ 2784 ], + "O": [ 546 ] + } + }, + "LUT6_1d4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29976.5-29983.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2784 ], + "I1": [ 3148 ], + "I2": [ 1179 ], + "I3": [ 3146 ], + "I4": [ 567 ], + "I5": [ 3732 ], + "O": [ 572 ] + } + }, + "LUT6_1d5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29987.5-29994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 1179 ], + "I2": [ 3402 ], + "I3": [ 2784 ], + "I4": [ 3146 ], + "I5": [ 3148 ], + "O": [ 2788 ] + } + }, + "LUT6_1d6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:29998.5-30005.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1179 ], + "I1": [ 2788 ], + "I2": [ 567 ], + "I3": [ 3402 ], + "I4": [ 3149 ], + "I5": [ 2784 ], + "O": [ 576 ] + } + }, + "LUT6_1d7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30009.5-30016.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 531 ], + "I1": [ 2789 ], + "I2": [ 565 ], + "I3": [ 551 ], + "I4": [ 553 ], + "I5": [ 549 ], + "O": [ 3733 ] + } + }, + "LUT6_1d8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30020.5-30027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 553 ], + "I1": [ 3733 ], + "I2": [ 531 ], + "I3": [ 2789 ], + "I4": [ 551 ], + "I5": [ 565 ], + "O": [ 3734 ] + } + }, + "LUT6_1d9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30031.5-30038.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 531 ], + "I1": [ 553 ], + "I2": [ 2789 ], + "I3": [ 551 ], + "I4": [ 3733 ], + "I5": [ 3734 ], + "O": [ 1146 ] + } + }, + "LUT6_1da": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30042.5-30049.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 521 ], + "I1": [ 2793 ], + "I2": [ 1173 ], + "I3": [ 1136 ], + "I4": [ 1183 ], + "I5": [ 2797 ], + "O": [ 3735 ] + } + }, + "LUT6_1db": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30053.5-30060.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2793 ], + "I1": [ 1183 ], + "I2": [ 521 ], + "I3": [ 1173 ], + "I4": [ 1136 ], + "I5": [ 3735 ], + "O": [ 512 ] + } + }, + "LUT6_1dc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30064.5-30071.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1183 ], + "I1": [ 521 ], + "I2": [ 2793 ], + "I3": [ 1173 ], + "I4": [ 3735 ], + "I5": [ 1136 ], + "O": [ 3621 ] + } + }, + "LUT6_1dd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30075.5-30082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 529 ], + "I1": [ 517 ], + "I2": [ 2797 ], + "I3": [ 543 ], + "I4": [ 1136 ], + "I5": [ 2794 ], + "O": [ 558 ] + } + }, + "LUT6_1de": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30086.5-30093.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 543 ], + "I2": [ 3620 ], + "I3": [ 2797 ], + "I4": [ 529 ], + "I5": [ 1136 ], + "O": [ 3736 ] + } + }, + "LUT6_1df": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30097.5-30104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2797 ], + "I1": [ 3623 ], + "I2": [ 543 ], + "I3": [ 529 ], + "I4": [ 2795 ], + "I5": [ 517 ], + "O": [ 3737 ] + } + }, + "LUT6_1e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30108.5-30115.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1984 ], + "I1": [ 2162 ], + "I2": [ 511 ], + "I3": [ 2126 ], + "I4": [ 1942 ], + "I5": [ 1572 ], + "O": [ 3091 ] + } + }, + "LUT6_1e0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30119.5-30126.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2795 ], + "I1": [ 529 ], + "I2": [ 3623 ], + "I3": [ 543 ], + "I4": [ 2797 ], + "I5": [ 3737 ], + "O": [ 522 ] + } + }, + "LUT6_1e1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30130.5-30137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3738 ], + "I1": [ 1047 ], + "I2": [ 489 ], + "I3": [ 1163 ], + "I4": [ 541 ], + "I5": [ 2122 ], + "O": [ 1178 ] + } + }, + "LUT6_1e2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30141.5-30148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1271 ], + "I1": [ 541 ], + "I2": [ 1175 ], + "I3": [ 2122 ], + "I4": [ 1137 ], + "I5": [ 537 ], + "O": [ 3739 ] + } + }, + "LUT6_1e3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30152.5-30159.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1177 ], + "I1": [ 1271 ], + "I2": [ 1137 ], + "I3": [ 2122 ], + "I4": [ 1175 ], + "I5": [ 3739 ], + "O": [ 3740 ] + } + }, + "LUT6_1e4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30163.5-30170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 541 ], + "I1": [ 2122 ], + "I2": [ 1175 ], + "I3": [ 1177 ], + "I4": [ 1137 ], + "I5": [ 1271 ], + "O": [ 3741 ] + } + }, + "LUT6_1e5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30174.5-30181.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1271 ], + "I1": [ 2798 ], + "I2": [ 1137 ], + "I3": [ 2799 ], + "I4": [ 1177 ], + "I5": [ 1175 ], + "O": [ 3742 ] + } + }, + "LUT6_1e6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30185.5-30192.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1271 ], + "I1": [ 2798 ], + "I2": [ 1137 ], + "I3": [ 2799 ], + "I4": [ 1177 ], + "I5": [ 3742 ], + "O": [ 1186 ] + } + }, + "LUT6_1e7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30196.5-30203.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1175 ], + "I1": [ 541 ], + "I2": [ 2801 ], + "I3": [ 537 ], + "I4": [ 3743 ], + "I5": [ 3744 ], + "O": [ 2803 ] + } + }, + "LUT6_1e8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30207.5-30214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 3745 ], + "I2": [ 479 ], + "I3": [ 1191 ], + "I4": [ 1047 ], + "I5": [ 487 ], + "O": [ 3746 ] + } + }, + "LUT6_1e9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30218.5-30225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3747 ], + "I1": [ 3394 ], + "I2": [ 1161 ], + "I3": [ 3746 ], + "I4": [ 3745 ], + "I5": [ 3740 ], + "O": [ 3748 ] + } + }, + "LUT6_1ea": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30229.5-30236.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 1191 ], + "I2": [ 1181 ], + "I3": [ 479 ], + "I4": [ 3748 ], + "I5": [ 3747 ], + "O": [ 1182 ] + } + }, + "LUT6_1eb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30240.5-30247.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1181 ], + "I1": [ 1161 ], + "I2": [ 1185 ], + "I3": [ 1163 ], + "I4": [ 3394 ], + "I5": [ 479 ], + "O": [ 3159 ] + } + }, + "LUT6_1ec": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30251.5-30258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 1185 ], + "I2": [ 487 ], + "I3": [ 3394 ], + "I4": [ 1181 ], + "I5": [ 1047 ], + "O": [ 3160 ] + } + }, + "LUT6_1ed": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30262.5-30269.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1161 ], + "I1": [ 1191 ], + "I2": [ 3394 ], + "I3": [ 1185 ], + "I4": [ 487 ], + "I5": [ 1181 ], + "O": [ 3405 ] + } + }, + "LUT6_1ee": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30273.5-30280.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3160 ], + "I1": [ 3405 ], + "I2": [ 487 ], + "I3": [ 1185 ], + "I4": [ 3156 ], + "I5": [ 1163 ], + "O": [ 2807 ] + } + }, + "LUT6_1ef": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30284.5-30291.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2806 ], + "I1": [ 1191 ], + "I2": [ 1185 ], + "I3": [ 3160 ], + "I4": [ 487 ], + "I5": [ 3156 ], + "O": [ 3404 ] + } + }, + "LUT6_1f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30295.5-30302.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3637 ], + "I1": [ 511 ], + "I2": [ 2126 ], + "I3": [ 3091 ], + "I4": [ 1984 ], + "I5": [ 1572 ], + "O": [ 3109 ] + } + }, + "LUT6_1f0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30306.5-30313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 3156 ], + "I2": [ 1191 ], + "I3": [ 3405 ], + "I4": [ 3160 ], + "I5": [ 1185 ], + "O": [ 3157 ] + } + }, + "LUT6_1f1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30317.5-30324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3158 ], + "I1": [ 3157 ], + "I2": [ 3156 ], + "I3": [ 3405 ], + "I4": [ 487 ], + "I5": [ 1191 ], + "O": [ 530 ] + } + }, + "LUT6_1f2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30328.5-30335.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3156 ], + "I1": [ 3160 ], + "I2": [ 2807 ], + "I3": [ 2808 ], + "I4": [ 3159 ], + "I5": [ 3394 ], + "O": [ 3749 ] + } + }, + "LUT6_1f3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30339.5-30346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1167 ], + "I1": [ 1165 ], + "I2": [ 1191 ], + "I3": [ 1269 ], + "I4": [ 3726 ], + "I5": [ 1271 ], + "O": [ 1272 ] + } + }, + "LUT6_1f4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30350.5-30357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 486 ], + "I1": [ 3726 ], + "I2": [ 990 ], + "I3": [ 484 ], + "I4": [ 1272 ], + "I5": [ 486 ], + "O": [ 1164 ] + } + }, + "LUT6_1f5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30361.5-30368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 990 ], + "I1": [ 486 ], + "I2": [ 1167 ], + "I3": [ 1169 ], + "I4": [ 1270 ], + "I5": [ 1163 ], + "O": [ 1268 ] + } + }, + "LUT6_1f6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30372.5-30379.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1197 ], + "I1": [ 1163 ], + "I2": [ 1285 ], + "I3": [ 1203 ], + "I4": [ 1221 ], + "I5": [ 1201 ], + "O": [ 3750 ] + } + }, + "LUT6_1f7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30383.5-30390.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3751 ], + "I1": [ 1269 ], + "I2": [ 1205 ], + "I3": [ 1195 ], + "I4": [ 1199 ], + "I5": [ 1221 ], + "O": [ 1240 ] + } + }, + "LUT6_1f8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30394.5-30401.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1205 ], + "I1": [ 1199 ], + "I2": [ 1269 ], + "I3": [ 1197 ], + "I4": [ 1195 ], + "I5": [ 1221 ], + "O": [ 3408 ] + } + }, + "LUT6_1f9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30405.5-30412.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1199 ], + "I1": [ 1163 ], + "I2": [ 1269 ], + "I3": [ 1195 ], + "I4": [ 1205 ], + "I5": [ 1197 ], + "O": [ 2813 ] + } + }, + "LUT6_1fa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30416.5-30423.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 1195 ], + "I2": [ 2813 ], + "I3": [ 1269 ], + "I4": [ 1205 ], + "I5": [ 1199 ], + "O": [ 3751 ] + } + }, + "LUT6_1fb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30427.5-30434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 1205 ], + "I2": [ 1195 ], + "I3": [ 2813 ], + "I4": [ 1269 ], + "I5": [ 3408 ], + "O": [ 3752 ] + } + }, + "LUT6_1fc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30438.5-30445.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3752 ], + "I1": [ 1163 ], + "I2": [ 1195 ], + "I3": [ 1269 ], + "I4": [ 2813 ], + "I5": [ 1205 ], + "O": [ 3753 ] + } + }, + "LUT6_1fd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30449.5-30456.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1195 ], + "I1": [ 2813 ], + "I2": [ 1269 ], + "I3": [ 1163 ], + "I4": [ 3753 ], + "I5": [ 3752 ], + "O": [ 1244 ] + } + }, + "LUT6_1fe": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30460.5-30467.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 1195 ], + "I2": [ 3163 ], + "I3": [ 2813 ], + "I4": [ 1269 ], + "I5": [ 2814 ], + "O": [ 1252 ] + } + }, + "LUT6_1ff": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30471.5-30478.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2812 ], + "I1": [ 1199 ], + "I2": [ 3168 ], + "I3": [ 1203 ], + "I4": [ 1285 ], + "I5": [ 3750 ], + "O": [ 1218 ] + } + }, + "LUT6_2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30482.5-30489.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3204 ], + "I1": [ 348 ], + "I2": [ 592 ], + "I3": [ 3648 ], + "I4": [ 2546 ], + "I5": [ 2297 ], + "O": [ 346 ] + } + }, + "LUT6_20": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30493.5-30500.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3754 ], + "I1": [ 2162 ], + "I2": [ 2126 ], + "I3": [ 511 ], + "I4": [ 3091 ], + "I5": [ 1984 ], + "O": [ 3110 ] + } + }, + "LUT6_200": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30504.5-30511.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1203 ], + "I1": [ 3168 ], + "I2": [ 2812 ], + "I3": [ 1285 ], + "I4": [ 1199 ], + "I5": [ 3750 ], + "O": [ 3167 ] + } + }, + "LUT6_201": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30515.5-30522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3624 ], + "I1": [ 1285 ], + "I2": [ 3168 ], + "I3": [ 2812 ], + "I4": [ 1203 ], + "I5": [ 3167 ], + "O": [ 1222 ] + } + }, + "LUT6_202": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30526.5-30533.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1259 ], + "I1": [ 1255 ], + "I2": [ 1257 ], + "I3": [ 1231 ], + "I4": [ 1229 ], + "I5": [ 1217 ], + "O": [ 3626 ] + } + }, + "LUT6_203": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30537.5-30544.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1283 ], + "I1": [ 1235 ], + "I2": [ 1239 ], + "I3": [ 1237 ], + "I4": [ 1233 ], + "I5": [ 1269 ], + "O": [ 3630 ] + } + }, + "LUT6_204": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30548.5-30555.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3755 ], + "I1": [ 1283 ], + "I2": [ 1237 ], + "I3": [ 1233 ], + "I4": [ 1235 ], + "I5": [ 1269 ], + "O": [ 1278 ] + } + }, + "LUT6_205": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30559.5-30566.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1237 ], + "I1": [ 1239 ], + "I2": [ 1269 ], + "I3": [ 1233 ], + "I4": [ 1235 ], + "I5": [ 1283 ], + "O": [ 3755 ] + } + }, + "LUT6_206": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30570.5-30577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1237 ], + "I1": [ 1283 ], + "I2": [ 1269 ], + "I3": [ 1239 ], + "I4": [ 1233 ], + "I5": [ 1235 ], + "O": [ 3625 ] + } + }, + "LUT6_207": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30581.5-30588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1269 ], + "I1": [ 1239 ], + "I2": [ 1283 ], + "I3": [ 3625 ], + "I4": [ 1233 ], + "I5": [ 1237 ], + "O": [ 1276 ] + } + }, + "LUT6_208": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30592.5-30599.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2815 ], + "I1": [ 1269 ], + "I2": [ 1283 ], + "I3": [ 3625 ], + "I4": [ 1239 ], + "I5": [ 1233 ], + "O": [ 1274 ] + } + }, + "LUT6_209": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30603.5-30610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1249 ], + "I1": [ 1163 ], + "I2": [ 1279 ], + "I3": [ 1217 ], + "I4": [ 1211 ], + "I5": [ 1247 ], + "O": [ 3172 ] + } + }, + "LUT6_20a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30614.5-30621.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3628 ], + "I1": [ 3626 ], + "I2": [ 1243 ], + "I3": [ 3627 ], + "I4": [ 1217 ], + "I5": [ 1279 ], + "O": [ 1194 ] + } + }, + "LUT6_20b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30625.5-30632.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3627 ], + "I1": [ 1217 ], + "I2": [ 1243 ], + "I3": [ 2816 ], + "I4": [ 3626 ], + "I5": [ 1279 ], + "O": [ 3170 ] + } + }, + "LUT6_20c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30636.5-30643.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3172 ], + "I1": [ 3630 ], + "I2": [ 1279 ], + "I3": [ 1287 ], + "I4": [ 1163 ], + "I5": [ 3756 ], + "O": [ 3757 ] + } + }, + "LUT6_20d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30647.5-30654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1279 ], + "I1": [ 1249 ], + "I2": [ 1287 ], + "I3": [ 3630 ], + "I4": [ 3172 ], + "I5": [ 3757 ], + "O": [ 1228 ] + } + }, + "LUT6_20e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30658.5-30665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 1279 ], + "I2": [ 3172 ], + "I3": [ 1249 ], + "I4": [ 3630 ], + "I5": [ 1287 ], + "O": [ 3629 ] + } + }, + "LUT6_20f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30669.5-30676.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3758 ], + "I1": [ 3630 ], + "I2": [ 3172 ], + "I3": [ 1279 ], + "I4": [ 1287 ], + "I5": [ 1163 ], + "O": [ 1236 ] + } + }, + "LUT6_21": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30680.5-30687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1984 ], + "I1": [ 3091 ], + "I2": [ 1572 ], + "I3": [ 2162 ], + "I4": [ 511 ], + "I5": [ 2126 ], + "O": [ 3754 ] + } + }, + "LUT6_210": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30691.5-30698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3172 ], + "I1": [ 1163 ], + "I2": [ 1287 ], + "I3": [ 3630 ], + "I4": [ 1249 ], + "I5": [ 1279 ], + "O": [ 3758 ] + } + }, + "LUT6_211": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30702.5-30709.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1249 ], + "I1": [ 3172 ], + "I2": [ 1163 ], + "I3": [ 1279 ], + "I4": [ 1287 ], + "I5": [ 3630 ], + "O": [ 3171 ] + } + }, + "LUT6_212": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30713.5-30720.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1287 ], + "I1": [ 1249 ], + "I2": [ 3172 ], + "I3": [ 3171 ], + "I4": [ 1279 ], + "I5": [ 1163 ], + "O": [ 1196 ] + } + }, + "LUT6_213": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30724.5-30731.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3759 ], + "I1": [ 1251 ], + "I2": [ 1253 ], + "I3": [ 1281 ], + "I4": [ 1215 ], + "I5": [ 1277 ], + "O": [ 1202 ] + } + }, + "LUT6_214": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30735.5-30742.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1253 ], + "I1": [ 2821 ], + "I2": [ 1251 ], + "I3": [ 1277 ], + "I4": [ 1281 ], + "I5": [ 1215 ], + "O": [ 3759 ] + } + }, + "LUT6_215": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30746.5-30753.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1245 ], + "I1": [ 3631 ], + "I2": [ 1241 ], + "I3": [ 1219 ], + "I4": [ 1275 ], + "I5": [ 1223 ], + "O": [ 3174 ] + } + }, + "LUT6_216": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30757.5-30764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1245 ], + "I1": [ 1275 ], + "I2": [ 1241 ], + "I3": [ 1223 ], + "I4": [ 1219 ], + "I5": [ 3631 ], + "O": [ 2823 ] + } + }, + "LUT6_217": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30768.5-30775.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1207 ], + "I1": [ 1209 ], + "I2": [ 1293 ], + "I3": [ 1273 ], + "I4": [ 1265 ], + "I5": [ 1271 ], + "O": [ 2824 ] + } + }, + "LUT6_218": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30779.5-30786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1213 ], + "I1": [ 3726 ], + "I2": [ 1191 ], + "I3": [ 3726 ], + "I4": [ 1293 ], + "I5": [ 1267 ], + "O": [ 3411 ] + } + }, + "LUT6_219": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30790.5-30797.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1191 ], + "I1": [ 1263 ], + "I2": [ 1213 ], + "I3": [ 1209 ], + "I4": [ 509 ], + "I5": [ 3726 ], + "O": [ 3760 ] + } + }, + "LUT6_21a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30801.5-30808.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 509 ], + "I1": [ 1209 ], + "I2": [ 1213 ], + "I3": [ 3632 ], + "I4": [ 3411 ], + "I5": [ 3760 ], + "O": [ 3412 ] + } + }, + "LUT6_21b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30812.5-30819.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1209 ], + "I1": [ 2824 ], + "I2": [ 1293 ], + "I3": [ 1213 ], + "I4": [ 509 ], + "I5": [ 3413 ], + "O": [ 1262 ] + } + }, + "LUT6_21c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30823.5-30830.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2825 ], + "I1": [ 1293 ], + "I2": [ 2824 ], + "I3": [ 1209 ], + "I4": [ 3176 ], + "I5": [ 2826 ], + "O": [ 1168 ] + } + }, + "LUT6_21d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30834.5-30841.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1265 ], + "I1": [ 1291 ], + "I2": [ 1263 ], + "I3": [ 1191 ], + "I4": [ 1273 ], + "I5": [ 3635 ], + "O": [ 1290 ] + } + }, + "LUT6_21e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30845.5-30852.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3636 ], + "I1": [ 1191 ], + "I2": [ 1291 ], + "I3": [ 1273 ], + "I4": [ 1263 ], + "I5": [ 1265 ], + "O": [ 1214 ] + } + }, + "LUT6_21f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30856.5-30863.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1273 ], + "I1": [ 2828 ], + "I2": [ 1263 ], + "I3": [ 1291 ], + "I4": [ 1191 ], + "I5": [ 1265 ], + "O": [ 3761 ] + } + }, + "LUT6_22": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30867.5-30874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2126 ], + "I1": [ 3091 ], + "I2": [ 1984 ], + "I3": [ 2162 ], + "I4": [ 1572 ], + "I5": [ 511 ], + "O": [ 3389 ] + } + }, + "LUT6_220": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30878.5-30885.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1273 ], + "I1": [ 1291 ], + "I2": [ 2828 ], + "I3": [ 1263 ], + "I4": [ 1191 ], + "I5": [ 3761 ], + "O": [ 1216 ] + } + }, + "LUT6_221": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30889.5-30896.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1323 ], + "I1": [ 1001 ], + "I2": [ 2096 ], + "I3": [ 1547 ], + "I4": [ 2098 ], + "I5": [ 811 ], + "O": [ 1046 ] + } + }, + "LUT6_222": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30900.5-30907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1317 ], + "I1": [ 995 ], + "I2": [ 1319 ], + "I3": [ 991 ], + "I4": [ 1543 ], + "I5": [ 2701 ], + "O": [ 3432 ] + } + }, + "LUT6_223": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30911.5-30918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1321 ], + "I1": [ 1616 ], + "I2": [ 1315 ], + "I3": [ 1550 ], + "I4": [ 1313 ], + "I5": [ 1323 ], + "O": [ 2361 ] + } + }, + "LUT6_224": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30922.5-30929.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2038 ], + "I1": [ 1309 ], + "I2": [ 1327 ], + "I3": [ 2100 ], + "I4": [ 1550 ], + "I5": [ 1299 ], + "O": [ 3640 ] + } + }, + "LUT6_225": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30933.5-30940.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1017 ], + "I1": [ 2038 ], + "I2": [ 1327 ], + "I3": [ 1315 ], + "I4": [ 1013 ], + "I5": [ 525 ], + "O": [ 3639 ] + } + }, + "LUT6_226": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30944.5-30951.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1616 ], + "I1": [ 1315 ], + "I2": [ 1311 ], + "I3": [ 1017 ], + "I4": [ 2038 ], + "I5": [ 1301 ], + "O": [ 3179 ] + } + }, + "LUT6_227": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30955.5-30962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1311 ], + "I1": [ 1309 ], + "I2": [ 1327 ], + "I3": [ 1315 ], + "I4": [ 1313 ], + "I5": [ 1616 ], + "O": [ 3638 ] + } + }, + "LUT6_228": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30966.5-30973.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3642 ], + "I1": [ 1616 ], + "I2": [ 1309 ], + "I3": [ 1301 ], + "I4": [ 3179 ], + "I5": [ 1311 ], + "O": [ 1296 ] + } + }, + "LUT6_229": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30977.5-30984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1315 ], + "I1": [ 1309 ], + "I2": [ 1616 ], + "I3": [ 2038 ], + "I4": [ 1301 ], + "I5": [ 1313 ], + "O": [ 3643 ] + } + }, + "LUT6_22a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30988.5-30995.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1315 ], + "I1": [ 3179 ], + "I2": [ 1311 ], + "I3": [ 1616 ], + "I4": [ 1309 ], + "I5": [ 2038 ], + "O": [ 2830 ] + } + }, + "LUT6_22b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:30999.5-31006.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1017 ], + "I1": [ 2833 ], + "I2": [ 1327 ], + "I3": [ 1313 ], + "I4": [ 3418 ], + "I5": [ 3417 ], + "O": [ 1304 ] + } + }, + "LUT6_22c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31010.5-31017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2834 ], + "I1": [ 1313 ], + "I2": [ 1327 ], + "I3": [ 2833 ], + "I4": [ 3416 ], + "I5": [ 1017 ], + "O": [ 3215 ] + } + }, + "LUT6_22d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31021.5-31028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3182 ], + "I1": [ 1325 ], + "I2": [ 2837 ], + "I3": [ 1413 ], + "I4": [ 1339 ], + "I5": [ 1371 ], + "O": [ 3762 ] + } + }, + "LUT6_22e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31032.5-31039.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3182 ], + "I1": [ 2837 ], + "I2": [ 3762 ], + "I3": [ 1325 ], + "I4": [ 1413 ], + "I5": [ 2838 ], + "O": [ 1350 ] + } + }, + "LUT6_22f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31043.5-31050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2837 ], + "I1": [ 1325 ], + "I2": [ 3182 ], + "I3": [ 2838 ], + "I4": [ 1413 ], + "I5": [ 3762 ], + "O": [ 3644 ] + } + }, + "LUT6_23": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31054.5-31061.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3763 ], + "I1": [ 1772 ], + "I2": [ 1908 ], + "I3": [ 3727 ], + "I4": [ 1806 ], + "I5": [ 2158 ], + "O": [ 2057 ] + } + }, + "LUT6_230": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31065.5-31072.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1377 ], + "I1": [ 1379 ], + "I2": [ 1305 ], + "I3": [ 1361 ], + "I4": [ 1373 ], + "I5": [ 1415 ], + "O": [ 3182 ] + } + }, + "LUT6_231": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31076.5-31083.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3764 ], + "I1": [ 1305 ], + "I2": [ 1379 ], + "I3": [ 1373 ], + "I4": [ 1415 ], + "I5": [ 1361 ], + "O": [ 2835 ] + } + }, + "LUT6_232": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31087.5-31094.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1373 ], + "I1": [ 1305 ], + "I2": [ 1377 ], + "I3": [ 1361 ], + "I4": [ 1415 ], + "I5": [ 1379 ], + "O": [ 3764 ] + } + }, + "LUT6_233": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31098.5-31105.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1379 ], + "I1": [ 1361 ], + "I2": [ 1415 ], + "I3": [ 1305 ], + "I4": [ 1373 ], + "I5": [ 1377 ], + "O": [ 2839 ] + } + }, + "LUT6_234": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31109.5-31116.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1415 ], + "I1": [ 1379 ], + "I2": [ 1305 ], + "I3": [ 2839 ], + "I4": [ 1361 ], + "I5": [ 1373 ], + "O": [ 3765 ] + } + }, + "LUT6_235": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31120.5-31127.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2839 ], + "I1": [ 1305 ], + "I2": [ 1415 ], + "I3": [ 1379 ], + "I4": [ 3765 ], + "I5": [ 1361 ], + "O": [ 1382 ] + } + }, + "LUT6_236": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31131.5-31138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1331 ], + "I1": [ 1361 ], + "I2": [ 1343 ], + "I3": [ 1339 ], + "I4": [ 2836 ], + "I5": [ 1411 ], + "O": [ 3645 ] + } + }, + "LUT6_237": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31142.5-31149.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1411 ], + "I1": [ 1343 ], + "I2": [ 1331 ], + "I3": [ 1339 ], + "I4": [ 1361 ], + "I5": [ 2836 ], + "O": [ 3419 ] + } + }, + "LUT6_238": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31153.5-31160.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2361 ], + "I1": [ 1417 ], + "I2": [ 1367 ], + "I3": [ 1335 ], + "I4": [ 1297 ], + "I5": [ 1375 ], + "O": [ 3766 ] + } + }, + "LUT6_239": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31164.5-31171.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1355 ], + "I1": [ 1335 ], + "I2": [ 3196 ], + "I3": [ 1375 ], + "I4": [ 3766 ], + "I5": [ 3767 ], + "O": [ 3186 ] + } + }, + "LUT6_23a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31175.5-31182.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1419 ], + "I1": [ 1307 ], + "I2": [ 1417 ], + "I3": [ 1015 ], + "I4": [ 1355 ], + "I5": [ 1367 ], + "O": [ 2844 ] + } + }, + "LUT6_23b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31186.5-31193.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1015 ], + "I1": [ 1297 ], + "I2": [ 1419 ], + "I3": [ 1307 ], + "I4": [ 1355 ], + "I5": [ 1417 ], + "O": [ 2842 ] + } + }, + "LUT6_23c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31197.5-31204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1297 ], + "I1": [ 1419 ], + "I2": [ 2844 ], + "I3": [ 1307 ], + "I4": [ 2842 ], + "I5": [ 1355 ], + "O": [ 3420 ] + } + }, + "LUT6_23d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31208.5-31215.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2842 ], + "I1": [ 1297 ], + "I2": [ 1307 ], + "I3": [ 2844 ], + "I4": [ 1355 ], + "I5": [ 1419 ], + "O": [ 3768 ] + } + }, + "LUT6_23e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31219.5-31226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3769 ], + "I1": [ 1307 ], + "I2": [ 1419 ], + "I3": [ 2844 ], + "I4": [ 1355 ], + "I5": [ 3768 ], + "O": [ 1384 ] + } + }, + "LUT6_23f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31230.5-31237.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1419 ], + "I1": [ 2842 ], + "I2": [ 1307 ], + "I3": [ 1297 ], + "I4": [ 1355 ], + "I5": [ 2844 ], + "O": [ 3769 ] + } + }, + "LUT6_24": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31241.5-31248.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3727 ], + "I1": [ 3719 ], + "I2": [ 1908 ], + "I3": [ 1772 ], + "I4": [ 1806 ], + "I5": [ 2158 ], + "O": [ 3763 ] + } + }, + "LUT6_240": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31252.5-31259.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1297 ], + "I1": [ 1419 ], + "I2": [ 1355 ], + "I3": [ 2844 ], + "I4": [ 1307 ], + "I5": [ 2842 ], + "O": [ 3646 ] + } + }, + "LUT6_241": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31263.5-31270.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1419 ], + "I1": [ 2844 ], + "I2": [ 1307 ], + "I3": [ 1297 ], + "I4": [ 2842 ], + "I5": [ 1355 ], + "O": [ 3187 ] + } + }, + "LUT6_242": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31274.5-31281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3188 ], + "I1": [ 2845 ], + "I2": [ 2844 ], + "I3": [ 1297 ], + "I4": [ 1419 ], + "I5": [ 1307 ], + "O": [ 1332 ] + } + }, + "LUT6_243": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31285.5-31292.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1341 ], + "I1": [ 1333 ], + "I2": [ 1357 ], + "I3": [ 1395 ], + "I4": [ 1351 ], + "I5": [ 1393 ], + "O": [ 3770 ] + } + }, + "LUT6_244": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31296.5-31303.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1351 ], + "I1": [ 1357 ], + "I2": [ 1333 ], + "I3": [ 1395 ], + "I4": [ 3190 ], + "I5": [ 1341 ], + "O": [ 2847 ] + } + }, + "LUT6_245": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31307.5-31314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1351 ], + "I1": [ 3770 ], + "I2": [ 3190 ], + "I3": [ 1357 ], + "I4": [ 3423 ], + "I5": [ 1333 ], + "O": [ 2846 ] + } + }, + "LUT6_246": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31318.5-31325.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3190 ], + "I1": [ 3423 ], + "I2": [ 1351 ], + "I3": [ 1395 ], + "I4": [ 3770 ], + "I5": [ 1357 ], + "O": [ 3422 ] + } + }, + "LUT6_247": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31329.5-31336.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3424 ], + "I1": [ 3423 ], + "I2": [ 1351 ], + "I3": [ 3422 ], + "I4": [ 1395 ], + "I5": [ 3190 ], + "O": [ 1374 ] + } + }, + "LUT6_248": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31340.5-31347.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2847 ], + "I1": [ 1357 ], + "I2": [ 3770 ], + "I3": [ 3190 ], + "I4": [ 2846 ], + "I5": [ 3423 ], + "O": [ 3771 ] + } + }, + "LUT6_249": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31351.5-31358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3190 ], + "I1": [ 2846 ], + "I2": [ 2847 ], + "I3": [ 3770 ], + "I4": [ 1357 ], + "I5": [ 3771 ], + "O": [ 1360 ] + } + }, + "LUT6_24a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31362.5-31369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1391 ], + "I1": [ 1387 ], + "I2": [ 3189 ], + "I3": [ 1383 ], + "I4": [ 3193 ], + "I5": [ 3428 ], + "O": [ 1346 ] + } + }, + "LUT6_24b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31373.5-31380.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3431 ], + "I1": [ 1383 ], + "I2": [ 3193 ], + "I3": [ 1353 ], + "I4": [ 3189 ], + "I5": [ 1391 ], + "O": [ 1326 ] + } + }, + "LUT6_24c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31384.5-31391.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3772 ], + "I1": [ 1387 ], + "I2": [ 3193 ], + "I3": [ 1391 ], + "I4": [ 1383 ], + "I5": [ 1353 ], + "O": [ 1370 ] + } + }, + "LUT6_24d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31395.5-31402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1353 ], + "I1": [ 1383 ], + "I2": [ 1387 ], + "I3": [ 3193 ], + "I4": [ 3189 ], + "I5": [ 1391 ], + "O": [ 3772 ] + } + }, + "LUT6_24e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31406.5-31413.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3189 ], + "I1": [ 3193 ], + "I2": [ 1383 ], + "I3": [ 1353 ], + "I4": [ 1391 ], + "I5": [ 1387 ], + "O": [ 3773 ] + } + }, + "LUT6_24f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31417.5-31424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1391 ], + "I1": [ 1353 ], + "I2": [ 1383 ], + "I3": [ 3193 ], + "I4": [ 3189 ], + "I5": [ 3773 ], + "O": [ 3774 ] + } + }, + "LUT6_25": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31428.5-31435.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1908 ], + "I1": [ 1772 ], + "I2": [ 2158 ], + "I3": [ 3727 ], + "I4": [ 1806 ], + "I5": [ 3719 ], + "O": [ 3775 ] + } + }, + "LUT6_250": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31439.5-31446.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1353 ], + "I1": [ 1391 ], + "I2": [ 3189 ], + "I3": [ 3193 ], + "I4": [ 1383 ], + "I5": [ 3774 ], + "O": [ 1412 ] + } + }, + "LUT6_251": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31450.5-31457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1343 ], + "I1": [ 1369 ], + "I2": [ 1389 ], + "I3": [ 1385 ], + "I4": [ 1365 ], + "I5": [ 1381 ], + "O": [ 3193 ] + } + }, + "LUT6_252": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31461.5-31468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1369 ], + "I1": [ 1389 ], + "I2": [ 1385 ], + "I3": [ 1381 ], + "I4": [ 1343 ], + "I5": [ 1365 ], + "O": [ 3195 ] + } + }, + "LUT6_253": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31472.5-31479.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1385 ], + "I1": [ 1369 ], + "I2": [ 1389 ], + "I3": [ 1381 ], + "I4": [ 1343 ], + "I5": [ 3195 ], + "O": [ 2851 ] + } + }, + "LUT6_254": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31483.5-31490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 1011 ], + "I2": [ 3432 ], + "I3": [ 1053 ], + "I4": [ 1053 ], + "I5": [ 1021 ], + "O": [ 3776 ] + } + }, + "LUT6_255": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31494.5-31501.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 1550 ], + "I2": [ 1403 ], + "I3": [ 1423 ], + "I4": [ 1053 ], + "I5": [ 3433 ], + "O": [ 2852 ] + } + }, + "LUT6_256": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31505.5-31512.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1013 ], + "I1": [ 1009 ], + "I2": [ 1421 ], + "I3": [ 1053 ], + "I4": [ 1015 ], + "I5": [ 1019 ], + "O": [ 3777 ] + } + }, + "LUT6_257": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31516.5-31523.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1009 ], + "I1": [ 1421 ], + "I2": [ 3777 ], + "I3": [ 1017 ], + "I4": [ 1013 ], + "I5": [ 1053 ], + "O": [ 3434 ] + } + }, + "LUT6_258": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31527.5-31534.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1313 ], + "I1": [ 1009 ], + "I2": [ 1421 ], + "I3": [ 1013 ], + "I4": [ 1017 ], + "I5": [ 3777 ], + "O": [ 3778 ] + } + }, + "LUT6_259": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31538.5-31545.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1313 ], + "I1": [ 1013 ], + "I2": [ 1550 ], + "I3": [ 1421 ], + "I4": [ 1015 ], + "I5": [ 1009 ], + "O": [ 2853 ] + } + }, + "LUT6_25a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31549.5-31556.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1421 ], + "I1": [ 1313 ], + "I2": [ 1550 ], + "I3": [ 1009 ], + "I4": [ 1015 ], + "I5": [ 1013 ], + "O": [ 2855 ] + } + }, + "LUT6_25b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31560.5-31567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1345 ], + "I1": [ 1005 ], + "I2": [ 1401 ], + "I3": [ 1345 ], + "I4": [ 2860 ], + "I5": [ 2335 ], + "O": [ 1444 ] + } + }, + "LUT6_25c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31571.5-31578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3435 ], + "I1": [ 1451 ], + "I2": [ 1345 ], + "I3": [ 1005 ], + "I4": [ 1401 ], + "I5": [ 2860 ], + "O": [ 1004 ] + } + }, + "LUT6_25d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31582.5-31589.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1401 ], + "I1": [ 1345 ], + "I2": [ 1345 ], + "I3": [ 1005 ], + "I4": [ 1451 ], + "I5": [ 2860 ], + "O": [ 3779 ] + } + }, + "LUT6_25e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31593.5-31600.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1005 ], + "I1": [ 1345 ], + "I2": [ 1451 ], + "I3": [ 1345 ], + "I4": [ 1401 ], + "I5": [ 3779 ], + "O": [ 3780 ] + } + }, + "LUT6_25f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31604.5-31611.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1451 ], + "I1": [ 1345 ], + "I2": [ 1005 ], + "I3": [ 1345 ], + "I4": [ 1401 ], + "I5": [ 3780 ], + "O": [ 1006 ] + } + }, + "LUT6_26": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31615.5-31622.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1806 ], + "I1": [ 1908 ], + "I2": [ 1772 ], + "I3": [ 3775 ], + "I4": [ 3727 ], + "I5": [ 2158 ], + "O": [ 3442 ] + } + }, + "LUT6_260": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31626.5-31633.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1455 ], + "I1": [ 1457 ], + "I2": [ 1007 ], + "I3": [ 1425 ], + "I4": [ 1453 ], + "I5": [ 1345 ], + "O": [ 3447 ] + } + }, + "LUT6_261": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31637.5-31644.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 947 ], + "I1": [ 3211 ], + "I2": [ 941 ], + "I3": [ 3212 ], + "I4": [ 2337 ], + "I5": [ 961 ], + "O": [ 3781 ] + } + }, + "LUT6_262": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31648.5-31655.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 947 ], + "I1": [ 941 ], + "I2": [ 2337 ], + "I3": [ 3211 ], + "I4": [ 3781 ], + "I5": [ 3212 ], + "O": [ 1438 ] + } + }, + "LUT6_263": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31659.5-31666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3782 ], + "I1": [ 943 ], + "I2": [ 941 ], + "I3": [ 961 ], + "I4": [ 925 ], + "I5": [ 983 ], + "O": [ 922 ] + } + }, + "LUT6_264": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31670.5-31677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 961 ], + "I1": [ 983 ], + "I2": [ 925 ], + "I3": [ 1407 ], + "I4": [ 941 ], + "I5": [ 943 ], + "O": [ 3782 ] + } + }, + "LUT6_265": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31681.5-31688.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3783 ], + "I1": [ 925 ], + "I2": [ 943 ], + "I3": [ 983 ], + "I4": [ 961 ], + "I5": [ 941 ], + "O": [ 3445 ] + } + }, + "LUT6_266": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31692.5-31699.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 943 ], + "I1": [ 983 ], + "I2": [ 941 ], + "I3": [ 925 ], + "I4": [ 961 ], + "I5": [ 1407 ], + "O": [ 3783 ] + } + }, + "LUT6_267": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31703.5-31710.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1407 ], + "I1": [ 961 ], + "I2": [ 943 ], + "I3": [ 941 ], + "I4": [ 925 ], + "I5": [ 983 ], + "O": [ 2865 ] + } + }, + "LUT6_268": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31714.5-31721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2863 ], + "I1": [ 961 ], + "I2": [ 2865 ], + "I3": [ 2864 ], + "I4": [ 1407 ], + "I5": [ 943 ], + "O": [ 3206 ] + } + }, + "LUT6_269": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31725.5-31732.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1435 ], + "I1": [ 953 ], + "I2": [ 977 ], + "I3": [ 975 ], + "I4": [ 957 ], + "I5": [ 1441 ], + "O": [ 3440 ] + } + }, + "LUT6_26a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31736.5-31743.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3784 ], + "I1": [ 3441 ], + "I2": [ 2866 ], + "I3": [ 2339 ], + "I4": [ 953 ], + "I5": [ 975 ], + "O": [ 930 ] + } + }, + "LUT6_26b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31747.5-31754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 959 ], + "I1": [ 2866 ], + "I2": [ 953 ], + "I3": [ 3441 ], + "I4": [ 975 ], + "I5": [ 2339 ], + "O": [ 3784 ] + } + }, + "LUT6_26c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31758.5-31765.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 959 ], + "I1": [ 977 ], + "I2": [ 3207 ], + "I3": [ 1435 ], + "I4": [ 3209 ], + "I5": [ 3208 ], + "O": [ 3785 ] + } + }, + "LUT6_26d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31769.5-31776.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3207 ], + "I1": [ 959 ], + "I2": [ 977 ], + "I3": [ 1435 ], + "I4": [ 3209 ], + "I5": [ 3785 ], + "O": [ 938 ] + } + }, + "LUT6_26e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31780.5-31787.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 957 ], + "I1": [ 945 ], + "I2": [ 1405 ], + "I3": [ 977 ], + "I4": [ 987 ], + "I5": [ 1449 ], + "O": [ 3208 ] + } + }, + "LUT6_26f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31791.5-31798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2343 ], + "I1": [ 977 ], + "I2": [ 957 ], + "I3": [ 2867 ], + "I4": [ 1405 ], + "I5": [ 945 ], + "O": [ 980 ] + } + }, + "LUT6_27": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31802.5-31809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 593 ], + "I1": [ 1602 ], + "I2": [ 2160 ], + "I3": [ 1538 ], + "I4": [ 1988 ], + "I5": [ 1520 ], + "O": [ 2401 ] + } + }, + "LUT6_270": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31813.5-31820.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2342 ], + "I1": [ 945 ], + "I2": [ 977 ], + "I3": [ 957 ], + "I4": [ 2867 ], + "I5": [ 1405 ], + "O": [ 3443 ] + } + }, + "LUT6_271": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31824.5-31831.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 981 ], + "I1": [ 973 ], + "I2": [ 969 ], + "I3": [ 933 ], + "I4": [ 985 ], + "I5": [ 971 ], + "O": [ 3210 ] + } + }, + "LUT6_272": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31835.5-31842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3786 ], + "I1": [ 985 ], + "I2": [ 973 ], + "I3": [ 933 ], + "I4": [ 981 ], + "I5": [ 971 ], + "O": [ 974 ] + } + }, + "LUT6_273": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31846.5-31853.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 933 ], + "I1": [ 985 ], + "I2": [ 969 ], + "I3": [ 971 ], + "I4": [ 981 ], + "I5": [ 973 ], + "O": [ 3786 ] + } + }, + "LUT6_274": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31857.5-31864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 969 ], + "I1": [ 2344 ], + "I2": [ 3787 ], + "I3": [ 981 ], + "I4": [ 985 ], + "I5": [ 971 ], + "O": [ 2869 ] + } + }, + "LUT6_275": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31868.5-31875.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 937 ], + "I1": [ 923 ], + "I2": [ 967 ], + "I3": [ 939 ], + "I4": [ 1439 ], + "I5": [ 1443 ], + "O": [ 2347 ] + } + }, + "LUT6_276": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31879.5-31886.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1439 ], + "I1": [ 939 ], + "I2": [ 937 ], + "I3": [ 967 ], + "I4": [ 923 ], + "I5": [ 2348 ], + "O": [ 952 ] + } + }, + "LUT6_277": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31890.5-31897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 967 ], + "I1": [ 937 ], + "I2": [ 3444 ], + "I3": [ 939 ], + "I4": [ 923 ], + "I5": [ 1439 ], + "O": [ 3788 ] + } + }, + "LUT6_278": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31901.5-31908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3444 ], + "I1": [ 939 ], + "I2": [ 937 ], + "I3": [ 923 ], + "I4": [ 967 ], + "I5": [ 3788 ], + "O": [ 1454 ] + } + }, + "LUT6_279": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31912.5-31919.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2352 ], + "I1": [ 1437 ], + "I2": [ 2351 ], + "I3": [ 3445 ], + "I4": [ 927 ], + "I5": [ 931 ], + "O": [ 924 ] + } + }, + "LUT6_27a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31923.5-31930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1437 ], + "I1": [ 931 ], + "I2": [ 1433 ], + "I3": [ 929 ], + "I4": [ 2353 ], + "I5": [ 3789 ], + "O": [ 962 ] + } + }, + "LUT6_27b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31934.5-31941.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2354 ], + "I1": [ 929 ], + "I2": [ 1433 ], + "I3": [ 2353 ], + "I4": [ 931 ], + "I5": [ 1437 ], + "O": [ 1434 ] + } + }, + "LUT6_27c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31945.5-31952.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3790 ], + "I1": [ 3791 ], + "I2": [ 3432 ], + "I3": [ 949 ], + "I4": [ 935 ], + "I5": [ 951 ], + "O": [ 932 ] + } + }, + "LUT6_27d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31956.5-31963.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 949 ], + "I1": [ 965 ], + "I2": [ 951 ], + "I3": [ 963 ], + "I4": [ 935 ], + "I5": [ 3432 ], + "O": [ 3792 ] + } + }, + "LUT6_27e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31967.5-31974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 949 ], + "I1": [ 951 ], + "I2": [ 2355 ], + "I3": [ 965 ], + "I4": [ 963 ], + "I5": [ 3792 ], + "O": [ 3793 ] + } + }, + "LUT6_27f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31978.5-31985.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 965 ], + "I1": [ 949 ], + "I2": [ 963 ], + "I3": [ 951 ], + "I4": [ 2355 ], + "I5": [ 3793 ], + "O": [ 1002 ] + } + }, + "LUT6_28": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:31989.5-31996.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1602 ], + "I1": [ 2160 ], + "I2": [ 593 ], + "I3": [ 1988 ], + "I4": [ 1538 ], + "I5": [ 1520 ], + "O": [ 2380 ] + } + }, + "LUT6_280": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32000.5-32007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3794 ], + "I1": [ 1461 ], + "I2": [ 1463 ], + "I3": [ 1399 ], + "I4": [ 1459 ], + "I5": [ 1409 ], + "O": [ 1450 ] + } + }, + "LUT6_281": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32011.5-32018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1463 ], + "I1": [ 1409 ], + "I2": [ 1399 ], + "I3": [ 3447 ], + "I4": [ 1461 ], + "I5": [ 1459 ], + "O": [ 3794 ] + } + }, + "LUT6_282": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32022.5-32029.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1463 ], + "I1": [ 1461 ], + "I2": [ 1459 ], + "I3": [ 1399 ], + "I4": [ 1409 ], + "I5": [ 3447 ], + "O": [ 2358 ] + } + }, + "LUT6_283": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32033.5-32040.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1399 ], + "I1": [ 1463 ], + "I2": [ 1409 ], + "I3": [ 1461 ], + "I4": [ 1459 ], + "I5": [ 2358 ], + "O": [ 1446 ] + } + }, + "LUT6_284": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32044.5-32051.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2358 ], + "I1": [ 1459 ], + "I2": [ 1463 ], + "I3": [ 1461 ], + "I4": [ 1409 ], + "I5": [ 1399 ], + "O": [ 2360 ] + } + }, + "LUT6_285": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32055.5-32062.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1025 ], + "I1": [ 1473 ], + "I2": [ 1071 ], + "I3": [ 2039 ], + "I4": [ 3795 ], + "I5": [ 2102 ], + "O": [ 2103 ] + } + }, + "LUT6_286": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32066.5-32073.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2136 ], + "I1": [ 749 ], + "I2": [ 2138 ], + "I3": [ 2140 ], + "I4": [ 2142 ], + "I5": [ 2144 ], + "O": [ 1625 ] + } + }, + "LUT6_287": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32077.5-32084.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1025 ], + "I1": [ 1774 ], + "I2": [ 1027 ], + "I3": [ 372 ], + "I4": [ 1033 ], + "I5": [ 2039 ], + "O": [ 1474 ] + } + }, + "LUT6_288": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32088.5-32095.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1085 ], + "I1": [ 1079 ], + "I2": [ 1031 ], + "I3": [ 2146 ], + "I4": [ 1475 ], + "I5": [ 2148 ], + "O": [ 2914 ] + } + }, + "LUT6_289": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32099.5-32106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3217 ], + "I1": [ 2371 ], + "I2": [ 1083 ], + "I3": [ 2363 ], + "I4": [ 1075 ], + "I5": [ 2364 ], + "O": [ 1072 ] + } + }, + "LUT6_28a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32110.5-32117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 2364 ], + "I2": [ 2363 ], + "I3": [ 1087 ], + "I4": [ 1059 ], + "I5": [ 1085 ], + "O": [ 1082 ] + } + }, + "LUT6_28b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32121.5-32128.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1087 ], + "I1": [ 2363 ], + "I2": [ 1059 ], + "I3": [ 1089 ], + "I4": [ 1083 ], + "I5": [ 1079 ], + "O": [ 2364 ] + } + }, + "LUT6_28c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32132.5-32139.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1079 ], + "I1": [ 597 ], + "I2": [ 1469 ], + "I3": [ 1035 ], + "I4": [ 1081 ], + "I5": [ 681 ], + "O": [ 3796 ] + } + }, + "LUT6_28d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32143.5-32150.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1087 ], + "I1": [ 1083 ], + "I2": [ 1059 ], + "I3": [ 1073 ], + "I4": [ 1620 ], + "I5": [ 1089 ], + "O": [ 2363 ] + } + }, + "LUT6_28e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32154.5-32161.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1648 ], + "I1": [ 1694 ], + "I2": [ 1123 ], + "I3": [ 1065 ], + "I4": [ 1095 ], + "I5": [ 1083 ], + "O": [ 2374 ] + } + }, + "LUT6_28f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32165.5-32172.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3219 ], + "I1": [ 1690 ], + "I2": [ 1652 ], + "I3": [ 1101 ], + "I4": [ 3797 ], + "I5": [ 3798 ], + "O": [ 1695 ] + } + }, + "LUT6_29": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32176.5-32183.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2160 ], + "I1": [ 2401 ], + "I2": [ 1982 ], + "I3": [ 2859 ], + "I4": [ 1738 ], + "I5": [ 2381 ], + "O": [ 1873 ] + } + }, + "LUT6_290": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32187.5-32194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1648 ], + "I1": [ 1652 ], + "I2": [ 1690 ], + "I3": [ 3219 ], + "I4": [ 1101 ], + "I5": [ 3799 ], + "O": [ 2878 ] + } + }, + "LUT6_291": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32198.5-32205.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3219 ], + "I1": [ 1648 ], + "I2": [ 1660 ], + "I3": [ 1690 ], + "I4": [ 1652 ], + "I5": [ 3448 ], + "O": [ 3218 ] + } + }, + "LUT6_292": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32209.5-32216.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1690 ], + "I1": [ 1660 ], + "I2": [ 1652 ], + "I3": [ 3218 ], + "I4": [ 3449 ], + "I5": [ 1648 ], + "O": [ 1667 ] + } + }, + "LUT6_293": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32220.5-32227.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1690 ], + "I1": [ 3218 ], + "I2": [ 3219 ], + "I3": [ 1660 ], + "I4": [ 2878 ], + "I5": [ 1652 ], + "O": [ 2877 ] + } + }, + "LUT6_294": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32231.5-32238.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1638 ], + "I1": [ 1662 ], + "I2": [ 1664 ], + "I3": [ 1646 ], + "I4": [ 1688 ], + "I5": [ 1686 ], + "O": [ 2885 ] + } + }, + "LUT6_295": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32242.5-32249.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 2885 ], + "I2": [ 1690 ], + "I3": [ 1638 ], + "I4": [ 1648 ], + "I5": [ 1652 ], + "O": [ 3800 ] + } + }, + "LUT6_296": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32253.5-32260.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2880 ], + "I1": [ 1638 ], + "I2": [ 1664 ], + "I3": [ 2885 ], + "I4": [ 2881 ], + "I5": [ 1690 ], + "O": [ 3220 ] + } + }, + "LUT6_297": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32264.5-32271.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2880 ], + "I1": [ 1638 ], + "I2": [ 2881 ], + "I3": [ 2885 ], + "I4": [ 1662 ], + "I5": [ 3220 ], + "O": [ 3223 ] + } + }, + "LUT6_298": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32275.5-32282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2885 ], + "I1": [ 2880 ], + "I2": [ 3222 ], + "I3": [ 1662 ], + "I4": [ 2881 ], + "I5": [ 3220 ], + "O": [ 3221 ] + } + }, + "LUT6_299": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32286.5-32293.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3801 ], + "I1": [ 3802 ], + "I2": [ 1695 ], + "I3": [ 1692 ], + "I4": [ 3229 ], + "I5": [ 1662 ], + "O": [ 1665 ] + } + }, + "LUT6_29a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32297.5-32304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2374 ], + "I1": [ 1093 ], + "I2": [ 1698 ], + "I3": [ 2373 ], + "I4": [ 2372 ], + "I5": [ 3450 ], + "O": [ 3451 ] + } + }, + "LUT6_29b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32308.5-32315.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2374 ], + "I1": [ 1698 ], + "I2": [ 1644 ], + "I3": [ 2373 ], + "I4": [ 1640 ], + "I5": [ 1093 ], + "O": [ 3452 ] + } + }, + "LUT6_29c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32319.5-32326.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1644 ], + "I1": [ 2373 ], + "I2": [ 2374 ], + "I3": [ 1093 ], + "I4": [ 1698 ], + "I5": [ 1640 ], + "O": [ 3803 ] + } + }, + "LUT6_29d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32330.5-32337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1644 ], + "I1": [ 2374 ], + "I2": [ 1093 ], + "I3": [ 3803 ], + "I4": [ 2373 ], + "I5": [ 1698 ], + "O": [ 3804 ] + } + }, + "LUT6_29e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32341.5-32348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1644 ], + "I1": [ 2374 ], + "I2": [ 1093 ], + "I3": [ 1698 ], + "I4": [ 3803 ], + "I5": [ 3804 ], + "O": [ 1651 ] + } + }, + "LUT6_29f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32352.5-32359.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2374 ], + "I1": [ 2373 ], + "I2": [ 1644 ], + "I3": [ 1698 ], + "I4": [ 2886 ], + "I5": [ 1093 ], + "O": [ 3802 ] + } + }, + "LUT6_2_0": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32363.5-32371.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2024 ], + "I1": [ 1023 ], + "I2": [ 1057 ], + "I3": [ 1986 ], + "I4": [ 2058 ], + "I5": [ 681 ], + "O5": [ 3312 ], + "O6": [ 2988 ] + } + }, + "LUT6_2_1": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32375.5-32383.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3578 ], + "I1": [ 2662 ], + "I2": [ 1874 ], + "I3": [ 1572 ], + "I4": [ 1091 ], + "I5": [ 1467 ], + "O5": [ 3239 ], + "O6": [ 1635 ] + } + }, + "LUT6_2_10": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32387.5-32395.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2993 ], + "I1": [ 261 ], + "I2": [ 259 ], + "I3": [ 2551 ], + "I4": [ 2991 ], + "I5": [ 3526 ], + "O5": [ 3805 ], + "O6": [ 3806 ] + } + }, + "LUT6_2_11": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32399.5-32407.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 251 ], + "I1": [ 255 ], + "I2": [ 195 ], + "I3": [ 2990 ], + "I4": [ 253 ], + "I5": [ 2576 ], + "O5": [ 3306 ], + "O6": [ 3304 ] + } + }, + "LUT6_2_12": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32411.5-32419.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3308 ], + "I1": [ 3807 ], + "I2": [ 3808 ], + "I3": [ 3809 ], + "I4": [ 3810 ], + "I5": [ 3811 ], + "O5": [ 174 ], + "O6": [ 184 ] + } + }, + "LUT6_2_13": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32423.5-32431.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3812 ], + "I1": [ 197 ], + "I2": [ 3813 ], + "I3": [ 2563 ], + "I4": [ 2564 ], + "I5": [ 3814 ], + "O5": [ 204 ], + "O6": [ 218 ] + } + }, + "LUT6_2_14": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32435.5-32443.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 257 ], + "I1": [ 2571 ], + "I2": [ 169 ], + "I3": [ 255 ], + "I4": [ 3815 ], + "I5": [ 227 ], + "O5": [ 3816 ], + "O6": [ 3817 ] + } + }, + "LUT6_2_15": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32447.5-32455.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3818 ], + "I1": [ 255 ], + "I2": [ 169 ], + "I3": [ 257 ], + "I4": [ 2571 ], + "I5": [ 191 ], + "O5": [ 3811 ], + "O6": [ 3807 ] + } + }, + "LUT6_2_16": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32459.5-32467.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2576 ], + "I1": [ 221 ], + "I2": [ 2575 ], + "I3": [ 175 ], + "I4": [ 3310 ], + "I5": [ 2309 ], + "O5": [ 3000 ], + "O6": [ 3309 ] + } + }, + "LUT6_2_17": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32471.5-32479.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 313 ], + "I1": [ 291 ], + "I2": [ 299 ], + "I3": [ 311 ], + "I4": [ 3819 ], + "I5": [ 285 ], + "O5": [ 3541 ], + "O6": [ 246 ] + } + }, + "LUT6_2_18": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32483.5-32491.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 307 ], + "I1": [ 3315 ], + "I2": [ 3537 ], + "I3": [ 291 ], + "I4": [ 299 ], + "I5": [ 313 ], + "O5": [ 2580 ], + "O6": [ 2581 ] + } + }, + "LUT6_2_19": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32495.5-32503.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 323 ], + "I1": [ 3002 ], + "I2": [ 289 ], + "I3": [ 247 ], + "I4": [ 309 ], + "I5": [ 374 ], + "O5": [ 3017 ], + "O6": [ 3820 ] + } + }, + "LUT6_2_1a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32507.5-32515.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3002 ], + "I1": [ 3821 ], + "I2": [ 3017 ], + "I3": [ 333 ], + "I4": [ 3820 ], + "I5": [ 3822 ], + "O5": [ 2604 ], + "O6": [ 3018 ] + } + }, + "LUT6_2_1b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32519.5-32527.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 363 ], + "I1": [ 243 ], + "I2": [ 3548 ], + "I3": [ 3322 ], + "I4": [ 3547 ], + "I5": [ 3549 ], + "O5": [ 3034 ], + "O6": [ 3035 ] + } + }, + "LUT6_2_1c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32531.5-32539.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2018 ], + "I1": [ 2054 ], + "I2": [ 2030 ], + "I3": [ 2026 ], + "I4": [ 443 ], + "I5": [ 269 ], + "O5": [ 3823 ], + "O6": [ 3824 ] + } + }, + "LUT6_2_1d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32543.5-32551.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1560 ], + "I1": [ 3046 ], + "I2": [ 3563 ], + "I3": [ 1610 ], + "I4": [ 3562 ], + "I5": [ 1998 ], + "O5": [ 2109 ], + "O6": [ 2111 ] + } + }, + "LUT6_2_1e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32555.5-32563.22" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1774 ], + "I1": [ 1315 ], + "I2": [ 1469 ], + "I3": [ 1045 ], + "I4": [ 2114 ], + "I5": [ 2116 ], + "O5": [ 3795 ], + "O6": [ 2117 ] + } + }, + "LUT6_2_1f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32567.5-32575.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 589 ], + "I1": [ 629 ], + "I2": [ 999 ], + "I3": [ 587 ], + "I4": [ 627 ], + "I5": [ 631 ], + "O5": [ 2631 ], + "O6": [ 590 ] + } + }, + "LUT6_2_2": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32579.5-32587.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2662 ], + "I1": [ 1467 ], + "I2": [ 1572 ], + "I3": [ 1091 ], + "I4": [ 1874 ], + "I5": [ 3577 ], + "O5": [ 3592 ], + "O6": [ 2689 ] + } + }, + "LUT6_2_20": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32591.5-32599.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 619 ], + "I1": [ 2641 ], + "I2": [ 805 ], + "I3": [ 1598 ], + "I4": [ 303 ], + "I5": [ 639 ], + "O5": [ 3052 ], + "O6": [ 2639 ] + } + }, + "LUT6_2_21": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32603.5-32611.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2649 ], + "I1": [ 621 ], + "I2": [ 999 ], + "I3": [ 2648 ], + "I4": [ 641 ], + "I5": [ 3649 ], + "O5": [ 2650 ], + "O6": [ 3825 ] + } + }, + "LUT6_2_22": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32615.5-32623.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 663 ], + "I1": [ 3654 ], + "I2": [ 625 ], + "I3": [ 3050 ], + "I4": [ 3653 ], + "I5": [ 3651 ], + "O5": [ 660 ], + "O6": [ 620 ] + } + }, + "LUT6_2_23": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32627.5-32635.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 671 ], + "I1": [ 673 ], + "I2": [ 675 ], + "I3": [ 583 ], + "I4": [ 669 ], + "I5": [ 609 ], + "O5": [ 3660 ], + "O6": [ 3658 ] + } + }, + "LUT6_2_24": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32639.5-32647.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 669 ], + "I1": [ 671 ], + "I2": [ 583 ], + "I3": [ 657 ], + "I4": [ 673 ], + "I5": [ 3661 ], + "O5": [ 3657 ], + "O6": [ 662 ] + } + }, + "LUT6_2_25": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32651.5-32659.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 623 ], + "I1": [ 653 ], + "I2": [ 625 ], + "I3": [ 651 ], + "I4": [ 598 ], + "I5": [ 3050 ], + "O5": [ 3061 ], + "O6": [ 3566 ] + } + }, + "LUT6_2_26": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32663.5-32671.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 653 ], + "I1": [ 598 ], + "I2": [ 3050 ], + "I3": [ 623 ], + "I4": [ 625 ], + "I5": [ 651 ], + "O5": [ 3663 ], + "O6": [ 3662 ] + } + }, + "LUT6_2_27": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32675.5-32683.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 481 ], + "I1": [ 705 ], + "I2": [ 797 ], + "I3": [ 711 ], + "I4": [ 3568 ], + "I5": [ 3673 ], + "O5": [ 3826 ], + "O6": [ 3670 ] + } + }, + "LUT6_2_28": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32687.5-32695.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 729 ], + "I1": [ 481 ], + "I2": [ 999 ], + "I3": [ 805 ], + "I4": [ 3676 ], + "I5": [ 3826 ], + "O5": [ 3569 ], + "O6": [ 684 ] + } + }, + "LUT6_2_29": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32699.5-32707.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3680 ], + "I1": [ 805 ], + "I2": [ 604 ], + "I3": [ 683 ], + "I4": [ 709 ], + "I5": [ 3678 ], + "O5": [ 3345 ], + "O6": [ 692 ] + } + }, + "LUT6_2_2a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32711.5-32719.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 751 ], + "I1": [ 741 ], + "I2": [ 689 ], + "I3": [ 743 ], + "I4": [ 729 ], + "I5": [ 739 ], + "O5": [ 3686 ], + "O6": [ 3574 ] + } + }, + "LUT6_2_2b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32723.5-32731.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 737 ], + "I1": [ 781 ], + "I2": [ 687 ], + "I3": [ 755 ], + "I4": [ 767 ], + "I5": [ 3051 ], + "O5": [ 3357 ], + "O6": [ 3581 ] + } + }, + "LUT6_2_2c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32735.5-32743.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 795 ], + "I1": [ 784 ], + "I2": [ 810 ], + "I3": [ 814 ], + "I4": [ 812 ], + "I5": [ 808 ], + "O5": [ 790 ], + "O6": [ 806 ] + } + }, + "LUT6_2_2d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32747.5-32755.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 793 ], + "I1": [ 1051 ], + "I2": [ 1273 ], + "I3": [ 787 ], + "I4": [ 481 ], + "I5": [ 2120 ], + "O5": [ 3122 ], + "O6": [ 812 ] + } + }, + "LUT6_2_2e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32759.5-32767.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3099 ], + "I1": [ 3098 ], + "I2": [ 1543 ], + "I3": [ 2701 ], + "I4": [ 1614 ], + "I5": [ 835 ], + "O5": [ 842 ], + "O6": [ 830 ] + } + }, + "LUT6_2_2f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32771.5-32779.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 833 ], + "I1": [ 819 ], + "I2": [ 899 ], + "I3": [ 847 ], + "I4": [ 3365 ], + "I5": [ 3585 ], + "O5": [ 3696 ], + "O6": [ 2705 ] + } + }, + "LUT6_2_3": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32783.5-32791.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2167 ], + "I1": [ 2220 ], + "I2": [ 2189 ], + "I3": [ 2228 ], + "I4": [ 2218 ], + "I5": [ 2245 ], + "O5": [ 2467 ], + "O6": [ 2209 ] + } + }, + "LUT6_2_30": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32795.5-32803.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1315 ], + "I1": [ 3372 ], + "I2": [ 887 ], + "I3": [ 3589 ], + "I4": [ 3587 ], + "I5": [ 3698 ], + "O5": [ 3699 ], + "O6": [ 388 ] + } + }, + "LUT6_2_31": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32807.5-32815.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 909 ], + "I1": [ 895 ], + "I2": [ 887 ], + "I3": [ 3372 ], + "I4": [ 1315 ], + "I5": [ 855 ], + "O5": [ 2713 ], + "O6": [ 3590 ] + } + }, + "LUT6_2_32": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32819.5-32827.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 891 ], + "I1": [ 883 ], + "I2": [ 389 ], + "I3": [ 889 ], + "I4": [ 915 ], + "I5": [ 911 ], + "O5": [ 2724 ], + "O6": [ 2730 ] + } + }, + "LUT6_2_33": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32831.5-32839.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 417 ], + "I1": [ 1570 ], + "I2": [ 427 ], + "I3": [ 825 ], + "I4": [ 429 ], + "I5": [ 381 ], + "O5": [ 3384 ], + "O6": [ 3598 ] + } + }, + "LUT6_2_34": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32843.5-32851.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3827 ], + "I1": [ 385 ], + "I2": [ 403 ], + "I3": [ 379 ], + "I4": [ 383 ], + "I5": [ 3709 ], + "O5": [ 422 ], + "O6": [ 386 ] + } + }, + "LUT6_2_35": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32855.5-32863.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 829 ], + "I1": [ 401 ], + "I2": [ 385 ], + "I3": [ 379 ], + "I4": [ 879 ], + "I5": [ 3601 ], + "O5": [ 3712 ], + "O6": [ 3827 ] + } + }, + "LUT6_2_36": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32867.5-32875.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 379 ], + "I1": [ 385 ], + "I2": [ 829 ], + "I3": [ 403 ], + "I4": [ 401 ], + "I5": [ 383 ], + "O5": [ 3602 ], + "O6": [ 3603 ] + } + }, + "LUT6_2_37": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32879.5-32887.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 471 ], + "I1": [ 451 ], + "I2": [ 2829 ], + "I3": [ 497 ], + "I4": [ 3715 ], + "I5": [ 3714 ], + "O5": [ 784 ], + "O6": [ 474 ] + } + }, + "LUT6_2_38": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32891.5-32899.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 507 ], + "I1": [ 461 ], + "I2": [ 491 ], + "I3": [ 449 ], + "I4": [ 465 ], + "I5": [ 501 ], + "O5": [ 3724 ], + "O6": [ 3132 ] + } + }, + "LUT6_2_39": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32903.5-32911.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 453 ], + "I1": [ 507 ], + "I2": [ 2761 ], + "I3": [ 3724 ], + "I4": [ 3722 ], + "I5": [ 491 ], + "O5": [ 3725 ], + "O6": [ 3723 ] + } + }, + "LUT6_2_3a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32915.5-32923.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 453 ], + "I1": [ 461 ], + "I2": [ 2761 ], + "I3": [ 449 ], + "I4": [ 3724 ], + "I5": [ 507 ], + "O5": [ 2759 ], + "O6": [ 3130 ] + } + }, + "LUT6_2_3b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32927.5-32935.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3749 ], + "I1": [ 525 ], + "I2": [ 479 ], + "I3": [ 487 ], + "I4": [ 2829 ], + "I5": [ 1191 ], + "O5": [ 488 ], + "O6": [ 1162 ] + } + }, + "LUT6_2_3c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32939.5-32947.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 535 ], + "I1": [ 575 ], + "I2": [ 577 ], + "I3": [ 3728 ], + "I4": [ 571 ], + "I5": [ 3729 ], + "O5": [ 550 ], + "O6": [ 3615 ] + } + }, + "LUT6_2_3d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32951.5-32959.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3402 ], + "I1": [ 3146 ], + "I2": [ 3148 ], + "I3": [ 567 ], + "I4": [ 2784 ], + "I5": [ 1179 ], + "O5": [ 3732 ], + "O6": [ 3731 ] + } + }, + "LUT6_2_3e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32963.5-32971.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3736 ], + "I1": [ 1136 ], + "I2": [ 3620 ], + "I3": [ 2797 ], + "I4": [ 529 ], + "I5": [ 543 ], + "O5": [ 562 ], + "O6": [ 3614 ] + } + }, + "LUT6_2_3f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32975.5-32983.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 529 ], + "I1": [ 517 ], + "I2": [ 543 ], + "I3": [ 2797 ], + "I4": [ 1136 ], + "I5": [ 3620 ], + "O5": [ 2795 ], + "O6": [ 3623 ] + } + }, + "LUT6_2_4": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32987.5-32995.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2175 ], + "I1": [ 2226 ], + "I2": [ 2247 ], + "I3": [ 2230 ], + "I4": [ 2173 ], + "I5": [ 2214 ], + "O5": [ 3828 ], + "O6": [ 3829 ] + } + }, + "LUT6_2_40": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:32999.5-33007.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1185 ], + "I1": [ 1271 ], + "I2": [ 1161 ], + "I3": [ 489 ], + "I4": [ 2122 ], + "I5": [ 541 ], + "O5": [ 2801 ], + "O6": [ 3745 ] + } + }, + "LUT6_2_41": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33011.5-33019.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 489 ], + "I1": [ 2829 ], + "I2": [ 807 ], + "I3": [ 1189 ], + "I4": [ 2700 ], + "I5": [ 1187 ], + "O5": [ 3744 ], + "O6": [ 3743 ] + } + }, + "LUT6_2_42": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33023.5-33031.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3741 ], + "I1": [ 2122 ], + "I2": [ 1177 ], + "I3": [ 1175 ], + "I4": [ 541 ], + "I5": [ 1137 ], + "O5": [ 3747 ], + "O6": [ 3738 ] + } + }, + "LUT6_2_43": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33035.5-33043.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1177 ], + "I1": [ 1175 ], + "I2": [ 1137 ], + "I3": [ 1271 ], + "I4": [ 2122 ], + "I5": [ 541 ], + "O5": [ 2798 ], + "O6": [ 2799 ] + } + }, + "LUT6_2_44": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33047.5-33055.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1163 ], + "I1": [ 1287 ], + "I2": [ 1249 ], + "I3": [ 1279 ], + "I4": [ 1211 ], + "I5": [ 1171 ], + "O5": [ 3756 ], + "O6": [ 3627 ] + } + }, + "LUT6_2_45": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33059.5-33067.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3633 ], + "I1": [ 509 ], + "I2": [ 1293 ], + "I3": [ 1213 ], + "I4": [ 1209 ], + "I5": [ 2824 ], + "O5": [ 1266 ], + "O6": [ 990 ] + } + }, + "LUT6_2_46": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33071.5-33079.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1209 ], + "I1": [ 1293 ], + "I2": [ 2824 ], + "I3": [ 1213 ], + "I4": [ 509 ], + "I5": [ 3632 ], + "O5": [ 3176 ], + "O6": [ 2825 ] + } + }, + "LUT6_2_47": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33083.5-33091.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1191 ], + "I1": [ 1265 ], + "I2": [ 1291 ], + "I3": [ 1273 ], + "I4": [ 3726 ], + "I5": [ 1207 ], + "O5": [ 3634 ], + "O6": [ 3632 ] + } + }, + "LUT6_2_48": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33095.5-33103.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1311 ], + "I1": [ 1301 ], + "I2": [ 1616 ], + "I3": [ 1315 ], + "I4": [ 1309 ], + "I5": [ 3641 ], + "O5": [ 1306 ], + "O6": [ 3767 ] + } + }, + "LUT6_2_49": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33107.5-33115.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2038 ], + "I1": [ 1616 ], + "I2": [ 1313 ], + "I3": [ 1301 ], + "I4": [ 1017 ], + "I5": [ 3639 ], + "O5": [ 3417 ], + "O6": [ 2833 ] + } + }, + "LUT6_2_4a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33119.5-33127.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1341 ], + "I1": [ 1393 ], + "I2": [ 1359 ], + "I3": [ 1337 ], + "I4": [ 1305 ], + "I5": [ 1307 ], + "O5": [ 3423 ], + "O6": [ 3190 ] + } + }, + "LUT6_2_4b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33131.5-33139.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 1550 ], + "I2": [ 1423 ], + "I3": [ 3432 ], + "I4": [ 3205 ], + "I5": [ 3776 ], + "O5": [ 3830 ], + "O6": [ 3831 ] + } + }, + "LUT6_2_4c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33143.5-33151.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1423 ], + "I1": [ 1403 ], + "I2": [ 1345 ], + "I3": [ 3432 ], + "I4": [ 3830 ], + "I5": [ 3831 ], + "O5": [ 1426 ], + "O6": [ 1428 ] + } + }, + "LUT6_2_4d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33155.5-33163.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1313 ], + "I1": [ 1015 ], + "I2": [ 1009 ], + "I3": [ 1550 ], + "I4": [ 1017 ], + "I5": [ 3778 ], + "O5": [ 3832 ], + "O6": [ 1424 ] + } + }, + "LUT6_2_4e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33167.5-33175.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1015 ], + "I1": [ 1313 ], + "I2": [ 1550 ], + "I3": [ 1009 ], + "I4": [ 1013 ], + "I5": [ 3832 ], + "O5": [ 1408 ], + "O6": [ 1406 ] + } + }, + "LUT6_2_4f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33179.5-33187.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1451 ], + "I1": [ 2860 ], + "I2": [ 1345 ], + "I3": [ 1401 ], + "I4": [ 1345 ], + "I5": [ 1005 ], + "O5": [ 3436 ], + "O6": [ 3437 ] + } + }, + "LUT6_2_5": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33191.5-33199.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2197 ], + "I1": [ 2200 ], + "I2": [ 2224 ], + "I3": [ 2204 ], + "I4": [ 2208 ], + "I5": [ 2177 ], + "O5": [ 3833 ], + "O6": [ 3834 ] + } + }, + "LUT6_2_50": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33203.5-33211.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 975 ], + "I1": [ 979 ], + "I2": [ 959 ], + "I3": [ 953 ], + "I4": [ 3207 ], + "I5": [ 3440 ], + "O5": [ 3438 ], + "O6": [ 3439 ] + } + }, + "LUT6_2_51": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33215.5-33223.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 975 ], + "I1": [ 959 ], + "I2": [ 953 ], + "I3": [ 3440 ], + "I4": [ 979 ], + "I5": [ 3207 ], + "O5": [ 2866 ], + "O6": [ 3441 ] + } + }, + "LUT6_2_52": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33227.5-33235.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 981 ], + "I1": [ 985 ], + "I2": [ 971 ], + "I3": [ 969 ], + "I4": [ 933 ], + "I5": [ 973 ], + "O5": [ 3787 ], + "O6": [ 2344 ] + } + }, + "LUT6_2_53": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33239.5-33247.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1433 ], + "I1": [ 931 ], + "I2": [ 929 ], + "I3": [ 1437 ], + "I4": [ 1003 ], + "I5": [ 927 ], + "O5": [ 3789 ], + "O6": [ 2353 ] + } + }, + "LUT6_2_54": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33251.5-33259.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 949 ], + "I1": [ 963 ], + "I2": [ 935 ], + "I3": [ 965 ], + "I4": [ 951 ], + "I5": [ 3432 ], + "O5": [ 3790 ], + "O6": [ 3791 ] + } + }, + "LUT6_2_55": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33263.5-33271.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3216 ], + "I1": [ 1083 ], + "I2": [ 1075 ], + "I3": [ 2363 ], + "I4": [ 2364 ], + "I5": [ 2370 ], + "O5": [ 1076 ], + "O6": [ 1468 ] + } + }, + "LUT6_2_56": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33275.5-33283.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3448 ], + "I1": [ 1690 ], + "I2": [ 1648 ], + "I3": [ 1101 ], + "I4": [ 3219 ], + "I5": [ 1652 ], + "O5": [ 3798 ], + "O6": [ 3797 ] + } + }, + "LUT6_2_57": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33287.5-33295.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1688 ], + "I1": [ 1664 ], + "I2": [ 1646 ], + "I3": [ 1662 ], + "I4": [ 1101 ], + "I5": [ 1660 ], + "O5": [ 3799 ], + "O6": [ 3219 ] + } + }, + "LUT6_2_58": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33299.5-33307.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 1648 ], + "I2": [ 1646 ], + "I3": [ 1652 ], + "I4": [ 1638 ], + "I5": [ 1690 ], + "O5": [ 2880 ], + "O6": [ 2881 ] + } + }, + "LUT6_2_59": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33311.5-33319.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2885 ], + "I1": [ 1638 ], + "I2": [ 1664 ], + "I3": [ 2881 ], + "I4": [ 1646 ], + "I5": [ 3800 ], + "O5": [ 1641 ], + "O6": [ 1655 ] + } + }, + "LUT6_2_5a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33323.5-33331.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3835 ], + "I1": [ 2377 ], + "I2": [ 3836 ], + "I3": [ 3837 ], + "I4": [ 2888 ], + "I5": [ 1656 ], + "O5": [ 1689 ], + "O6": [ 1637 ] + } + }, + "LUT6_2_5b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33335.5-33343.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1642 ], + "I1": [ 1658 ], + "I2": [ 1103 ], + "I3": [ 1694 ], + "I4": [ 3226 ], + "I5": [ 3453 ], + "O5": [ 3454 ], + "O6": [ 3838 ] + } + }, + "LUT6_2_5c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33347.5-33355.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1694 ], + "I1": [ 1097 ], + "I2": [ 1662 ], + "I3": [ 3231 ], + "I4": [ 1698 ], + "I5": [ 3232 ], + "O5": [ 3457 ], + "O6": [ 3233 ] + } + }, + "LUT6_2_5d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33359.5-33367.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1770 ], + "I1": [ 1728 ], + "I2": [ 1710 ], + "I3": [ 1762 ], + "I4": [ 1740 ], + "I5": [ 1714 ], + "O5": [ 3839 ], + "O6": [ 3840 ] + } + }, + "LUT6_2_5e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33371.5-33379.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3243 ], + "I1": [ 2417 ], + "I2": [ 2416 ], + "I3": [ 1111 ], + "I4": [ 1724 ], + "I5": [ 1678 ], + "O5": [ 1675 ], + "O6": [ 3841 ] + } + }, + "LUT6_2_5f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33383.5-33391.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1107 ], + "I1": [ 1073 ], + "I2": [ 2363 ], + "I3": [ 1620 ], + "I4": [ 1063 ], + "I5": [ 1083 ], + "O5": [ 3473 ], + "O6": [ 2910 ] + } + }, + "LUT6_2_6": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33395.5-33403.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2185 ], + "I1": [ 2210 ], + "I2": [ 2169 ], + "I3": [ 2204 ], + "I4": [ 3833 ], + "I5": [ 3834 ], + "O5": [ 3842 ], + "O6": [ 2227 ] + } + }, + "LUT6_2_60": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33407.5-33415.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1109 ], + "I1": [ 1083 ], + "I2": [ 2370 ], + "I3": [ 2089 ], + "I4": [ 3843 ], + "I5": [ 3844 ], + "O5": [ 1791 ], + "O6": [ 1088 ] + } + }, + "LUT6_2_61": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33419.5-33427.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2914 ], + "I1": [ 1069 ], + "I2": [ 2089 ], + "I3": [ 2427 ], + "I4": [ 1083 ], + "I5": [ 1798 ], + "O5": [ 3845 ], + "O6": [ 3846 ] + } + }, + "LUT6_2_62": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33431.5-33439.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2370 ], + "I2": [ 1109 ], + "I3": [ 2914 ], + "I4": [ 2089 ], + "I5": [ 1069 ], + "O5": [ 2916 ], + "O6": [ 3250 ] + } + }, + "LUT6_2_63": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33443.5-33451.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 1071 ], + "I2": [ 1824 ], + "I3": [ 1858 ], + "I4": [ 1784 ], + "I5": [ 1808 ], + "O5": [ 3847 ], + "O6": [ 3485 ] + } + }, + "LUT6_2_64": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33455.5-33463.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1493 ], + "I1": [ 2443 ], + "I2": [ 1071 ], + "I3": [ 3848 ], + "I4": [ 3849 ], + "I5": [ 2446 ], + "O5": [ 1492 ], + "O6": [ 1490 ] + } + }, + "LUT6_2_65": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33467.5-33475.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1832 ], + "I1": [ 1864 ], + "I2": [ 1846 ], + "I3": [ 1896 ], + "I4": [ 1890 ], + "I5": [ 1894 ], + "O5": [ 2455 ], + "O6": [ 2939 ] + } + }, + "LUT6_2_66": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33479.5-33487.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1896 ], + "I1": [ 1832 ], + "I2": [ 1846 ], + "I3": [ 1864 ], + "I4": [ 1892 ], + "I5": [ 1890 ], + "O5": [ 2933 ], + "O6": [ 3488 ] + } + }, + "LUT6_2_67": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33491.5-33499.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3489 ], + "I1": [ 3264 ], + "I2": [ 2939 ], + "I3": [ 2936 ], + "I4": [ 1854 ], + "I5": [ 1838 ], + "O5": [ 1889 ], + "O6": [ 2461 ] + } + }, + "LUT6_2_68": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33503.5-33511.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1844 ], + "I1": [ 1870 ], + "I2": [ 2459 ], + "I3": [ 2455 ], + "I4": [ 1852 ], + "I5": [ 2458 ], + "O5": [ 3850 ], + "O6": [ 3851 ] + } + }, + "LUT6_2_69": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33515.5-33523.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3271 ], + "I1": [ 1898 ], + "I2": [ 3501 ], + "I3": [ 2948 ], + "I4": [ 3491 ], + "I5": [ 2947 ], + "O5": [ 1835 ], + "O6": [ 1899 ] + } + }, + "LUT6_2_6a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33527.5-33535.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1856 ], + "I1": [ 1838 ], + "I2": [ 1814 ], + "I3": [ 3268 ], + "I4": [ 1834 ], + "I5": [ 3272 ], + "O5": [ 1901 ], + "O6": [ 1875 ] + } + }, + "LUT6_2_6b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33539.5-33547.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1910 ], + "I1": [ 1966 ], + "I2": [ 1968 ], + "I3": [ 1948 ], + "I4": [ 1932 ], + "I5": [ 1914 ], + "O5": [ 3492 ], + "O6": [ 3852 ] + } + }, + "LUT6_2_6c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33551.5-33559.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3853 ], + "I1": [ 1912 ], + "I2": [ 1966 ], + "I3": [ 1940 ], + "I4": [ 1958 ], + "I5": [ 3852 ], + "O5": [ 3854 ], + "O6": [ 1961 ] + } + }, + "LUT6_2_6d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33563.5-33571.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1966 ], + "I1": [ 2463 ], + "I2": [ 3492 ], + "I3": [ 1912 ], + "I4": [ 1940 ], + "I5": [ 1958 ], + "O5": [ 3494 ], + "O6": [ 2469 ] + } + }, + "LUT6_2_6e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33575.5-33583.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1964 ], + "I2": [ 1970 ], + "I3": [ 1972 ], + "I4": [ 1938 ], + "I5": [ 1954 ], + "O5": [ 3281 ], + "O6": [ 2485 ] + } + }, + "LUT6_2_6f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33587.5-33595.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2485 ], + "I1": [ 1924 ], + "I2": [ 1920 ], + "I3": [ 1479 ], + "I4": [ 1916 ], + "I5": [ 3284 ], + "O5": [ 1959 ], + "O6": [ 1967 ] + } + }, + "LUT6_2_7": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33599.5-33607.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2444 ], + "I1": [ 783 ], + "I2": [ 2257 ], + "I3": [ 2261 ], + "I4": [ 2253 ], + "I5": [ 2259 ], + "O5": [ 2971 ], + "O6": [ 2970 ] + } + }, + "LUT6_2_70": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33611.5-33619.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2044 ], + "I1": [ 2070 ], + "I2": [ 525 ], + "I3": [ 2012 ], + "I4": [ 1027 ], + "I5": [ 2498 ], + "O5": [ 2105 ], + "O6": [ 1464 ] + } + }, + "LUT6_2_71": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33623.5-33631.22" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1033 ], + "I1": [ 443 ], + "I2": [ 2050 ], + "I3": [ 3506 ], + "I4": [ 3503 ], + "I5": [ 3855 ], + "O5": [ 3856 ], + "O6": [ 2061 ] + } + }, + "LUT6_2_72": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33635.5-33643.22" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1033 ], + "I1": [ 2050 ], + "I2": [ 3506 ], + "I3": [ 2046 ], + "I4": [ 597 ], + "I5": [ 3856 ], + "O5": [ 2264 ], + "O6": [ 2107 ] + } + }, + "LUT6_2_73": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33647.5-33655.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2046 ], + "I1": [ 3506 ], + "I2": [ 597 ], + "I3": [ 1033 ], + "I4": [ 2050 ], + "I5": [ 443 ], + "O5": [ 3504 ], + "O6": [ 3505 ] + } + }, + "LUT6_2_74": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33659.5-33667.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1033 ], + "I1": [ 2046 ], + "I2": [ 2050 ], + "I3": [ 3506 ], + "I4": [ 443 ], + "I5": [ 597 ], + "O5": [ 2500 ], + "O6": [ 2499 ] + } + }, + "LUT6_2_8": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33671.5-33679.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2183 ], + "I1": [ 2969 ], + "I2": [ 2968 ], + "I3": [ 2527 ], + "I4": [ 2255 ], + "I5": [ 2894 ], + "O5": [ 2526 ], + "O6": [ 3857 ] + } + }, + "LUT6_2_9": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33683.5-33691.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2243 ], + "I1": [ 2272 ], + "I2": [ 1261 ], + "I3": [ 1397 ], + "I4": [ 2280 ], + "I5": [ 2662 ], + "O5": [ 3515 ], + "O6": [ 3514 ] + } + }, + "LUT6_2_a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33695.5-33703.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 345 ], + "I1": [ 2532 ], + "I2": [ 2294 ], + "I3": [ 2288 ], + "I4": [ 2531 ], + "I5": [ 989 ], + "O5": [ 3520 ], + "O6": [ 3858 ] + } + }, + "LUT6_2_b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33707.5-33715.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3519 ], + "I1": [ 2296 ], + "I2": [ 2288 ], + "I3": [ 2268 ], + "I4": [ 3523 ], + "I5": [ 3521 ], + "O5": [ 2277 ], + "O6": [ 2232 ] + } + }, + "LUT6_2_c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33719.5-33727.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3859 ], + "I1": [ 3519 ], + "I2": [ 2294 ], + "I3": [ 2296 ], + "I4": [ 345 ], + "I5": [ 2288 ], + "O5": [ 2536 ], + "O6": [ 2291 ] + } + }, + "LUT6_2_d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33731.5-33739.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 2547 ], + "I2": [ 2311 ], + "I3": [ 2543 ], + "I4": [ 345 ], + "I5": [ 2543 ], + "O5": [ 3300 ], + "O6": [ 2275 ] + } + }, + "LUT6_2_e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33743.5-33751.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1071 ], + "I1": [ 2274 ], + "I2": [ 2327 ], + "I3": [ 2323 ], + "I4": [ 2274 ], + "I5": [ 2325 ], + "O5": [ 2991 ], + "O6": [ 3860 ] + } + }, + "LUT6_2_f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33755.5-33763.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2990 ], + "I1": [ 2309 ], + "I2": [ 257 ], + "I3": [ 185 ], + "I4": [ 2301 ], + "I5": [ 171 ], + "O5": [ 2558 ], + "O6": [ 2993 ] + } + }, + "LUT6_2a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33767.5-33774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2401 ], + "I1": [ 3225 ], + "I2": [ 1982 ], + "I3": [ 1738 ], + "I4": [ 2160 ], + "I5": [ 2859 ], + "O": [ 3861 ] + } + }, + "LUT6_2a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33778.5-33785.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2375 ], + "I1": [ 1698 ], + "I2": [ 2373 ], + "I3": [ 2374 ], + "I4": [ 1644 ], + "I5": [ 2886 ], + "O": [ 3801 ] + } + }, + "LUT6_2a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33789.5-33796.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1121 ], + "I1": [ 1658 ], + "I2": [ 1696 ], + "I3": [ 1642 ], + "I4": [ 1668 ], + "I5": [ 1648 ], + "O": [ 3227 ] + } + }, + "LUT6_2a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33800.5-33807.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1658 ], + "I1": [ 3453 ], + "I2": [ 1642 ], + "I3": [ 1103 ], + "I4": [ 3227 ], + "I5": [ 1099 ], + "O": [ 3228 ] + } + }, + "LUT6_2a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33811.5-33818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3838 ], + "I1": [ 1099 ], + "I2": [ 1103 ], + "I3": [ 3453 ], + "I4": [ 1698 ], + "I5": [ 1658 ], + "O": [ 1685 ] + } + }, + "LUT6_2a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33822.5-33829.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1658 ], + "I1": [ 1099 ], + "I2": [ 1698 ], + "I3": [ 1642 ], + "I4": [ 1103 ], + "I5": [ 1694 ], + "O": [ 3862 ] + } + }, + "LUT6_2a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33833.5-33840.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1103 ], + "I1": [ 1658 ], + "I2": [ 3862 ], + "I3": [ 1099 ], + "I4": [ 1642 ], + "I5": [ 1698 ], + "O": [ 3837 ] + } + }, + "LUT6_2a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33844.5-33851.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1658 ], + "I1": [ 1642 ], + "I2": [ 1103 ], + "I3": [ 3862 ], + "I4": [ 1698 ], + "I5": [ 1099 ], + "O": [ 3455 ] + } + }, + "LUT6_2a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33855.5-33862.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1656 ], + "I1": [ 1121 ], + "I2": [ 1696 ], + "I3": [ 1101 ], + "I4": [ 1668 ], + "I5": [ 1654 ], + "O": [ 3226 ] + } + }, + "LUT6_2a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33866.5-33873.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3230 ], + "I1": [ 2383 ], + "I2": [ 1101 ], + "I3": [ 1668 ], + "I4": [ 1696 ], + "I5": [ 1121 ], + "O": [ 3835 ] + } + }, + "LUT6_2a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33877.5-33884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1696 ], + "I1": [ 1121 ], + "I2": [ 1101 ], + "I3": [ 1668 ], + "I4": [ 1654 ], + "I5": [ 1656 ], + "O": [ 2382 ] + } + }, + "LUT6_2aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33888.5-33895.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1668 ], + "I1": [ 1696 ], + "I2": [ 1101 ], + "I3": [ 2382 ], + "I4": [ 1121 ], + "I5": [ 1654 ], + "O": [ 3836 ] + } + }, + "LUT6_2ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33899.5-33906.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1101 ], + "I1": [ 1696 ], + "I2": [ 1668 ], + "I3": [ 2382 ], + "I4": [ 1654 ], + "I5": [ 1121 ], + "O": [ 2378 ] + } + }, + "LUT6_2ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33910.5-33917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1097 ], + "I1": [ 1698 ], + "I2": [ 1648 ], + "I3": [ 1113 ], + "I4": [ 1662 ], + "I5": [ 1696 ], + "O": [ 3232 ] + } + }, + "LUT6_2ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33921.5-33928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3231 ], + "I1": [ 1698 ], + "I2": [ 1694 ], + "I3": [ 1097 ], + "I4": [ 3232 ], + "I5": [ 2384 ], + "O": [ 3863 ] + } + }, + "LUT6_2ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33932.5-33939.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3231 ], + "I1": [ 1662 ], + "I2": [ 3232 ], + "I3": [ 1698 ], + "I4": [ 1694 ], + "I5": [ 3863 ], + "O": [ 1106 ] + } + }, + "LUT6_2af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33943.5-33950.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3864 ], + "I1": [ 1694 ], + "I2": [ 1097 ], + "I3": [ 1698 ], + "I4": [ 3231 ], + "I5": [ 3232 ], + "O": [ 1060 ] + } + }, + "LUT6_2b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33954.5-33961.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1982 ], + "I1": [ 2401 ], + "I2": [ 3225 ], + "I3": [ 1738 ], + "I4": [ 2160 ], + "I5": [ 3861 ], + "O": [ 1466 ] + } + }, + "LUT6_2b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33965.5-33972.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1662 ], + "I1": [ 3232 ], + "I2": [ 3231 ], + "I3": [ 1698 ], + "I4": [ 1097 ], + "I5": [ 1694 ], + "O": [ 3864 ] + } + }, + "LUT6_2b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33976.5-33983.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3458 ], + "I1": [ 1662 ], + "I2": [ 3457 ], + "I3": [ 3233 ], + "I4": [ 1694 ], + "I5": [ 1097 ], + "O": [ 1100 ] + } + }, + "LUT6_2b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33987.5-33994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3865 ], + "I1": [ 3240 ], + "I2": [ 1720 ], + "I3": [ 1722 ], + "I4": [ 3468 ], + "I5": [ 3841 ], + "O": [ 1729 ] + } + }, + "LUT6_2b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:33998.5-34005.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1728 ], + "I1": [ 1732 ], + "I2": [ 1748 ], + "I3": [ 1708 ], + "I4": [ 1760 ], + "I5": [ 1742 ], + "O": [ 2385 ] + } + }, + "LUT6_2b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34009.5-34016.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2892 ], + "I1": [ 2386 ], + "I2": [ 2891 ], + "I3": [ 2385 ], + "I4": [ 3459 ], + "I5": [ 3460 ], + "O": [ 3866 ] + } + }, + "LUT6_2b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34020.5-34027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3459 ], + "I1": [ 2385 ], + "I2": [ 2891 ], + "I3": [ 2386 ], + "I4": [ 1770 ], + "I5": [ 3866 ], + "O": [ 1749 ] + } + }, + "LUT6_2b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34031.5-34038.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3867 ], + "I1": [ 2386 ], + "I2": [ 3459 ], + "I3": [ 2892 ], + "I4": [ 2891 ], + "I5": [ 2385 ], + "O": [ 1713 ] + } + }, + "LUT6_2b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34042.5-34049.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2891 ], + "I1": [ 1770 ], + "I2": [ 3459 ], + "I3": [ 2385 ], + "I4": [ 2892 ], + "I5": [ 2386 ], + "O": [ 3867 ] + } + }, + "LUT6_2b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34053.5-34060.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2385 ], + "I1": [ 1770 ], + "I2": [ 3459 ], + "I3": [ 2386 ], + "I4": [ 2892 ], + "I5": [ 2891 ], + "O": [ 3461 ] + } + }, + "LUT6_2b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34064.5-34071.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1770 ], + "I1": [ 2386 ], + "I2": [ 2388 ], + "I3": [ 3459 ], + "I4": [ 3461 ], + "I5": [ 2385 ], + "O": [ 2390 ] + } + }, + "LUT6_2ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34075.5-34082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1754 ], + "I1": [ 1728 ], + "I2": [ 1768 ], + "I3": [ 1732 ], + "I4": [ 1744 ], + "I5": [ 1716 ], + "O": [ 2391 ] + } + }, + "LUT6_2bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34086.5-34093.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2391 ], + "I1": [ 1732 ], + "I2": [ 1754 ], + "I3": [ 1744 ], + "I4": [ 1728 ], + "I5": [ 1768 ], + "O": [ 3868 ] + } + }, + "LUT6_2bc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34097.5-34104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2391 ], + "I1": [ 1728 ], + "I2": [ 1754 ], + "I3": [ 1732 ], + "I4": [ 1744 ], + "I5": [ 3868 ], + "O": [ 2392 ] + } + }, + "LUT6_2bd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34108.5-34115.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1754 ], + "I1": [ 1744 ], + "I2": [ 1732 ], + "I3": [ 2391 ], + "I4": [ 1728 ], + "I5": [ 2393 ], + "O": [ 1709 ] + } + }, + "LUT6_2be": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34119.5-34126.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3462 ], + "I1": [ 1728 ], + "I2": [ 1754 ], + "I3": [ 2391 ], + "I4": [ 1732 ], + "I5": [ 1744 ], + "O": [ 1735 ] + } + }, + "LUT6_2bf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34130.5-34137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2394 ], + "I1": [ 1732 ], + "I2": [ 1754 ], + "I3": [ 2391 ], + "I4": [ 2895 ], + "I5": [ 2893 ], + "O": [ 2396 ] + } + }, + "LUT6_2c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34141.5-34148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2220 ], + "I1": [ 2228 ], + "I2": [ 2175 ], + "I3": [ 2214 ], + "I4": [ 2247 ], + "I5": [ 2189 ], + "O": [ 3245 ] + } + }, + "LUT6_2c0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34152.5-34159.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1736 ], + "I1": [ 1764 ], + "I2": [ 1730 ], + "I3": [ 1582 ], + "I4": [ 1748 ], + "I5": [ 1706 ], + "O": [ 3869 ] + } + }, + "LUT6_2c1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34163.5-34170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3870 ], + "I1": [ 1756 ], + "I2": [ 1748 ], + "I3": [ 1730 ], + "I4": [ 1582 ], + "I5": [ 1764 ], + "O": [ 1731 ] + } + }, + "LUT6_2c2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34174.5-34181.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1764 ], + "I1": [ 1582 ], + "I2": [ 1758 ], + "I3": [ 1756 ], + "I4": [ 1748 ], + "I5": [ 3869 ], + "O": [ 3871 ] + } + }, + "LUT6_2c3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34185.5-34192.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 1736 ], + "I2": [ 1764 ], + "I3": [ 1748 ], + "I4": [ 1758 ], + "I5": [ 3871 ], + "O": [ 3870 ] + } + }, + "LUT6_2c4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34196.5-34203.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1748 ], + "I1": [ 1582 ], + "I2": [ 1736 ], + "I3": [ 1758 ], + "I4": [ 1756 ], + "I5": [ 1764 ], + "O": [ 3872 ] + } + }, + "LUT6_2c5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34207.5-34214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1748 ], + "I1": [ 1736 ], + "I2": [ 1582 ], + "I3": [ 1730 ], + "I4": [ 1756 ], + "I5": [ 3872 ], + "O": [ 3873 ] + } + }, + "LUT6_2c6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34218.5-34225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1756 ], + "I1": [ 1758 ], + "I2": [ 1748 ], + "I3": [ 1736 ], + "I4": [ 1582 ], + "I5": [ 3873 ], + "O": [ 2397 ] + } + }, + "LUT6_2c7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34229.5-34236.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1730 ], + "I1": [ 1756 ], + "I2": [ 1758 ], + "I3": [ 1748 ], + "I4": [ 1582 ], + "I5": [ 1736 ], + "O": [ 2402 ] + } + }, + "LUT6_2c8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34240.5-34247.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2403 ], + "I1": [ 2404 ], + "I2": [ 3238 ], + "I3": [ 1756 ], + "I4": [ 1582 ], + "I5": [ 2402 ], + "O": [ 1753 ] + } + }, + "LUT6_2c9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34251.5-34258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 1756 ], + "I2": [ 2402 ], + "I3": [ 1736 ], + "I4": [ 1758 ], + "I5": [ 1748 ], + "O": [ 2896 ] + } + }, + "LUT6_2ca": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34262.5-34269.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1710 ], + "I1": [ 1740 ], + "I2": [ 1770 ], + "I3": [ 1714 ], + "I4": [ 1762 ], + "I5": [ 1728 ], + "O": [ 3459 ] + } + }, + "LUT6_2cb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34273.5-34280.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3839 ], + "I1": [ 3840 ], + "I2": [ 1728 ], + "I3": [ 1762 ], + "I4": [ 1740 ], + "I5": [ 1714 ], + "O": [ 1699 ] + } + }, + "LUT6_2cc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34284.5-34291.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3463 ], + "I1": [ 1710 ], + "I2": [ 1714 ], + "I3": [ 1762 ], + "I4": [ 1740 ], + "I5": [ 1728 ], + "O": [ 1759 ] + } + }, + "LUT6_2cd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34295.5-34302.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1762 ], + "I1": [ 1714 ], + "I2": [ 1710 ], + "I3": [ 1740 ], + "I4": [ 1770 ], + "I5": [ 1728 ], + "O": [ 3464 ] + } + }, + "LUT6_2ce": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34306.5-34313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1710 ], + "I1": [ 1762 ], + "I2": [ 1714 ], + "I3": [ 3465 ], + "I4": [ 1740 ], + "I5": [ 3464 ], + "O": [ 1733 ] + } + }, + "LUT6_2cf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34317.5-34324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1115 ], + "I1": [ 1730 ], + "I2": [ 1678 ], + "I3": [ 1682 ], + "I4": [ 1750 ], + "I5": [ 1672 ], + "O": [ 3874 ] + } + }, + "LUT6_2d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34328.5-34335.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2249 ], + "I1": [ 2173 ], + "I2": [ 2214 ], + "I3": [ 2216 ], + "I4": [ 2228 ], + "I5": [ 2212 ], + "O": [ 3258 ] + } + }, + "LUT6_2d0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34339.5-34346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1750 ], + "I1": [ 1115 ], + "I2": [ 1678 ], + "I3": [ 1105 ], + "I4": [ 1682 ], + "I5": [ 1718 ], + "O": [ 3875 ] + } + }, + "LUT6_2d1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34350.5-34357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1115 ], + "I1": [ 1750 ], + "I2": [ 1105 ], + "I3": [ 1746 ], + "I4": [ 1111 ], + "I5": [ 1724 ], + "O": [ 3876 ] + } + }, + "LUT6_2d2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34361.5-34368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1750 ], + "I1": [ 3875 ], + "I2": [ 1111 ], + "I3": [ 1119 ], + "I4": [ 3874 ], + "I5": [ 3876 ], + "O": [ 3877 ] + } + }, + "LUT6_2d3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34372.5-34379.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1119 ], + "I1": [ 1111 ], + "I2": [ 1750 ], + "I3": [ 3874 ], + "I4": [ 3875 ], + "I5": [ 3877 ], + "O": [ 3466 ] + } + }, + "LUT6_2d4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34383.5-34390.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1718 ], + "I1": [ 1115 ], + "I2": [ 1730 ], + "I3": [ 1726 ], + "I4": [ 1682 ], + "I5": [ 1111 ], + "O": [ 3878 ] + } + }, + "LUT6_2d5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34394.5-34401.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1115 ], + "I1": [ 1730 ], + "I2": [ 1678 ], + "I3": [ 1718 ], + "I4": [ 1724 ], + "I5": [ 1682 ], + "O": [ 3879 ] + } + }, + "LUT6_2d6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34405.5-34412.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1678 ], + "I1": [ 1718 ], + "I2": [ 1115 ], + "I3": [ 2901 ], + "I4": [ 1746 ], + "I5": [ 3879 ], + "O": [ 2902 ] + } + }, + "LUT6_2d7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34416.5-34423.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2409 ], + "I1": [ 1726 ], + "I2": [ 1718 ], + "I3": [ 3241 ], + "I4": [ 3878 ], + "I5": [ 2410 ], + "O": [ 3467 ] + } + }, + "LUT6_2d8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34427.5-34434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1726 ], + "I1": [ 1718 ], + "I2": [ 2410 ], + "I3": [ 2409 ], + "I4": [ 3241 ], + "I5": [ 3878 ], + "O": [ 3880 ] + } + }, + "LUT6_2d9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34438.5-34445.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2410 ], + "I1": [ 1718 ], + "I2": [ 1726 ], + "I3": [ 2409 ], + "I4": [ 3242 ], + "I5": [ 3880 ], + "O": [ 3469 ] + } + }, + "LUT6_2da": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34449.5-34456.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1718 ], + "I1": [ 1726 ], + "I2": [ 2904 ], + "I3": [ 2412 ], + "I4": [ 2413 ], + "I5": [ 2409 ], + "O": [ 3865 ] + } + }, + "LUT6_2db": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34460.5-34467.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1702 ], + "I1": [ 1700 ], + "I2": [ 1676 ], + "I3": [ 1800 ], + "I4": [ 1674 ], + "I5": [ 3229 ], + "O": [ 3881 ] + } + }, + "LUT6_2dc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34471.5-34478.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1674 ], + "I1": [ 1700 ], + "I2": [ 1702 ], + "I3": [ 1676 ], + "I4": [ 1800 ], + "I5": [ 3881 ], + "O": [ 1717 ] + } + }, + "LUT6_2dd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34482.5-34489.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2418 ], + "I1": [ 1700 ], + "I2": [ 2427 ], + "I3": [ 1800 ], + "I4": [ 1676 ], + "I5": [ 1702 ], + "O": [ 3470 ] + } + }, + "LUT6_2de": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34493.5-34500.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1674 ], + "I1": [ 1700 ], + "I2": [ 1702 ], + "I3": [ 1800 ], + "I4": [ 1676 ], + "I5": [ 2427 ], + "O": [ 2418 ] + } + }, + "LUT6_2df": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34504.5-34511.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2906 ], + "I1": [ 1796 ], + "I2": [ 1790 ], + "I3": [ 1107 ], + "I4": [ 1794 ], + "I5": [ 1792 ], + "O": [ 1787 ] + } + }, + "LUT6_2e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34515.5-34522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2173 ], + "I1": [ 2230 ], + "I2": [ 2228 ], + "I3": [ 2171 ], + "I4": [ 2167 ], + "I5": [ 2216 ], + "O": [ 2510 ] + } + }, + "LUT6_2e0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34526.5-34533.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 1620 ], + "I2": [ 1063 ], + "I3": [ 2363 ], + "I4": [ 1788 ], + "I5": [ 3796 ], + "O": [ 3475 ] + } + }, + "LUT6_2e1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34537.5-34544.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 1620 ], + "I2": [ 1107 ], + "I3": [ 1073 ], + "I4": [ 2363 ], + "I5": [ 1083 ], + "O": [ 2909 ] + } + }, + "LUT6_2e2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34548.5-34555.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3246 ], + "I1": [ 3248 ], + "I2": [ 1788 ], + "I3": [ 1620 ], + "I4": [ 2363 ], + "I5": [ 1061 ], + "O": [ 1114 ] + } + }, + "LUT6_2e3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34559.5-34566.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2912 ], + "I1": [ 1620 ], + "I2": [ 2909 ], + "I3": [ 1107 ], + "I4": [ 3473 ], + "I5": [ 1073 ], + "O": [ 3474 ] + } + }, + "LUT6_2e4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34570.5-34577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2910 ], + "I1": [ 1107 ], + "I2": [ 1620 ], + "I3": [ 2909 ], + "I4": [ 3473 ], + "I5": [ 2912 ], + "O": [ 3471 ] + } + }, + "LUT6_2e5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34581.5-34588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1107 ], + "I1": [ 2421 ], + "I2": [ 2422 ], + "I3": [ 2911 ], + "I4": [ 2909 ], + "I5": [ 2910 ], + "O": [ 3472 ] + } + }, + "LUT6_2e6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34592.5-34599.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 1083 ], + "I2": [ 1063 ], + "I3": [ 1107 ], + "I4": [ 1788 ], + "I5": [ 1061 ], + "O": [ 2912 ] + } + }, + "LUT6_2e7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34603.5-34610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1083 ], + "I1": [ 1109 ], + "I2": [ 2427 ], + "I3": [ 1069 ], + "I4": [ 2914 ], + "I5": [ 1800 ], + "O": [ 3882 ] + } + }, + "LUT6_2e8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34614.5-34621.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1083 ], + "I1": [ 2089 ], + "I2": [ 1109 ], + "I3": [ 2370 ], + "I4": [ 1798 ], + "I5": [ 3882 ], + "O": [ 3883 ] + } + }, + "LUT6_2e9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34625.5-34632.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1798 ], + "I1": [ 2089 ], + "I2": [ 1109 ], + "I3": [ 3476 ], + "I4": [ 2370 ], + "I5": [ 3883 ], + "O": [ 3843 ] + } + }, + "LUT6_2ea": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34636.5-34643.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2089 ], + "I1": [ 2427 ], + "I2": [ 2370 ], + "I3": [ 1083 ], + "I4": [ 1069 ], + "I5": [ 3476 ], + "O": [ 3844 ] + } + }, + "LUT6_2eb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34647.5-34654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3250 ], + "I1": [ 3846 ], + "I2": [ 2370 ], + "I3": [ 2914 ], + "I4": [ 1109 ], + "I5": [ 3845 ], + "O": [ 2426 ] + } + }, + "LUT6_2ec": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34658.5-34665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3250 ], + "I1": [ 2914 ], + "I2": [ 3846 ], + "I3": [ 2370 ], + "I4": [ 2916 ], + "I5": [ 1109 ], + "O": [ 3884 ] + } + }, + "LUT6_2ed": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34669.5-34676.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 2914 ], + "I2": [ 3250 ], + "I3": [ 3846 ], + "I4": [ 2427 ], + "I5": [ 3884 ], + "O": [ 2425 ] + } + }, + "LUT6_2ee": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34680.5-34687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2427 ], + "I1": [ 2916 ], + "I2": [ 2914 ], + "I3": [ 3250 ], + "I4": [ 2370 ], + "I5": [ 3846 ], + "O": [ 2915 ] + } + }, + "LUT6_2ef": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34691.5-34698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2431 ], + "I1": [ 1774 ], + "I2": [ 2004 ], + "I3": [ 1037 ], + "I4": [ 1029 ], + "I5": [ 2042 ], + "O": [ 1034 ] + } + }, + "LUT6_2f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34702.5-34709.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2228 ], + "I1": [ 2222 ], + "I2": [ 2220 ], + "I3": [ 2175 ], + "I4": [ 2249 ], + "I5": [ 2171 ], + "O": [ 3885 ] + } + }, + "LUT6_2f0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34713.5-34720.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 1820 ], + "I2": [ 2431 ], + "I3": [ 1782 ], + "I4": [ 1625 ], + "I5": [ 2919 ], + "O": [ 3886 ] + } + }, + "LUT6_2f1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34724.5-34731.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2919 ], + "I1": [ 1804 ], + "I2": [ 1782 ], + "I3": [ 1033 ], + "I4": [ 1820 ], + "I5": [ 1625 ], + "O": [ 3253 ] + } + }, + "LUT6_2f2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34735.5-34742.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1782 ], + "I1": [ 1625 ], + "I2": [ 3253 ], + "I3": [ 2920 ], + "I4": [ 2919 ], + "I5": [ 3886 ], + "O": [ 3479 ] + } + }, + "LUT6_2f3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34746.5-34753.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2919 ], + "I1": [ 3477 ], + "I2": [ 2920 ], + "I3": [ 1625 ], + "I4": [ 3253 ], + "I5": [ 1782 ], + "O": [ 2925 ] + } + }, + "LUT6_2f4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34757.5-34764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3477 ], + "I1": [ 2919 ], + "I2": [ 1782 ], + "I3": [ 3253 ], + "I4": [ 3479 ], + "I5": [ 2920 ], + "O": [ 3480 ] + } + }, + "LUT6_2f5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34768.5-34775.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1493 ], + "I1": [ 2006 ], + "I2": [ 1824 ], + "I3": [ 1027 ], + "I4": [ 1497 ], + "I5": [ 1802 ], + "O": [ 2443 ] + } + }, + "LUT6_2f6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34779.5-34786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3887 ], + "I1": [ 1824 ], + "I2": [ 1089 ], + "I3": [ 1860 ], + "I4": [ 1858 ], + "I5": [ 1828 ], + "O": [ 3848 ] + } + }, + "LUT6_2f7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34790.5-34797.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1495 ], + "I1": [ 1808 ], + "I2": [ 1824 ], + "I3": [ 2006 ], + "I4": [ 1836 ], + "I5": [ 1826 ], + "O": [ 3887 ] + } + }, + "LUT6_2f8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34801.5-34808.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1824 ], + "I1": [ 1089 ], + "I2": [ 1027 ], + "I3": [ 2006 ], + "I4": [ 1828 ], + "I5": [ 1836 ], + "O": [ 2931 ] + } + }, + "LUT6_2f9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34812.5-34819.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1858 ], + "I1": [ 1027 ], + "I2": [ 1824 ], + "I3": [ 1826 ], + "I4": [ 1089 ], + "I5": [ 1860 ], + "O": [ 3256 ] + } + }, + "LUT6_2fa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34823.5-34830.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1495 ], + "I1": [ 2006 ], + "I2": [ 1824 ], + "I3": [ 1784 ], + "I4": [ 2008 ], + "I5": [ 1826 ], + "O": [ 3255 ] + } + }, + "LUT6_2fb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34834.5-34841.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1784 ], + "I1": [ 1027 ], + "I2": [ 1495 ], + "I3": [ 2006 ], + "I4": [ 1071 ], + "I5": [ 1824 ], + "O": [ 3888 ] + } + }, + "LUT6_2fc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34845.5-34852.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1784 ], + "I1": [ 1493 ], + "I2": [ 2006 ], + "I3": [ 1027 ], + "I4": [ 1495 ], + "I5": [ 1858 ], + "O": [ 3483 ] + } + }, + "LUT6_2fd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34856.5-34863.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 3483 ], + "I2": [ 1071 ], + "I3": [ 1493 ], + "I4": [ 3482 ], + "I5": [ 1784 ], + "O": [ 2928 ] + } + }, + "LUT6_2fe": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34867.5-34874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3889 ], + "I1": [ 1027 ], + "I2": [ 3482 ], + "I3": [ 1493 ], + "I4": [ 3483 ], + "I5": [ 1784 ], + "O": [ 1781 ] + } + }, + "LUT6_2ff": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34878.5-34885.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1493 ], + "I1": [ 3482 ], + "I2": [ 1784 ], + "I3": [ 1027 ], + "I4": [ 3483 ], + "I5": [ 2006 ], + "O": [ 3889 ] + } + }, + "LUT6_3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34889.5-34896.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 347 ], + "I1": [ 343 ], + "I2": [ 851 ], + "I3": [ 749 ], + "I4": [ 347 ], + "I5": [ 2546 ], + "O": [ 592 ] + } + }, + "LUT6_30": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34900.5-34907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2220 ], + "I1": [ 3258 ], + "I2": [ 2510 ], + "I3": [ 2511 ], + "I4": [ 2467 ], + "I5": [ 2228 ], + "O": [ 2513 ] + } + }, + "LUT6_300": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34911.5-34918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 3482 ], + "I2": [ 3483 ], + "I3": [ 1784 ], + "I4": [ 1493 ], + "I5": [ 2006 ], + "O": [ 2434 ] + } + }, + "LUT6_301": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34922.5-34929.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2006 ], + "I1": [ 1027 ], + "I2": [ 1784 ], + "I3": [ 1493 ], + "I4": [ 3483 ], + "I5": [ 3482 ], + "O": [ 3484 ] + } + }, + "LUT6_302": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34933.5-34940.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2931 ], + "I1": [ 3485 ], + "I2": [ 3888 ], + "I3": [ 2008 ], + "I4": [ 1826 ], + "I5": [ 3255 ], + "O": [ 3890 ] + } + }, + "LUT6_303": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34944.5-34951.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3255 ], + "I1": [ 2931 ], + "I2": [ 3485 ], + "I3": [ 3847 ], + "I4": [ 3888 ], + "I5": [ 3890 ], + "O": [ 1815 ] + } + }, + "LUT6_304": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34955.5-34962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1822 ], + "I1": [ 1810 ], + "I2": [ 1493 ], + "I3": [ 1898 ], + "I4": [ 1033 ], + "I5": [ 2443 ], + "O": [ 3849 ] + } + }, + "LUT6_305": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34966.5-34973.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1810 ], + "I1": [ 1822 ], + "I2": [ 2443 ], + "I3": [ 1033 ], + "I4": [ 1898 ], + "I5": [ 1493 ], + "O": [ 2440 ] + } + }, + "LUT6_306": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34977.5-34984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1071 ], + "I1": [ 2443 ], + "I2": [ 1898 ], + "I3": [ 1033 ], + "I4": [ 1810 ], + "I5": [ 1493 ], + "O": [ 3487 ] + } + }, + "LUT6_307": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34988.5-34995.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1812 ], + "I1": [ 1858 ], + "I2": [ 1856 ], + "I3": [ 1898 ], + "I4": [ 1830 ], + "I5": [ 1816 ], + "O": [ 1859 ] + } + }, + "LUT6_308": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:34999.5-35006.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1898 ], + "I1": [ 1860 ], + "I2": [ 1830 ], + "I3": [ 1491 ], + "I4": [ 1854 ], + "I5": [ 1832 ], + "O": [ 2937 ] + } + }, + "LUT6_309": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35010.5-35017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3261 ], + "I1": [ 3891 ], + "I2": [ 3267 ], + "I3": [ 1868 ], + "I4": [ 3260 ], + "I5": [ 1818 ], + "O": [ 1869 ] + } + }, + "LUT6_30a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35021.5-35028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3892 ], + "I1": [ 1864 ], + "I2": [ 1892 ], + "I3": [ 1890 ], + "I4": [ 1846 ], + "I5": [ 1832 ], + "O": [ 1849 ] + } + }, + "LUT6_30b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35032.5-35039.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1864 ], + "I1": [ 1892 ], + "I2": [ 1890 ], + "I3": [ 1846 ], + "I4": [ 1832 ], + "I5": [ 1896 ], + "O": [ 3892 ] + } + }, + "LUT6_30c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35043.5-35050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1896 ], + "I1": [ 2933 ], + "I2": [ 1846 ], + "I3": [ 1864 ], + "I4": [ 3488 ], + "I5": [ 1832 ], + "O": [ 1887 ] + } + }, + "LUT6_30d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35054.5-35061.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1870 ], + "I1": [ 1838 ], + "I2": [ 1852 ], + "I3": [ 1848 ], + "I4": [ 1860 ], + "I5": [ 1818 ], + "O": [ 2459 ] + } + }, + "LUT6_30e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35065.5-35072.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1850 ], + "I1": [ 1491 ], + "I2": [ 1888 ], + "I3": [ 1818 ], + "I4": [ 1860 ], + "I5": [ 1848 ], + "O": [ 2936 ] + } + }, + "LUT6_30f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35076.5-35083.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2447 ], + "I1": [ 1848 ], + "I2": [ 1850 ], + "I3": [ 1818 ], + "I4": [ 1491 ], + "I5": [ 1888 ], + "O": [ 1845 ] + } + }, + "LUT6_31": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35087.5-35094.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2467 ], + "I1": [ 2171 ], + "I2": [ 2510 ], + "I3": [ 3885 ], + "I4": [ 3258 ], + "I5": [ 2511 ], + "O": [ 3275 ] + } + }, + "LUT6_310": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35098.5-35105.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1491 ], + "I1": [ 1860 ], + "I2": [ 1818 ], + "I3": [ 1848 ], + "I4": [ 1888 ], + "I5": [ 1850 ], + "O": [ 3262 ] + } + }, + "LUT6_311": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35109.5-35116.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2453 ], + "I1": [ 3263 ], + "I2": [ 2452 ], + "I3": [ 1818 ], + "I4": [ 1491 ], + "I5": [ 1860 ], + "O": [ 1871 ] + } + }, + "LUT6_312": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35120.5-35127.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2448 ], + "I1": [ 2449 ], + "I2": [ 1848 ], + "I3": [ 1860 ], + "I4": [ 1818 ], + "I5": [ 1491 ], + "O": [ 3259 ] + } + }, + "LUT6_313": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35131.5-35138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3893 ], + "I1": [ 1854 ], + "I2": [ 2935 ], + "I3": [ 2938 ], + "I4": [ 1838 ], + "I5": [ 2939 ], + "O": [ 1895 ] + } + }, + "LUT6_314": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35142.5-35149.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1854 ], + "I1": [ 2939 ], + "I2": [ 2935 ], + "I3": [ 2936 ], + "I4": [ 1838 ], + "I5": [ 2938 ], + "O": [ 3893 ] + } + }, + "LUT6_315": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35153.5-35160.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1854 ], + "I1": [ 2939 ], + "I2": [ 2936 ], + "I3": [ 3266 ], + "I4": [ 3265 ], + "I5": [ 2935 ], + "O": [ 1893 ] + } + }, + "LUT6_316": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35164.5-35171.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2459 ], + "I1": [ 2455 ], + "I2": [ 1870 ], + "I3": [ 1852 ], + "I4": [ 2458 ], + "I5": [ 1844 ], + "O": [ 2460 ] + } + }, + "LUT6_317": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35175.5-35182.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3851 ], + "I1": [ 3850 ], + "I2": [ 2455 ], + "I3": [ 2458 ], + "I4": [ 1844 ], + "I5": [ 1870 ], + "O": [ 3891 ] + } + }, + "LUT6_318": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35186.5-35193.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1852 ], + "I1": [ 1844 ], + "I2": [ 2455 ], + "I3": [ 2458 ], + "I4": [ 2459 ], + "I5": [ 1870 ], + "O": [ 3894 ] + } + }, + "LUT6_319": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35197.5-35204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1844 ], + "I1": [ 2459 ], + "I2": [ 1852 ], + "I3": [ 2455 ], + "I4": [ 2458 ], + "I5": [ 3894 ], + "O": [ 2454 ] + } + }, + "LUT6_31a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35208.5-35215.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1880 ], + "I1": [ 1814 ], + "I2": [ 1838 ], + "I3": [ 1812 ], + "I4": [ 1856 ], + "I5": [ 1816 ], + "O": [ 3895 ] + } + }, + "LUT6_31b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35219.5-35226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1856 ], + "I2": [ 1878 ], + "I3": [ 1814 ], + "I4": [ 1880 ], + "I5": [ 3895 ], + "O": [ 3896 ] + } + }, + "LUT6_31c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35230.5-35237.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1856 ], + "I1": [ 1818 ], + "I2": [ 1900 ], + "I3": [ 1814 ], + "I4": [ 1834 ], + "I5": [ 3896 ], + "O": [ 2950 ] + } + }, + "LUT6_31d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35241.5-35248.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3897 ], + "I1": [ 1856 ], + "I2": [ 1834 ], + "I3": [ 1814 ], + "I4": [ 1878 ], + "I5": [ 1860 ], + "O": [ 2949 ] + } + }, + "LUT6_31e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35252.5-35259.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1880 ], + "I2": [ 1834 ], + "I3": [ 1900 ], + "I4": [ 1856 ], + "I5": [ 1812 ], + "O": [ 2946 ] + } + }, + "LUT6_31f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35263.5-35270.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3898 ], + "I1": [ 2946 ], + "I2": [ 1834 ], + "I3": [ 1856 ], + "I4": [ 3268 ], + "I5": [ 1814 ], + "O": [ 1905 ] + } + }, + "LUT6_32": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35274.5-35281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2467 ], + "I2": [ 3258 ], + "I3": [ 2510 ], + "I4": [ 3275 ], + "I5": [ 3885 ], + "O": [ 3899 ] + } + }, + "LUT6_320": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35285.5-35292.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 2946 ], + "I2": [ 1856 ], + "I3": [ 1814 ], + "I4": [ 1834 ], + "I5": [ 3268 ], + "O": [ 3898 ] + } + }, + "LUT6_321": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35296.5-35303.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2946 ], + "I1": [ 1838 ], + "I2": [ 3268 ], + "I3": [ 1814 ], + "I4": [ 1856 ], + "I5": [ 1834 ], + "O": [ 3269 ] + } + }, + "LUT6_322": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35307.5-35314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1860 ], + "I1": [ 1900 ], + "I2": [ 2946 ], + "I3": [ 1880 ], + "I4": [ 1818 ], + "I5": [ 3270 ], + "O": [ 3897 ] + } + }, + "LUT6_323": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35318.5-35325.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1914 ], + "I1": [ 1932 ], + "I2": [ 1968 ], + "I3": [ 1910 ], + "I4": [ 1966 ], + "I5": [ 1948 ], + "O": [ 3900 ] + } + }, + "LUT6_324": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35329.5-35336.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1910 ], + "I1": [ 1914 ], + "I2": [ 1968 ], + "I3": [ 2464 ], + "I4": [ 1932 ], + "I5": [ 3900 ], + "O": [ 3901 ] + } + }, + "LUT6_325": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35340.5-35347.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1932 ], + "I1": [ 2464 ], + "I2": [ 1968 ], + "I3": [ 1910 ], + "I4": [ 3901 ], + "I5": [ 1914 ], + "O": [ 1973 ] + } + }, + "LUT6_326": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35351.5-35358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1912 ], + "I1": [ 1966 ], + "I2": [ 1958 ], + "I3": [ 1940 ], + "I4": [ 2463 ], + "I5": [ 3492 ], + "O": [ 3853 ] + } + }, + "LUT6_327": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35362.5-35369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3493 ], + "I1": [ 1958 ], + "I2": [ 1940 ], + "I3": [ 1912 ], + "I4": [ 2463 ], + "I5": [ 3492 ], + "O": [ 1919 ] + } + }, + "LUT6_328": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35373.5-35380.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2466 ], + "I1": [ 1966 ], + "I2": [ 2469 ], + "I3": [ 3492 ], + "I4": [ 3494 ], + "I5": [ 2463 ], + "O": [ 1971 ] + } + }, + "LUT6_329": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35384.5-35391.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2470 ], + "I1": [ 1966 ], + "I2": [ 2466 ], + "I3": [ 3492 ], + "I4": [ 2469 ], + "I5": [ 3494 ], + "O": [ 1937 ] + } + }, + "LUT6_32a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35395.5-35402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1910 ], + "I1": [ 1906 ], + "I2": [ 1930 ], + "I3": [ 1960 ], + "I4": [ 1944 ], + "I5": [ 1948 ], + "O": [ 3902 ] + } + }, + "LUT6_32b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35406.5-35413.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 1956 ], + "I2": [ 1910 ], + "I3": [ 1906 ], + "I4": [ 3902 ], + "I5": [ 1960 ], + "O": [ 3495 ] + } + }, + "LUT6_32c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35417.5-35424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 1956 ], + "I2": [ 1910 ], + "I3": [ 1944 ], + "I4": [ 1906 ], + "I5": [ 3902 ], + "O": [ 3903 ] + } + }, + "LUT6_32d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35428.5-35435.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1944 ], + "I1": [ 1930 ], + "I2": [ 1910 ], + "I3": [ 1956 ], + "I4": [ 1906 ], + "I5": [ 3903 ], + "O": [ 3904 ] + } + }, + "LUT6_32e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35439.5-35446.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 1944 ], + "I2": [ 1910 ], + "I3": [ 1906 ], + "I4": [ 1956 ], + "I5": [ 3904 ], + "O": [ 1933 ] + } + }, + "LUT6_32f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35450.5-35457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3276 ], + "I1": [ 1930 ], + "I2": [ 1906 ], + "I3": [ 1910 ], + "I4": [ 1956 ], + "I5": [ 1944 ], + "O": [ 3496 ] + } + }, + "LUT6_33": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35461.5-35468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3258 ], + "I1": [ 2171 ], + "I2": [ 2510 ], + "I3": [ 3275 ], + "I4": [ 3899 ], + "I5": [ 2467 ], + "O": [ 2203 ] + } + }, + "LUT6_330": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35472.5-35479.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2952 ], + "I1": [ 1489 ], + "I2": [ 1481 ], + "I3": [ 1922 ], + "I4": [ 1487 ], + "I5": [ 1485 ], + "O": [ 1478 ] + } + }, + "LUT6_331": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35483.5-35490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2475 ], + "I1": [ 1487 ], + "I2": [ 2476 ], + "I3": [ 1481 ], + "I4": [ 2954 ], + "I5": [ 3280 ], + "O": [ 1951 ] + } + }, + "LUT6_332": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35494.5-35501.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1970 ], + "I1": [ 1928 ], + "I2": [ 1962 ], + "I3": [ 1972 ], + "I4": [ 1920 ], + "I5": [ 1918 ], + "O": [ 2955 ] + } + }, + "LUT6_333": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35505.5-35512.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3905 ], + "I1": [ 1936 ], + "I2": [ 1974 ], + "I3": [ 1946 ], + "I4": [ 1483 ], + "I5": [ 1934 ], + "O": [ 1949 ] + } + }, + "LUT6_334": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35516.5-35523.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1483 ], + "I1": [ 1934 ], + "I2": [ 1936 ], + "I3": [ 2955 ], + "I4": [ 1946 ], + "I5": [ 1974 ], + "O": [ 3905 ] + } + }, + "LUT6_335": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35527.5-35534.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2955 ], + "I1": [ 1934 ], + "I2": [ 1974 ], + "I3": [ 1946 ], + "I4": [ 1483 ], + "I5": [ 1936 ], + "O": [ 3906 ] + } + }, + "LUT6_336": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35538.5-35545.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2955 ], + "I1": [ 1483 ], + "I2": [ 1934 ], + "I3": [ 1974 ], + "I4": [ 3906 ], + "I5": [ 1946 ], + "O": [ 3907 ] + } + }, + "LUT6_337": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35549.5-35556.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1974 ], + "I1": [ 2955 ], + "I2": [ 1934 ], + "I3": [ 1483 ], + "I4": [ 3906 ], + "I5": [ 3907 ], + "O": [ 1883 ] + } + }, + "LUT6_338": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35560.5-35567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1974 ], + "I1": [ 1483 ], + "I2": [ 1934 ], + "I3": [ 2477 ], + "I4": [ 2955 ], + "I5": [ 2956 ], + "O": [ 3908 ] + } + }, + "LUT6_339": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35571.5-35578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1934 ], + "I1": [ 2477 ], + "I2": [ 2955 ], + "I3": [ 1483 ], + "I4": [ 3908 ], + "I5": [ 1974 ], + "O": [ 1955 ] + } + }, + "LUT6_33a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35582.5-35589.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1954 ], + "I2": [ 1924 ], + "I3": [ 1972 ], + "I4": [ 1964 ], + "I5": [ 1952 ], + "O": [ 3283 ] + } + }, + "LUT6_33b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35593.5-35600.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1920 ], + "I1": [ 1928 ], + "I2": [ 1479 ], + "I3": [ 1970 ], + "I4": [ 1962 ], + "I5": [ 1964 ], + "O": [ 3909 ] + } + }, + "LUT6_33c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35604.5-35611.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1928 ], + "I1": [ 2479 ], + "I2": [ 2480 ], + "I3": [ 1970 ], + "I4": [ 3281 ], + "I5": [ 3909 ], + "O": [ 3910 ] + } + }, + "LUT6_33d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35615.5-35622.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1928 ], + "I1": [ 2479 ], + "I2": [ 3281 ], + "I3": [ 1970 ], + "I4": [ 2480 ], + "I5": [ 3910 ], + "O": [ 3911 ] + } + }, + "LUT6_33e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35626.5-35633.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2481 ], + "I1": [ 2479 ], + "I2": [ 1928 ], + "I3": [ 3281 ], + "I4": [ 2480 ], + "I5": [ 3497 ], + "O": [ 3282 ] + } + }, + "LUT6_33f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35637.5-35644.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2483 ], + "I1": [ 2484 ], + "I2": [ 1970 ], + "I3": [ 2479 ], + "I4": [ 2480 ], + "I5": [ 3911 ], + "O": [ 1957 ] + } + }, + "LUT6_34": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35648.5-35655.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2966 ], + "I1": [ 2249 ], + "I2": [ 2513 ], + "I3": [ 2927 ], + "I4": [ 2520 ], + "I5": [ 2222 ], + "O": [ 3490 ] + } + }, + "LUT6_340": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35659.5-35666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1924 ], + "I1": [ 1962 ], + "I2": [ 2485 ], + "I3": [ 1479 ], + "I4": [ 1920 ], + "I5": [ 1916 ], + "O": [ 3912 ] + } + }, + "LUT6_341": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35670.5-35677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1479 ], + "I1": [ 2485 ], + "I2": [ 1924 ], + "I3": [ 1962 ], + "I4": [ 1920 ], + "I5": [ 3912 ], + "O": [ 3913 ] + } + }, + "LUT6_342": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35681.5-35688.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1924 ], + "I1": [ 1479 ], + "I2": [ 2485 ], + "I3": [ 1920 ], + "I4": [ 1962 ], + "I5": [ 3913 ], + "O": [ 1853 ] + } + }, + "LUT6_343": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35692.5-35699.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1924 ], + "I1": [ 1920 ], + "I2": [ 1962 ], + "I3": [ 3498 ], + "I4": [ 2485 ], + "I5": [ 1479 ], + "O": [ 1965 ] + } + }, + "LUT6_344": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35703.5-35710.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2485 ], + "I1": [ 1920 ], + "I2": [ 1962 ], + "I3": [ 1479 ], + "I4": [ 1924 ], + "I5": [ 3498 ], + "O": [ 2961 ] + } + }, + "LUT6_345": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35714.5-35721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1832 ], + "I1": [ 1858 ], + "I2": [ 1886 ], + "I3": [ 1904 ], + "I4": [ 1882 ], + "I5": [ 3854 ], + "O": [ 1921 ] + } + }, + "LUT6_346": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35725.5-35732.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1904 ], + "I1": [ 1902 ], + "I2": [ 1858 ], + "I3": [ 1884 ], + "I4": [ 1832 ], + "I5": [ 1886 ], + "O": [ 2491 ] + } + }, + "LUT6_347": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35736.5-35743.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 1902 ], + "I2": [ 1884 ], + "I3": [ 1876 ], + "I4": [ 1858 ], + "I5": [ 1880 ], + "O": [ 3500 ] + } + }, + "LUT6_348": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35747.5-35754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 1884 ], + "I2": [ 1902 ], + "I3": [ 1858 ], + "I4": [ 1904 ], + "I5": [ 1832 ], + "O": [ 3285 ] + } + }, + "LUT6_349": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35758.5-35765.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1904 ], + "I1": [ 1858 ], + "I2": [ 3285 ], + "I3": [ 2491 ], + "I4": [ 1886 ], + "I5": [ 1884 ], + "O": [ 2487 ] + } + }, + "LUT6_34a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35769.5-35776.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2487 ], + "I1": [ 2491 ], + "I2": [ 1886 ], + "I3": [ 3285 ], + "I4": [ 1858 ], + "I5": [ 1904 ], + "O": [ 3914 ] + } + }, + "LUT6_34b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35780.5-35787.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1858 ], + "I1": [ 3285 ], + "I2": [ 2491 ], + "I3": [ 2487 ], + "I4": [ 1886 ], + "I5": [ 3914 ], + "O": [ 1807 ] + } + }, + "LUT6_34c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35791.5-35798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3915 ], + "I1": [ 2491 ], + "I2": [ 2489 ], + "I3": [ 3500 ], + "I4": [ 1876 ], + "I5": [ 1902 ], + "O": [ 1929 ] + } + }, + "LUT6_34d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35802.5-35809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2962 ], + "I1": [ 1902 ], + "I2": [ 2491 ], + "I3": [ 2489 ], + "I4": [ 3500 ], + "I5": [ 1876 ], + "O": [ 3915 ] + } + }, + "LUT6_34e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35813.5-35820.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 2962 ], + "I2": [ 3500 ], + "I3": [ 2489 ], + "I4": [ 1902 ], + "I5": [ 1876 ], + "O": [ 3916 ] + } + }, + "LUT6_34f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35824.5-35831.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2491 ], + "I1": [ 2489 ], + "I2": [ 2962 ], + "I3": [ 1902 ], + "I4": [ 3500 ], + "I5": [ 3916 ], + "O": [ 3917 ] + } + }, + "LUT6_35": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35835.5-35842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2516 ], + "I1": [ 2515 ], + "I2": [ 2249 ], + "I3": [ 2966 ], + "I4": [ 2513 ], + "I5": [ 2222 ], + "O": [ 2201 ] + } + }, + "LUT6_350": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35846.5-35853.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1902 ], + "I1": [ 2489 ], + "I2": [ 2962 ], + "I3": [ 2491 ], + "I4": [ 3917 ], + "I5": [ 3500 ], + "O": [ 1925 ] + } + }, + "LUT6_351": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35857.5-35864.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 1083 ], + "I2": [ 1071 ], + "I3": [ 1085 ], + "I4": [ 2427 ], + "I5": [ 1471 ], + "O": [ 2149 ] + } + }, + "LUT6_352": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35868.5-35875.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1035 ], + "I1": [ 1085 ], + "I2": [ 1071 ], + "I3": [ 2427 ], + "I4": [ 1089 ], + "I5": [ 1083 ], + "O": [ 2493 ] + } + }, + "LUT6_353": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35879.5-35886.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1085 ], + "I1": [ 1035 ], + "I2": [ 2427 ], + "I3": [ 1089 ], + "I4": [ 2493 ], + "I5": [ 1071 ], + "O": [ 3502 ] + } + }, + "LUT6_354": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35890.5-35897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2496 ], + "I1": [ 1089 ], + "I2": [ 2495 ], + "I3": [ 2494 ], + "I4": [ 2427 ], + "I5": [ 2964 ], + "O": [ 1032 ] + } + }, + "LUT6_355": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35901.5-35908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2070 ], + "I1": [ 373 ], + "I2": [ 2012 ], + "I3": [ 1473 ], + "I4": [ 2044 ], + "I5": [ 1475 ], + "O": [ 3918 ] + } + }, + "LUT6_356": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35912.5-35919.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1473 ], + "I1": [ 2012 ], + "I2": [ 373 ], + "I3": [ 2044 ], + "I4": [ 525 ], + "I5": [ 3918 ], + "O": [ 2151 ] + } + }, + "LUT6_357": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35923.5-35930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2044 ], + "I1": [ 1473 ], + "I2": [ 1027 ], + "I3": [ 2012 ], + "I4": [ 2070 ], + "I5": [ 373 ], + "O": [ 2497 ] + } + }, + "LUT6_358": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35934.5-35941.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3919 ], + "I1": [ 1027 ], + "I2": [ 1473 ], + "I3": [ 525 ], + "I4": [ 2070 ], + "I5": [ 2044 ], + "O": [ 2153 ] + } + }, + "LUT6_359": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35945.5-35952.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 525 ], + "I1": [ 2070 ], + "I2": [ 1027 ], + "I3": [ 2012 ], + "I4": [ 2044 ], + "I5": [ 1473 ], + "O": [ 3919 ] + } + }, + "LUT6_35a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35956.5-35963.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2070 ], + "I1": [ 2044 ], + "I2": [ 1473 ], + "I3": [ 2012 ], + "I4": [ 1027 ], + "I5": [ 525 ], + "O": [ 3290 ] + } + }, + "LUT6_35b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35967.5-35974.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3920 ], + "I1": [ 2012 ], + "I2": [ 1473 ], + "I3": [ 2044 ], + "I4": [ 2070 ], + "I5": [ 3290 ], + "O": [ 2127 ] + } + }, + "LUT6_35c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35978.5-35985.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2044 ], + "I1": [ 1027 ], + "I2": [ 1473 ], + "I3": [ 2012 ], + "I4": [ 3290 ], + "I5": [ 2070 ], + "O": [ 3920 ] + } + }, + "LUT6_35d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:35989.5-35996.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1037 ], + "I1": [ 2046 ], + "I2": [ 1507 ], + "I3": [ 1029 ], + "I4": [ 1001 ], + "I5": [ 2050 ], + "O": [ 3921 ] + } + }, + "LUT6_35e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36000.5-36007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1502 ], + "I1": [ 1033 ], + "I2": [ 2050 ], + "I3": [ 1037 ], + "I4": [ 1507 ], + "I5": [ 597 ], + "O": [ 3506 ] + } + }, + "LUT6_35f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36011.5-36018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 597 ], + "I1": [ 2046 ], + "I2": [ 1502 ], + "I3": [ 1037 ], + "I4": [ 1976 ], + "I5": [ 1507 ], + "O": [ 2503 ] + } + }, + "LUT6_36": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36022.5-36029.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2173 ], + "I1": [ 2247 ], + "I2": [ 2230 ], + "I3": [ 2226 ], + "I4": [ 2175 ], + "I5": [ 2214 ], + "O": [ 2511 ] + } + }, + "LUT6_360": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36033.5-36040.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 1033 ], + "I2": [ 2046 ], + "I3": [ 597 ], + "I4": [ 1505 ], + "I5": [ 2130 ], + "O": [ 3922 ] + } + }, + "LUT6_361": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36044.5-36051.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 597 ], + "I1": [ 443 ], + "I2": [ 2046 ], + "I3": [ 2050 ], + "I4": [ 3503 ], + "I5": [ 1505 ], + "O": [ 3855 ] + } + }, + "LUT6_362": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36055.5-36062.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2046 ], + "I1": [ 2050 ], + "I2": [ 2500 ], + "I3": [ 2499 ], + "I4": [ 3506 ], + "I5": [ 1033 ], + "O": [ 370 ] + } + }, + "LUT6_363": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36066.5-36073.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3922 ], + "I1": [ 1001 ], + "I2": [ 2503 ], + "I3": [ 3921 ], + "I4": [ 1029 ], + "I5": [ 1502 ], + "O": [ 3507 ] + } + }, + "LUT6_364": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36077.5-36084.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3923 ], + "I1": [ 1001 ], + "I2": [ 3922 ], + "I3": [ 1029 ], + "I4": [ 3921 ], + "I5": [ 2503 ], + "O": [ 2131 ] + } + }, + "LUT6_365": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36088.5-36095.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3922 ], + "I1": [ 1001 ], + "I2": [ 1502 ], + "I3": [ 3921 ], + "I4": [ 2503 ], + "I5": [ 1029 ], + "O": [ 3923 ] + } + }, + "LUT6_366": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36099.5-36106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1029 ], + "I1": [ 3921 ], + "I2": [ 3922 ], + "I3": [ 2503 ], + "I4": [ 1001 ], + "I5": [ 1502 ], + "O": [ 2505 ] + } + }, + "LUT6_367": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36110.5-36117.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2504 ], + "I1": [ 3922 ], + "I2": [ 3921 ], + "I3": [ 2505 ], + "I4": [ 1029 ], + "I5": [ 2503 ], + "O": [ 2133 ] + } + }, + "LUT6_368": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36121.5-36128.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2504 ], + "I1": [ 2505 ], + "I2": [ 1029 ], + "I3": [ 3922 ], + "I4": [ 3921 ], + "I5": [ 2503 ], + "O": [ 2507 ] + } + }, + "LUT6_37": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36132.5-36139.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3829 ], + "I1": [ 2175 ], + "I2": [ 2214 ], + "I3": [ 2230 ], + "I4": [ 2247 ], + "I5": [ 2226 ], + "O": [ 3924 ] + } + }, + "LUT6_38": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36143.5-36150.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2173 ], + "I1": [ 2175 ], + "I2": [ 2226 ], + "I3": [ 2230 ], + "I4": [ 2247 ], + "I5": [ 3828 ], + "O": [ 3925 ] + } + }, + "LUT6_39": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36154.5-36161.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2226 ], + "I1": [ 2175 ], + "I2": [ 2247 ], + "I3": [ 2230 ], + "I4": [ 2173 ], + "I5": [ 3925 ], + "O": [ 2176 ] + } + }, + "LUT6_3a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36165.5-36172.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2187 ], + "I1": [ 2206 ], + "I2": [ 2197 ], + "I3": [ 2200 ], + "I4": [ 2210 ], + "I5": [ 3842 ], + "O": [ 2194 ] + } + }, + "LUT6_3b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36176.5-36183.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2200 ], + "I1": [ 2187 ], + "I2": [ 2185 ], + "I3": [ 2206 ], + "I4": [ 2204 ], + "I5": [ 1988 ], + "O": [ 3293 ] + } + }, + "LUT6_3c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36187.5-36194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2206 ], + "I1": [ 2187 ], + "I2": [ 2204 ], + "I3": [ 2224 ], + "I4": [ 2185 ], + "I5": [ 2210 ], + "O": [ 2521 ] + } + }, + "LUT6_3d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36198.5-36205.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2185 ], + "I1": [ 2202 ], + "I2": [ 2169 ], + "I3": [ 2197 ], + "I4": [ 2224 ], + "I5": [ 2200 ], + "O": [ 2525 ] + } + }, + "LUT6_3e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36209.5-36216.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2169 ], + "I1": [ 3293 ], + "I2": [ 2206 ], + "I3": [ 2521 ], + "I4": [ 2202 ], + "I5": [ 2197 ], + "O": [ 3509 ] + } + }, + "LUT6_3f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36220.5-36227.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3926 ], + "I1": [ 2202 ], + "I2": [ 3509 ], + "I3": [ 3293 ], + "I4": [ 2206 ], + "I5": [ 2169 ], + "O": [ 2215 ] + } + }, + "LUT6_4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36231.5-36238.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2518 ], + "I1": [ 2331 ], + "I2": [ 347 ], + "I3": [ 2517 ], + "I4": [ 235 ], + "I5": [ 3201 ], + "O": [ 2297 ] + } + }, + "LUT6_40": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36242.5-36249.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2202 ], + "I1": [ 3509 ], + "I2": [ 2521 ], + "I3": [ 3293 ], + "I4": [ 2206 ], + "I5": [ 2169 ], + "O": [ 3926 ] + } + }, + "LUT6_41": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36253.5-36260.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2204 ], + "I1": [ 2187 ], + "I2": [ 3293 ], + "I3": [ 2185 ], + "I4": [ 2523 ], + "I5": [ 3294 ], + "O": [ 2524 ] + } + }, + "LUT6_42": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36264.5-36271.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3510 ], + "I1": [ 3293 ], + "I2": [ 2169 ], + "I3": [ 2204 ], + "I4": [ 2187 ], + "I5": [ 2185 ], + "O": [ 2211 ] + } + }, + "LUT6_43": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36275.5-36282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2971 ], + "I1": [ 783 ], + "I2": [ 2970 ], + "I3": [ 2444 ], + "I4": [ 2257 ], + "I5": [ 2261 ], + "O": [ 2178 ] + } + }, + "LUT6_44": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36286.5-36293.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2973 ], + "I1": [ 2972 ], + "I2": [ 2444 ], + "I3": [ 2970 ], + "I4": [ 2971 ], + "I5": [ 783 ], + "O": [ 2188 ] + } + }, + "LUT6_45": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36297.5-36304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2968 ], + "I1": [ 2894 ], + "I2": [ 2527 ], + "I3": [ 2255 ], + "I4": [ 2969 ], + "I5": [ 3924 ], + "O": [ 3927 ] + } + }, + "LUT6_46": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36308.5-36315.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2255 ], + "I1": [ 2969 ], + "I2": [ 2894 ], + "I3": [ 2527 ], + "I4": [ 2968 ], + "I5": [ 3927 ], + "O": [ 2196 ] + } + }, + "LUT6_47": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36319.5-36326.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2968 ], + "I1": [ 2255 ], + "I2": [ 2894 ], + "I3": [ 2527 ], + "I4": [ 2183 ], + "I5": [ 2969 ], + "O": [ 3512 ] + } + }, + "LUT6_48": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36330.5-36337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3928 ], + "I1": [ 2527 ], + "I2": [ 2183 ], + "I3": [ 2894 ], + "I4": [ 2968 ], + "I5": [ 2969 ], + "O": [ 2250 ] + } + }, + "LUT6_49": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36341.5-36348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2894 ], + "I1": [ 2527 ], + "I2": [ 2968 ], + "I3": [ 2255 ], + "I4": [ 2969 ], + "I5": [ 2183 ], + "O": [ 3928 ] + } + }, + "LUT6_4a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36352.5-36359.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3929 ], + "I1": [ 2969 ], + "I2": [ 2255 ], + "I3": [ 2183 ], + "I4": [ 2968 ], + "I5": [ 2527 ], + "O": [ 2260 ] + } + }, + "LUT6_4b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36363.5-36370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2969 ], + "I1": [ 2183 ], + "I2": [ 2894 ], + "I3": [ 2968 ], + "I4": [ 2255 ], + "I5": [ 2527 ], + "O": [ 3929 ] + } + }, + "LUT6_4c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36374.5-36381.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2526 ], + "I1": [ 2527 ], + "I2": [ 2968 ], + "I3": [ 2183 ], + "I4": [ 3857 ], + "I5": [ 2969 ], + "O": [ 3930 ] + } + }, + "LUT6_4d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36385.5-36392.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2528 ], + "I1": [ 2527 ], + "I2": [ 2526 ], + "I3": [ 2183 ], + "I4": [ 2968 ], + "I5": [ 3930 ], + "O": [ 1260 ] + } + }, + "LUT6_4e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36396.5-36403.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3931 ], + "I1": [ 2191 ], + "I2": [ 2195 ], + "I3": [ 3481 ], + "I4": [ 2251 ], + "I5": [ 2193 ], + "O": [ 2252 ] + } + }, + "LUT6_4f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36407.5-36414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2193 ], + "I1": [ 2191 ], + "I2": [ 2181 ], + "I3": [ 3481 ], + "I4": [ 2251 ], + "I5": [ 2195 ], + "O": [ 3931 ] + } + }, + "LUT6_5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36418.5-36425.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 348 ], + "I1": [ 2274 ], + "I2": [ 443 ], + "I3": [ 885 ], + "I4": [ 477 ], + "I5": [ 2547 ], + "O": [ 342 ] + } + }, + "LUT6_50": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36429.5-36436.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2193 ], + "I1": [ 2251 ], + "I2": [ 2195 ], + "I3": [ 2181 ], + "I4": [ 2191 ], + "I5": [ 3481 ], + "O": [ 3932 ] + } + }, + "LUT6_51": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36440.5-36447.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3932 ], + "I1": [ 2195 ], + "I2": [ 2251 ], + "I3": [ 2191 ], + "I4": [ 2181 ], + "I5": [ 2193 ], + "O": [ 3933 ] + } + }, + "LUT6_52": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36451.5-36458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2251 ], + "I1": [ 2181 ], + "I2": [ 2191 ], + "I3": [ 3932 ], + "I4": [ 2195 ], + "I5": [ 3933 ], + "O": [ 2184 ] + } + }, + "LUT6_53": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36462.5-36469.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2233 ], + "I1": [ 3297 ], + "I2": [ 2239 ], + "I3": [ 2237 ], + "I4": [ 2531 ], + "I5": [ 2235 ], + "O": [ 2262 ] + } + }, + "LUT6_54": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36473.5-36480.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3296 ], + "I1": [ 2535 ], + "I2": [ 3934 ], + "I3": [ 2241 ], + "I4": [ 2278 ], + "I5": [ 3299 ], + "O": [ 2242 ] + } + }, + "LUT6_55": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36484.5-36491.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2272 ], + "I1": [ 1329 ], + "I2": [ 2531 ], + "I3": [ 1397 ], + "I4": [ 345 ], + "I5": [ 2984 ], + "O": [ 3513 ] + } + }, + "LUT6_56": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36495.5-36502.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3935 ], + "I1": [ 3513 ], + "I2": [ 3514 ], + "I3": [ 2976 ], + "I4": [ 1329 ], + "I5": [ 2546 ], + "O": [ 3934 ] + } + }, + "LUT6_57": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36506.5-36513.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3514 ], + "I1": [ 2976 ], + "I2": [ 1124 ], + "I3": [ 1329 ], + "I4": [ 3513 ], + "I5": [ 2546 ], + "O": [ 3935 ] + } + }, + "LUT6_58": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36517.5-36524.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2976 ], + "I1": [ 1124 ], + "I2": [ 2546 ], + "I3": [ 3514 ], + "I4": [ 1329 ], + "I5": [ 3513 ], + "O": [ 3936 ] + } + }, + "LUT6_59": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36528.5-36535.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2976 ], + "I1": [ 3514 ], + "I2": [ 2546 ], + "I3": [ 1329 ], + "I4": [ 1124 ], + "I5": [ 3936 ], + "O": [ 3937 ] + } + }, + "LUT6_5a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36539.5-36546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3514 ], + "I1": [ 1124 ], + "I2": [ 2546 ], + "I3": [ 2976 ], + "I4": [ 1329 ], + "I5": [ 3937 ], + "O": [ 2240 ] + } + }, + "LUT6_5b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36550.5-36557.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2976 ], + "I1": [ 1124 ], + "I2": [ 2546 ], + "I3": [ 3516 ], + "I4": [ 1329 ], + "I5": [ 3517 ], + "O": [ 920 ] + } + }, + "LUT6_5c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36561.5-36568.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2294 ], + "I1": [ 2288 ], + "I2": [ 2268 ], + "I3": [ 2272 ], + "I4": [ 1397 ], + "I5": [ 2978 ], + "O": [ 2238 ] + } + }, + "LUT6_5d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36572.5-36579.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3858 ], + "I1": [ 1363 ], + "I2": [ 2268 ], + "I3": [ 2243 ], + "I4": [ 1397 ], + "I5": [ 2294 ], + "O": [ 2265 ] + } + }, + "LUT6_5e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36583.5-36590.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 345 ], + "I1": [ 2288 ], + "I2": [ 1363 ], + "I3": [ 2296 ], + "I4": [ 2243 ], + "I5": [ 511 ], + "O": [ 3519 ] + } + }, + "LUT6_5f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36594.5-36601.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2243 ], + "I1": [ 2268 ], + "I2": [ 1261 ], + "I3": [ 989 ], + "I4": [ 345 ], + "I5": [ 2272 ], + "O": [ 3938 ] + } + }, + "LUT6_6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36605.5-36612.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 477 ], + "I1": [ 593 ], + "I2": [ 443 ], + "I3": [ 1588 ], + "I4": [ 851 ], + "I5": [ 375 ], + "O": [ 408 ] + } + }, + "LUT6_60": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36616.5-36623.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2268 ], + "I1": [ 345 ], + "I2": [ 2296 ], + "I3": [ 3519 ], + "I4": [ 2288 ], + "I5": [ 2532 ], + "O": [ 3522 ] + } + }, + "LUT6_61": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36627.5-36634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3519 ], + "I1": [ 345 ], + "I2": [ 2296 ], + "I3": [ 2294 ], + "I4": [ 2268 ], + "I5": [ 2288 ], + "O": [ 3859 ] + } + }, + "LUT6_62": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36638.5-36645.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 2288 ], + "I2": [ 2268 ], + "I3": [ 3519 ], + "I4": [ 2294 ], + "I5": [ 345 ], + "O": [ 2979 ] + } + }, + "LUT6_63": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36649.5-36656.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1261 ], + "I1": [ 2531 ], + "I2": [ 1363 ], + "I3": [ 1397 ], + "I4": [ 3518 ], + "I5": [ 3938 ], + "O": [ 2981 ] + } + }, + "LUT6_64": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36660.5-36667.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2982 ], + "I1": [ 2981 ], + "I2": [ 1397 ], + "I3": [ 1261 ], + "I4": [ 2531 ], + "I5": [ 1363 ], + "O": [ 1294 ] + } + }, + "LUT6_65": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36671.5-36678.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2568 ], + "I1": [ 2270 ], + "I2": [ 1329 ], + "I3": [ 345 ], + "I4": [ 1431 ], + "I5": [ 2263 ], + "O": [ 2534 ] + } + }, + "LUT6_66": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36682.5-36689.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3939 ], + "I1": [ 2290 ], + "I2": [ 3940 ], + "I3": [ 2284 ], + "I4": [ 2286 ], + "I5": [ 2292 ], + "O": [ 2279 ] + } + }, + "LUT6_67": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36693.5-36700.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2266 ], + "I1": [ 3940 ], + "I2": [ 2286 ], + "I3": [ 2292 ], + "I4": [ 2284 ], + "I5": [ 2290 ], + "O": [ 3939 ] + } + }, + "LUT6_68": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36704.5-36711.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2292 ], + "I1": [ 2290 ], + "I2": [ 2286 ], + "I3": [ 2266 ], + "I4": [ 2284 ], + "I5": [ 3940 ], + "O": [ 3941 ] + } + }, + "LUT6_69": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36715.5-36722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2290 ], + "I1": [ 2284 ], + "I2": [ 2266 ], + "I3": [ 2292 ], + "I4": [ 2286 ], + "I5": [ 3941 ], + "O": [ 3942 ] + } + }, + "LUT6_6a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36726.5-36733.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2284 ], + "I1": [ 2290 ], + "I2": [ 2266 ], + "I3": [ 2292 ], + "I4": [ 2286 ], + "I5": [ 3942 ], + "O": [ 2269 ] + } + }, + "LUT6_6b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36737.5-36744.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2286 ], + "I1": [ 2539 ], + "I2": [ 2266 ], + "I3": [ 2292 ], + "I4": [ 2290 ], + "I5": [ 2284 ], + "O": [ 2541 ] + } + }, + "LUT6_6c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36748.5-36755.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 2546 ], + "I2": [ 681 ], + "I3": [ 349 ], + "I4": [ 477 ], + "I5": [ 2546 ], + "O": [ 3524 ] + } + }, + "LUT6_6d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36759.5-36766.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2274 ], + "I1": [ 2548 ], + "I2": [ 3300 ], + "I3": [ 374 ], + "I4": [ 2547 ], + "I5": [ 343 ], + "O": [ 348 ] + } + }, + "LUT6_6e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36770.5-36777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2313 ], + "I1": [ 2315 ], + "I2": [ 2276 ], + "I3": [ 2317 ], + "I4": [ 647 ], + "I5": [ 343 ], + "O": [ 2557 ] + } + }, + "LUT6_6f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36781.5-36788.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2315 ], + "I1": [ 2317 ], + "I2": [ 885 ], + "I3": [ 2313 ], + "I4": [ 477 ], + "I5": [ 2274 ], + "O": [ 2547 ] + } + }, + "LUT6_7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36792.5-36799.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3940 ], + "I1": [ 375 ], + "I2": [ 342 ], + "I3": [ 2985 ], + "I4": [ 3525 ], + "I5": [ 2546 ], + "O": [ 344 ] + } + }, + "LUT6_70": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36803.5-36810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2312 ], + "I1": [ 374 ], + "I2": [ 885 ], + "I3": [ 3300 ], + "I4": [ 3301 ], + "I5": [ 348 ], + "O": [ 2316 ] + } + }, + "LUT6_71": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36814.5-36821.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1071 ], + "I1": [ 409 ], + "I2": [ 2319 ], + "I3": [ 2274 ], + "I4": [ 2274 ], + "I5": [ 2315 ], + "O": [ 374 ] + } + }, + "LUT6_72": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36825.5-36832.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2327 ], + "I1": [ 2274 ], + "I2": [ 2321 ], + "I3": [ 409 ], + "I4": [ 477 ], + "I5": [ 885 ], + "O": [ 2576 ] + } + }, + "LUT6_73": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36836.5-36843.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2551 ], + "I1": [ 2303 ], + "I2": [ 2315 ], + "I3": [ 2576 ], + "I4": [ 3002 ], + "I5": [ 2991 ], + "O": [ 3819 ] + } + }, + "LUT6_74": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36847.5-36854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2317 ], + "I1": [ 2557 ], + "I2": [ 2303 ], + "I3": [ 3002 ], + "I4": [ 2551 ], + "I5": [ 2305 ], + "O": [ 2549 ] + } + }, + "LUT6_75": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36858.5-36865.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3533 ], + "I1": [ 3002 ], + "I2": [ 3819 ], + "I3": [ 2298 ], + "I4": [ 181 ], + "I5": [ 183 ], + "O": [ 2308 ] + } + }, + "LUT6_76": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36869.5-36876.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2317 ], + "I1": [ 2551 ], + "I2": [ 3531 ], + "I3": [ 3303 ], + "I4": [ 2557 ], + "I5": [ 2315 ], + "O": [ 190 ] + } + }, + "LUT6_77": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36880.5-36887.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2556 ], + "I1": [ 2994 ], + "I2": [ 2317 ], + "I3": [ 2555 ], + "I4": [ 261 ], + "I5": [ 2551 ], + "O": [ 172 ] + } + }, + "LUT6_78": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36891.5-36898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3806 ], + "I1": [ 3805 ], + "I2": [ 2991 ], + "I3": [ 259 ], + "I4": [ 261 ], + "I5": [ 2555 ], + "O": [ 256 ] + } + }, + "LUT6_79": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36902.5-36909.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2551 ], + "I1": [ 259 ], + "I2": [ 261 ], + "I3": [ 2993 ], + "I4": [ 2991 ], + "I5": [ 2555 ], + "O": [ 2550 ] + } + }, + "LUT6_7a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36913.5-36920.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2552 ], + "I1": [ 2550 ], + "I2": [ 2551 ], + "I3": [ 259 ], + "I4": [ 2993 ], + "I5": [ 261 ], + "O": [ 186 ] + } + }, + "LUT6_7b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36924.5-36931.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 259 ], + "I2": [ 2993 ], + "I3": [ 2551 ], + "I4": [ 2991 ], + "I5": [ 261 ], + "O": [ 2554 ] + } + }, + "LUT6_7c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36935.5-36942.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 199 ], + "I1": [ 2990 ], + "I2": [ 195 ], + "I3": [ 251 ], + "I4": [ 255 ], + "I5": [ 253 ], + "O": [ 2997 ] + } + }, + "LUT6_7d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36946.5-36953.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 195 ], + "I1": [ 199 ], + "I2": [ 251 ], + "I3": [ 3304 ], + "I4": [ 2990 ], + "I5": [ 2997 ], + "O": [ 3527 ] + } + }, + "LUT6_7e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36957.5-36964.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3305 ], + "I1": [ 255 ], + "I2": [ 3304 ], + "I3": [ 253 ], + "I4": [ 251 ], + "I5": [ 2997 ], + "O": [ 260 ] + } + }, + "LUT6_7f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36968.5-36975.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3304 ], + "I1": [ 3306 ], + "I2": [ 253 ], + "I3": [ 2990 ], + "I4": [ 255 ], + "I5": [ 199 ], + "O": [ 2562 ] + } + }, + "LUT6_8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36979.5-36986.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 545 ], + "I1": [ 2546 ], + "I2": [ 442 ], + "I3": [ 2984 ], + "I4": [ 3940 ], + "I5": [ 593 ], + "O": [ 476 ] + } + }, + "LUT6_80": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:36990.5-36997.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3306 ], + "I1": [ 3304 ], + "I2": [ 255 ], + "I3": [ 2562 ], + "I4": [ 2990 ], + "I5": [ 253 ], + "O": [ 196 ] + } + }, + "LUT6_81": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37001.5-37008.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 217 ], + "I1": [ 215 ], + "I2": [ 249 ], + "I3": [ 231 ], + "I4": [ 179 ], + "I5": [ 193 ], + "O": [ 3813 ] + } + }, + "LUT6_82": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37012.5-37019.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 215 ], + "I1": [ 233 ], + "I2": [ 229 ], + "I3": [ 207 ], + "I4": [ 197 ], + "I5": [ 189 ], + "O": [ 2563 ] + } + }, + "LUT6_83": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37023.5-37030.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 197 ], + "I1": [ 233 ], + "I2": [ 209 ], + "I3": [ 213 ], + "I4": [ 217 ], + "I5": [ 229 ], + "O": [ 2564 ] + } + }, + "LUT6_84": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37034.5-37041.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 207 ], + "I1": [ 217 ], + "I2": [ 215 ], + "I3": [ 2564 ], + "I4": [ 197 ], + "I5": [ 209 ], + "O": [ 3943 ] + } + }, + "LUT6_85": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37045.5-37052.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 215 ], + "I1": [ 197 ], + "I2": [ 3813 ], + "I3": [ 3814 ], + "I4": [ 3943 ], + "I5": [ 2563 ], + "O": [ 3944 ] + } + }, + "LUT6_86": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37056.5-37063.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2563 ], + "I1": [ 197 ], + "I2": [ 3943 ], + "I3": [ 215 ], + "I4": [ 2564 ], + "I5": [ 3814 ], + "O": [ 3945 ] + } + }, + "LUT6_87": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37067.5-37074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2564 ], + "I1": [ 197 ], + "I2": [ 3814 ], + "I3": [ 3943 ], + "I4": [ 3945 ], + "I5": [ 3944 ], + "O": [ 222 ] + } + }, + "LUT6_88": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37078.5-37085.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3813 ], + "I1": [ 197 ], + "I2": [ 2563 ], + "I3": [ 2564 ], + "I4": [ 215 ], + "I5": [ 3814 ], + "O": [ 3812 ] + } + }, + "LUT6_89": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37089.5-37096.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 197 ], + "I1": [ 2564 ], + "I2": [ 2563 ], + "I3": [ 215 ], + "I4": [ 3814 ], + "I5": [ 3813 ], + "O": [ 2566 ] + } + }, + "LUT6_8a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37100.5-37107.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 207 ], + "I1": [ 217 ], + "I2": [ 213 ], + "I3": [ 189 ], + "I4": [ 215 ], + "I5": [ 233 ], + "O": [ 3814 ] + } + }, + "LUT6_8b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37111.5-37118.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 207 ], + "I1": [ 189 ], + "I2": [ 233 ], + "I3": [ 215 ], + "I4": [ 217 ], + "I5": [ 213 ], + "O": [ 3532 ] + } + }, + "LUT6_8c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37122.5-37129.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 189 ], + "I1": [ 207 ], + "I2": [ 3532 ], + "I3": [ 217 ], + "I4": [ 233 ], + "I5": [ 215 ], + "O": [ 3946 ] + } + }, + "LUT6_8d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37133.5-37140.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 233 ], + "I1": [ 189 ], + "I2": [ 3532 ], + "I3": [ 207 ], + "I4": [ 217 ], + "I5": [ 3946 ], + "O": [ 210 ] + } + }, + "LUT6_8e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37144.5-37151.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 227 ], + "I1": [ 203 ], + "I2": [ 219 ], + "I3": [ 205 ], + "I4": [ 221 ], + "I5": [ 2309 ], + "O": [ 2575 ] + } + }, + "LUT6_8f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37155.5-37162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 221 ], + "I1": [ 2990 ], + "I2": [ 203 ], + "I3": [ 191 ], + "I4": [ 211 ], + "I5": [ 187 ], + "O": [ 3947 ] + } + }, + "LUT6_9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37166.5-37173.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 681 ], + "I2": [ 579 ], + "I3": [ 783 ], + "I4": [ 545 ], + "I5": [ 345 ], + "O": [ 2984 ] + } + }, + "LUT6_90": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37177.5-37184.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2576 ], + "I1": [ 191 ], + "I2": [ 221 ], + "I3": [ 219 ], + "I4": [ 255 ], + "I5": [ 223 ], + "O": [ 3948 ] + } + }, + "LUT6_91": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37188.5-37195.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2576 ], + "I1": [ 219 ], + "I2": [ 255 ], + "I3": [ 191 ], + "I4": [ 221 ], + "I5": [ 3948 ], + "O": [ 3810 ] + } + }, + "LUT6_92": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37199.5-37206.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2307 ], + "I1": [ 2990 ], + "I2": [ 259 ], + "I3": [ 227 ], + "I4": [ 203 ], + "I5": [ 2576 ], + "O": [ 3815 ] + } + }, + "LUT6_93": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37210.5-37217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 221 ], + "I1": [ 257 ], + "I2": [ 177 ], + "I3": [ 173 ], + "I4": [ 259 ], + "I5": [ 225 ], + "O": [ 3310 ] + } + }, + "LUT6_94": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37221.5-37228.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 227 ], + "I1": [ 2990 ], + "I2": [ 205 ], + "I3": [ 255 ], + "I4": [ 2307 ], + "I5": [ 257 ], + "O": [ 2571 ] + } + }, + "LUT6_95": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37232.5-37239.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3817 ], + "I1": [ 255 ], + "I2": [ 3815 ], + "I3": [ 191 ], + "I4": [ 257 ], + "I5": [ 169 ], + "O": [ 3809 ] + } + }, + "LUT6_96": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37243.5-37250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2571 ], + "I1": [ 205 ], + "I2": [ 257 ], + "I3": [ 169 ], + "I4": [ 191 ], + "I5": [ 3816 ], + "O": [ 3949 ] + } + }, + "LUT6_97": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37254.5-37261.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 191 ], + "I1": [ 257 ], + "I2": [ 169 ], + "I3": [ 255 ], + "I4": [ 2571 ], + "I5": [ 3949 ], + "O": [ 228 ] + } + }, + "LUT6_98": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37265.5-37272.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2571 ], + "I1": [ 191 ], + "I2": [ 257 ], + "I3": [ 205 ], + "I4": [ 169 ], + "I5": [ 255 ], + "O": [ 3818 ] + } + }, + "LUT6_99": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37276.5-37283.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 191 ], + "I1": [ 2571 ], + "I2": [ 255 ], + "I3": [ 257 ], + "I4": [ 205 ], + "I5": [ 169 ], + "O": [ 3534 ] + } + }, + "LUT6_9a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37287.5-37294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 221 ], + "I1": [ 2576 ], + "I2": [ 175 ], + "I3": [ 2575 ], + "I4": [ 2309 ], + "I5": [ 3947 ], + "O": [ 2578 ] + } + }, + "LUT6_9b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37298.5-37305.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2577 ], + "I1": [ 2575 ], + "I2": [ 3000 ], + "I3": [ 221 ], + "I4": [ 3309 ], + "I5": [ 2576 ], + "O": [ 3808 ] + } + }, + "LUT6_9c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37309.5-37316.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 175 ], + "I1": [ 2309 ], + "I2": [ 2578 ], + "I3": [ 3310 ], + "I4": [ 3536 ], + "I5": [ 203 ], + "O": [ 214 ] + } + }, + "LUT6_9d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37320.5-37327.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 277 ], + "I1": [ 273 ], + "I2": [ 263 ], + "I3": [ 271 ], + "I4": [ 313 ], + "I5": [ 293 ], + "O": [ 3315 ] + } + }, + "LUT6_9e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37331.5-37338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 277 ], + "I1": [ 299 ], + "I2": [ 313 ], + "I3": [ 307 ], + "I4": [ 273 ], + "I5": [ 241 ], + "O": [ 3537 ] + } + }, + "LUT6_9f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37342.5-37349.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 313 ], + "I1": [ 3537 ], + "I2": [ 307 ], + "I3": [ 299 ], + "I4": [ 3315 ], + "I5": [ 291 ], + "O": [ 2586 ] + } + }, + "LUT6_a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37353.5-37360.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1159 ], + "I1": [ 1227 ], + "I2": [ 1193 ], + "I3": [ 1125 ], + "I4": [ 2568 ], + "I5": [ 2984 ], + "O": [ 3940 ] + } + }, + "LUT6_a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37364.5-37371.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2582 ], + "I1": [ 3537 ], + "I2": [ 2581 ], + "I3": [ 307 ], + "I4": [ 2580 ], + "I5": [ 3315 ], + "O": [ 242 ] + } + }, + "LUT6_a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37375.5-37382.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 313 ], + "I1": [ 263 ], + "I2": [ 295 ], + "I3": [ 291 ], + "I4": [ 311 ], + "I5": [ 267 ], + "O": [ 2589 ] + } + }, + "LUT6_a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37386.5-37393.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 313 ], + "I1": [ 291 ], + "I2": [ 271 ], + "I3": [ 299 ], + "I4": [ 267 ], + "I5": [ 295 ], + "O": [ 3538 ] + } + }, + "LUT6_a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37397.5-37404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 311 ], + "I1": [ 271 ], + "I2": [ 291 ], + "I3": [ 277 ], + "I4": [ 313 ], + "I5": [ 267 ], + "O": [ 3540 ] + } + }, + "LUT6_a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37408.5-37415.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 271 ], + "I2": [ 313 ], + "I3": [ 311 ], + "I4": [ 299 ], + "I5": [ 277 ], + "O": [ 3008 ] + } + }, + "LUT6_a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37419.5-37426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 277 ], + "I1": [ 291 ], + "I2": [ 311 ], + "I3": [ 299 ], + "I4": [ 271 ], + "I5": [ 313 ], + "O": [ 3003 ] + } + }, + "LUT6_a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37430.5-37437.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 311 ], + "I2": [ 3540 ], + "I3": [ 3008 ], + "I4": [ 271 ], + "I5": [ 3311 ], + "O": [ 288 ] + } + }, + "LUT6_a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37441.5-37448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 3008 ], + "I2": [ 271 ], + "I3": [ 3007 ], + "I4": [ 311 ], + "I5": [ 3540 ], + "O": [ 312 ] + } + }, + "LUT6_a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37452.5-37459.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3541 ], + "I1": [ 2587 ], + "I2": [ 2590 ], + "I3": [ 3011 ], + "I4": [ 2586 ], + "I5": [ 3539 ], + "O": [ 286 ] + } + }, + "LUT6_a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37463.5-37470.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 317 ], + "I1": [ 283 ], + "I2": [ 319 ], + "I3": [ 297 ], + "I4": [ 245 ], + "I5": [ 3543 ], + "O": [ 332 ] + } + }, + "LUT6_aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37474.5-37481.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 297 ], + "I2": [ 281 ], + "I3": [ 317 ], + "I4": [ 331 ], + "I5": [ 319 ], + "O": [ 3950 ] + } + }, + "LUT6_ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37485.5-37492.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 297 ], + "I2": [ 331 ], + "I3": [ 317 ], + "I4": [ 279 ], + "I5": [ 3950 ], + "O": [ 3951 ] + } + }, + "LUT6_ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37496.5-37503.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3952 ], + "I1": [ 245 ], + "I2": [ 283 ], + "I3": [ 279 ], + "I4": [ 3951 ], + "I5": [ 297 ], + "O": [ 292 ] + } + }, + "LUT6_ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37507.5-37514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 297 ], + "I1": [ 331 ], + "I2": [ 317 ], + "I3": [ 281 ], + "I4": [ 245 ], + "I5": [ 3951 ], + "O": [ 3952 ] + } + }, + "LUT6_ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37518.5-37525.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 281 ], + "I2": [ 331 ], + "I3": [ 297 ], + "I4": [ 283 ], + "I5": [ 279 ], + "O": [ 3544 ] + } + }, + "LUT6_af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37529.5-37536.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 317 ], + "I1": [ 331 ], + "I2": [ 297 ], + "I3": [ 245 ], + "I4": [ 283 ], + "I5": [ 281 ], + "O": [ 2598 ] + } + }, + "LUT6_b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37540.5-37547.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 593 ], + "I1": [ 1572 ], + "I2": [ 989 ], + "I3": [ 989 ], + "I4": [ 681 ], + "I5": [ 511 ], + "O": [ 2662 ] + } + }, + "LUT6_b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37551.5-37558.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 245 ], + "I1": [ 2598 ], + "I2": [ 317 ], + "I3": [ 331 ], + "I4": [ 3544 ], + "I5": [ 283 ], + "O": [ 2592 ] + } + }, + "LUT6_b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37562.5-37569.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 331 ], + "I1": [ 245 ], + "I2": [ 3544 ], + "I3": [ 2598 ], + "I4": [ 297 ], + "I5": [ 317 ], + "O": [ 2591 ] + } + }, + "LUT6_b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37573.5-37580.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 275 ], + "I1": [ 329 ], + "I2": [ 309 ], + "I3": [ 323 ], + "I4": [ 301 ], + "I5": [ 3318 ], + "O": [ 330 ] + } + }, + "LUT6_b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37584.5-37591.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 305 ], + "I1": [ 3002 ], + "I2": [ 309 ], + "I3": [ 247 ], + "I4": [ 275 ], + "I5": [ 335 ], + "O": [ 3822 ] + } + }, + "LUT6_b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37595.5-37602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 305 ], + "I1": [ 323 ], + "I2": [ 321 ], + "I3": [ 3002 ], + "I4": [ 333 ], + "I5": [ 329 ], + "O": [ 3821 ] + } + }, + "LUT6_b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37606.5-37613.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 247 ], + "I1": [ 3002 ], + "I2": [ 301 ], + "I3": [ 321 ], + "I4": [ 275 ], + "I5": [ 3953 ], + "O": [ 318 ] + } + }, + "LUT6_b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37617.5-37624.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 327 ], + "I1": [ 247 ], + "I2": [ 301 ], + "I3": [ 287 ], + "I4": [ 323 ], + "I5": [ 289 ], + "O": [ 3954 ] + } + }, + "LUT6_b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37628.5-37635.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 327 ], + "I1": [ 3002 ], + "I2": [ 301 ], + "I3": [ 305 ], + "I4": [ 3954 ], + "I5": [ 321 ], + "O": [ 3955 ] + } + }, + "LUT6_b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37639.5-37646.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3956 ], + "I1": [ 3002 ], + "I2": [ 275 ], + "I3": [ 301 ], + "I4": [ 287 ], + "I5": [ 327 ], + "O": [ 308 ] + } + }, + "LUT6_b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37650.5-37657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 305 ], + "I1": [ 3002 ], + "I2": [ 287 ], + "I3": [ 321 ], + "I4": [ 3955 ], + "I5": [ 3954 ], + "O": [ 3956 ] + } + }, + "LUT6_ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37661.5-37668.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 305 ], + "I1": [ 301 ], + "I2": [ 3002 ], + "I3": [ 287 ], + "I4": [ 327 ], + "I5": [ 321 ], + "O": [ 3545 ] + } + }, + "LUT6_bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37672.5-37679.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2602 ], + "I1": [ 327 ], + "I2": [ 3014 ], + "I3": [ 2600 ], + "I4": [ 2601 ], + "I5": [ 3545 ], + "O": [ 236 ] + } + }, + "LUT6_bc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37683.5-37690.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 305 ], + "I1": [ 287 ], + "I2": [ 3002 ], + "I3": [ 327 ], + "I4": [ 301 ], + "I5": [ 3013 ], + "O": [ 280 ] + } + }, + "LUT6_bd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37694.5-37701.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 301 ], + "I1": [ 327 ], + "I2": [ 305 ], + "I3": [ 3014 ], + "I4": [ 287 ], + "I5": [ 3002 ], + "O": [ 3015 ] + } + }, + "LUT6_be": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37705.5-37712.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3014 ], + "I1": [ 287 ], + "I2": [ 301 ], + "I3": [ 327 ], + "I4": [ 305 ], + "I5": [ 3016 ], + "O": [ 290 ] + } + }, + "LUT6_bf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37716.5-37723.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3957 ], + "I1": [ 3002 ], + "I2": [ 3821 ], + "I3": [ 3822 ], + "I4": [ 3820 ], + "I5": [ 3017 ], + "O": [ 3953 ] + } + }, + "LUT6_c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37727.5-37734.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1057 ], + "I1": [ 511 ], + "I2": [ 1091 ], + "I3": [ 1840 ], + "I4": [ 1670 ], + "I5": [ 2058 ], + "O": [ 3577 ] + } + }, + "LUT6_c0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37738.5-37745.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3017 ], + "I1": [ 3820 ], + "I2": [ 3821 ], + "I3": [ 333 ], + "I4": [ 3002 ], + "I5": [ 3822 ], + "O": [ 3957 ] + } + }, + "LUT6_c1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37749.5-37756.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3017 ], + "I1": [ 3018 ], + "I2": [ 2604 ], + "I3": [ 3821 ], + "I4": [ 333 ], + "I5": [ 3002 ], + "O": [ 3320 ] + } + }, + "LUT6_c2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37760.5-37767.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 369 ], + "I1": [ 365 ], + "I2": [ 353 ], + "I3": [ 339 ], + "I4": [ 355 ], + "I5": [ 361 ], + "O": [ 3549 ] + } + }, + "LUT6_c3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37771.5-37778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 339 ], + "I1": [ 353 ], + "I2": [ 355 ], + "I3": [ 369 ], + "I4": [ 365 ], + "I5": [ 361 ], + "O": [ 3958 ] + } + }, + "LUT6_c4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37782.5-37789.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3959 ], + "I1": [ 365 ], + "I2": [ 355 ], + "I3": [ 3958 ], + "I4": [ 369 ], + "I5": [ 339 ], + "O": [ 356 ] + } + }, + "LUT6_c5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37793.5-37800.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 365 ], + "I1": [ 353 ], + "I2": [ 355 ], + "I3": [ 369 ], + "I4": [ 339 ], + "I5": [ 3958 ], + "O": [ 3959 ] + } + }, + "LUT6_c6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37804.5-37811.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3023 ], + "I1": [ 355 ], + "I2": [ 339 ], + "I3": [ 365 ], + "I4": [ 369 ], + "I5": [ 3024 ], + "O": [ 362 ] + } + }, + "LUT6_c7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37815.5-37822.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3860 ], + "I1": [ 357 ], + "I2": [ 2547 ], + "I3": [ 237 ], + "I4": [ 243 ], + "I5": [ 2305 ], + "O": [ 3960 ] + } + }, + "LUT6_c8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37826.5-37833.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 265 ], + "I1": [ 351 ], + "I2": [ 2307 ], + "I3": [ 359 ], + "I4": [ 315 ], + "I5": [ 237 ], + "O": [ 3548 ] + } + }, + "LUT6_c9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37837.5-37844.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 359 ], + "I1": [ 357 ], + "I2": [ 2299 ], + "I3": [ 265 ], + "I4": [ 315 ], + "I5": [ 351 ], + "O": [ 2608 ] + } + }, + "LUT6_ca": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37848.5-37855.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 315 ], + "I1": [ 2299 ], + "I2": [ 265 ], + "I3": [ 357 ], + "I4": [ 359 ], + "I5": [ 2307 ], + "O": [ 3321 ] + } + }, + "LUT6_cb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37859.5-37866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 315 ], + "I1": [ 2299 ], + "I2": [ 3029 ], + "I3": [ 265 ], + "I4": [ 2608 ], + "I5": [ 3321 ], + "O": [ 2610 ] + } + }, + "LUT6_cc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37870.5-37877.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2608 ], + "I1": [ 357 ], + "I2": [ 3321 ], + "I3": [ 3029 ], + "I4": [ 2299 ], + "I5": [ 265 ], + "O": [ 2611 ] + } + }, + "LUT6_cd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37881.5-37888.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 341 ], + "I1": [ 2551 ], + "I2": [ 2547 ], + "I3": [ 3860 ], + "I4": [ 3548 ], + "I5": [ 3960 ], + "O": [ 3546 ] + } + }, + "LUT6_ce": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37892.5-37899.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 243 ], + "I1": [ 239 ], + "I2": [ 2551 ], + "I3": [ 2547 ], + "I4": [ 363 ], + "I5": [ 337 ], + "O": [ 3547 ] + } + }, + "LUT6_cf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37903.5-37910.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3550 ], + "I1": [ 3549 ], + "I2": [ 3548 ], + "I3": [ 243 ], + "I4": [ 3322 ], + "I5": [ 363 ], + "O": [ 3022 ] + } + }, + "LUT6_d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37914.5-37921.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1840 ], + "I1": [ 1023 ], + "I2": [ 1990 ], + "I3": [ 1057 ], + "I4": [ 2058 ], + "I5": [ 1704 ], + "O": [ 2596 ] + } + }, + "LUT6_d0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37925.5-37932.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 243 ], + "I1": [ 3860 ], + "I2": [ 239 ], + "I3": [ 341 ], + "I4": [ 2551 ], + "I5": [ 2547 ], + "O": [ 3322 ] + } + }, + "LUT6_d1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37936.5-37943.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 239 ], + "I1": [ 2547 ], + "I2": [ 243 ], + "I3": [ 341 ], + "I4": [ 2551 ], + "I5": [ 3860 ], + "O": [ 3961 ] + } + }, + "LUT6_d2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37947.5-37954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 341 ], + "I1": [ 239 ], + "I2": [ 2547 ], + "I3": [ 2551 ], + "I4": [ 243 ], + "I5": [ 3961 ], + "O": [ 3962 ] + } + }, + "LUT6_d3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37958.5-37965.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2547 ], + "I1": [ 2551 ], + "I2": [ 239 ], + "I3": [ 341 ], + "I4": [ 3962 ], + "I5": [ 243 ], + "O": [ 3020 ] + } + }, + "LUT6_d4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37969.5-37976.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 593 ], + "I1": [ 477 ], + "I2": [ 2543 ], + "I3": [ 613 ], + "I4": [ 345 ], + "I5": [ 2546 ], + "O": [ 3553 ] + } + }, + "LUT6_d5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37980.5-37987.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2543 ], + "I1": [ 477 ], + "I2": [ 3553 ], + "I3": [ 593 ], + "I4": [ 345 ], + "I5": [ 613 ], + "O": [ 3325 ] + } + }, + "LUT6_d6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:37991.5-37998.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 345 ], + "I1": [ 477 ], + "I2": [ 3553 ], + "I3": [ 593 ], + "I4": [ 2543 ], + "I5": [ 613 ], + "O": [ 3552 ] + } + }, + "LUT6_d7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38002.5-38009.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3824 ], + "I1": [ 3823 ], + "I2": [ 2026 ], + "I3": [ 2054 ], + "I4": [ 2028 ], + "I5": [ 303 ], + "O": [ 234 ] + } + }, + "LUT6_d8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38013.5-38020.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2030 ], + "I1": [ 2056 ], + "I2": [ 201 ], + "I3": [ 2018 ], + "I4": [ 2032 ], + "I5": [ 1590 ], + "O": [ 3041 ] + } + }, + "LUT6_d9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38024.5-38031.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2030 ], + "I1": [ 851 ], + "I2": [ 2026 ], + "I3": [ 269 ], + "I4": [ 2034 ], + "I5": [ 2274 ], + "O": [ 3558 ] + } + }, + "LUT6_da": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38035.5-38042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2018 ], + "I1": [ 443 ], + "I2": [ 2032 ], + "I3": [ 1039 ], + "I4": [ 201 ], + "I5": [ 303 ], + "O": [ 2619 ] + } + }, + "LUT6_db": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38046.5-38053.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2018 ], + "I1": [ 2054 ], + "I2": [ 443 ], + "I3": [ 303 ], + "I4": [ 2056 ], + "I5": [ 1039 ], + "O": [ 3963 ] + } + }, + "LUT6_dc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38057.5-38064.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3964 ], + "I1": [ 2018 ], + "I2": [ 443 ], + "I3": [ 2028 ], + "I4": [ 3554 ], + "I5": [ 3963 ], + "O": [ 646 ] + } + }, + "LUT6_dd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38068.5-38075.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2054 ], + "I1": [ 303 ], + "I2": [ 2056 ], + "I3": [ 201 ], + "I4": [ 347 ], + "I5": [ 2018 ], + "O": [ 3329 ] + } + }, + "LUT6_de": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38079.5-38086.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 303 ], + "I1": [ 443 ], + "I2": [ 1590 ], + "I3": [ 2028 ], + "I4": [ 2054 ], + "I5": [ 2032 ], + "O": [ 3328 ] + } + }, + "LUT6_df": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38090.5-38097.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1590 ], + "I1": [ 3329 ], + "I2": [ 201 ], + "I3": [ 303 ], + "I4": [ 3330 ], + "I5": [ 443 ], + "O": [ 3327 ] + } + }, + "LUT6_e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38101.5-38108.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1023 ], + "I1": [ 1467 ], + "I2": [ 2024 ], + "I3": [ 2596 ], + "I4": [ 1057 ], + "I5": [ 2637 ], + "O": [ 2595 ] + } + }, + "LUT6_e0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38112.5-38119.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 303 ], + "I1": [ 1590 ], + "I2": [ 3329 ], + "I3": [ 3330 ], + "I4": [ 2018 ], + "I5": [ 443 ], + "O": [ 3038 ] + } + }, + "LUT6_e1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38123.5-38130.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3555 ], + "I1": [ 3327 ], + "I2": [ 2614 ], + "I3": [ 303 ], + "I4": [ 3038 ], + "I5": [ 3039 ], + "O": [ 680 ] + } + }, + "LUT6_e2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38134.5-38141.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2018 ], + "I1": [ 1590 ], + "I2": [ 347 ], + "I3": [ 3328 ], + "I4": [ 3329 ], + "I5": [ 3330 ], + "O": [ 3555 ] + } + }, + "LUT6_e3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38145.5-38152.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2616 ], + "I1": [ 3330 ], + "I2": [ 3328 ], + "I3": [ 1590 ], + "I4": [ 3329 ], + "I5": [ 2018 ], + "O": [ 3964 ] + } + }, + "LUT6_e4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38156.5-38163.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1039 ], + "I1": [ 347 ], + "I2": [ 3041 ], + "I3": [ 3558 ], + "I4": [ 3042 ], + "I5": [ 3043 ], + "O": [ 3561 ] + } + }, + "LUT6_e5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38167.5-38174.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2619 ], + "I1": [ 2054 ], + "I2": [ 201 ], + "I3": [ 347 ], + "I4": [ 3558 ], + "I5": [ 1039 ], + "O": [ 3556 ] + } + }, + "LUT6_e6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38178.5-38185.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3041 ], + "I1": [ 2619 ], + "I2": [ 3558 ], + "I3": [ 1039 ], + "I4": [ 201 ], + "I5": [ 3557 ], + "O": [ 3647 ] + } + }, + "LUT6_e7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38189.5-38196.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3965 ], + "I1": [ 1039 ], + "I2": [ 3558 ], + "I3": [ 201 ], + "I4": [ 3559 ], + "I5": [ 2619 ], + "O": [ 2035 ] + } + }, + "LUT6_e8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38200.5-38207.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3558 ], + "I1": [ 1039 ], + "I2": [ 201 ], + "I3": [ 3559 ], + "I4": [ 2619 ], + "I5": [ 3041 ], + "O": [ 3965 ] + } + }, + "LUT6_e9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38211.5-38218.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 997 ], + "I1": [ 595 ], + "I2": [ 1053 ], + "I3": [ 1043 ], + "I4": [ 371 ], + "I5": [ 2072 ], + "O": [ 1621 ] + } + }, + "LUT6_ea": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38222.5-38229.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1591 ], + "I1": [ 2074 ], + "I2": [ 2035 ], + "I3": [ 2076 ], + "I4": [ 2078 ], + "I5": [ 2015 ], + "O": [ 2165 ] + } + }, + "LUT6_eb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38233.5-38240.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1594 ], + "I1": [ 443 ], + "I2": [ 1560 ], + "I3": [ 1610 ], + "I4": [ 1998 ], + "I5": [ 851 ], + "O": [ 2626 ] + } + }, + "LUT6_ec": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38244.5-38251.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1608 ], + "I1": [ 1998 ], + "I2": [ 443 ], + "I3": [ 1594 ], + "I4": [ 1610 ], + "I5": [ 1560 ], + "O": [ 2621 ] + } + }, + "LUT6_ed": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38255.5-38262.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2626 ], + "I1": [ 1594 ], + "I2": [ 1998 ], + "I3": [ 1610 ], + "I4": [ 443 ], + "I5": [ 2621 ], + "O": [ 3562 ] + } + }, + "LUT6_ee": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38266.5-38273.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2080 ], + "I1": [ 1555 ], + "I2": [ 2082 ], + "I3": [ 2084 ], + "I4": [ 2086 ], + "I5": [ 2088 ], + "O": [ 2089 ] + } + }, + "LUT6_ef": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38277.5-38284.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1543 ], + "I1": [ 1042 ], + "I2": [ 1050 ], + "I3": [ 1545 ], + "I4": [ 1054 ], + "I5": [ 1547 ], + "O": [ 1036 ] + } + }, + "LUT6_f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38288.5-38295.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1057 ], + "I1": [ 2024 ], + "I2": [ 1990 ], + "I3": [ 511 ], + "I4": [ 1023 ], + "I5": [ 1467 ], + "O": [ 2617 ] + } + }, + "LUT6_f0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38299.5-38306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1041 ], + "I1": [ 1992 ], + "I2": [ 997 ], + "I3": [ 525 ], + "I4": [ 1566 ], + "I5": [ 1055 ], + "O": [ 2630 ] + } + }, + "LUT6_f1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38310.5-38317.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2020 ], + "I1": [ 525 ], + "I2": [ 1992 ], + "I3": [ 1566 ], + "I4": [ 1041 ], + "I5": [ 997 ], + "O": [ 2627 ] + } + }, + "LUT6_f2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38321.5-38328.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2021 ], + "I1": [ 1596 ], + "I2": [ 2630 ], + "I3": [ 2627 ], + "I4": [ 3332 ], + "I5": [ 2628 ], + "O": [ 1042 ] + } + }, + "LUT6_f3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38332.5-38339.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1273 ], + "I1": [ 813 ], + "I2": [ 809 ], + "I3": [ 2829 ], + "I4": [ 807 ], + "I5": [ 479 ], + "O": [ 3966 ] + } + }, + "LUT6_f4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38343.5-38350.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1046 ], + "I1": [ 2060 ], + "I2": [ 2061 ], + "I3": [ 2198 ], + "I4": [ 1975 ], + "I5": [ 3966 ], + "O": [ 1000 ] + } + }, + "LUT6_f5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38354.5-38361.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2064 ], + "I1": [ 601 ], + "I2": [ 1043 ], + "I3": [ 1039 ], + "I4": [ 2066 ], + "I5": [ 166 ], + "O": [ 1545 ] + } + }, + "LUT6_f6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38365.5-38372.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 605 ], + "I1": [ 601 ], + "I2": [ 1598 ], + "I3": [ 1321 ], + "I4": [ 603 ], + "I5": [ 2068 ], + "O": [ 598 ] + } + }, + "LUT6_f7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38376.5-38383.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3050 ], + "I1": [ 600 ], + "I2": [ 605 ], + "I3": [ 1045 ], + "I4": [ 999 ], + "I5": [ 1600 ], + "O": [ 1975 ] + } + }, + "LUT6_f8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38387.5-38394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 619 ], + "I1": [ 709 ], + "I2": [ 609 ], + "I3": [ 303 ], + "I4": [ 1045 ], + "I5": [ 2002 ], + "O": [ 2641 ] + } + }, + "LUT6_f9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38398.5-38405.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3825 ], + "I1": [ 585 ], + "I2": [ 583 ], + "I3": [ 619 ], + "I4": [ 581 ], + "I5": [ 604 ], + "O": [ 610 ] + } + }, + "LUT6_fa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38409.5-38416.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 635 ], + "I1": [ 2631 ], + "I2": [ 604 ], + "I3": [ 633 ], + "I4": [ 617 ], + "I5": [ 1045 ], + "O": [ 3333 ] + } + }, + "LUT6_fb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38420.5-38427.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 635 ], + "I2": [ 604 ], + "I3": [ 633 ], + "I4": [ 3333 ], + "I5": [ 2631 ], + "O": [ 3335 ] + } + }, + "LUT6_fc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38431.5-38438.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2631 ], + "I1": [ 637 ], + "I2": [ 645 ], + "I3": [ 635 ], + "I4": [ 633 ], + "I5": [ 3333 ], + "O": [ 3334 ] + } + }, + "LUT6_fd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38442.5-38449.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2632 ], + "I1": [ 637 ], + "I2": [ 645 ], + "I3": [ 2631 ], + "I4": [ 604 ], + "I5": [ 633 ], + "O": [ 3336 ] + } + }, + "LUT6_fe": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38453.5-38460.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 604 ], + "I1": [ 633 ], + "I2": [ 645 ], + "I3": [ 635 ], + "I4": [ 2631 ], + "I5": [ 637 ], + "O": [ 2634 ] + } + }, + "LUT6_ff": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38464.5-38471.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2634 ], + "I1": [ 645 ], + "I2": [ 604 ], + "I3": [ 2631 ], + "I4": [ 633 ], + "I5": [ 635 ], + "O": [ 3337 ] + } + }, + "VCC": { + "hide_name": 0, + "type": "VCC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38472.7-38473.19" + }, + "port_directions": { + "P": "output" + }, + "connections": { + "P": [ 164 ] + } + }, + "clk_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38476.8-38478.22" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 162 ], + "O": [ 163 ] + } + }, + "ip[0]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38481.8-38483.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2 ], + "O": [ 2121 ] + } + }, + "ip[10]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38486.8-38488.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 12 ], + "O": [ 2135 ] + } + }, + "ip[11]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38491.8-38493.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 13 ], + "O": [ 1565 ] + } + }, + "ip[12]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38496.8-38498.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 14 ], + "O": [ 2049 ] + } + }, + "ip[13]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38501.8-38503.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 15 ], + "O": [ 1607 ] + } + }, + "ip[14]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38506.8-38508.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 16 ], + "O": [ 1593 ] + } + }, + "ip[15]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38511.8-38513.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 17 ], + "O": [ 2113 ] + } + }, + "ip[16]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38516.8-38518.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 18 ], + "O": [ 2141 ] + } + }, + "ip[17]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38521.8-38523.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 19 ], + "O": [ 2101 ] + } + }, + "ip[18]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38526.8-38528.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 20 ], + "O": [ 1541 ] + } + }, + "ip[19]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38531.8-38533.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 21 ], + "O": [ 2071 ] + } + }, + "ip[1]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38536.8-38538.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 3 ], + "O": [ 2139 ] + } + }, + "ip[20]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38541.8-38543.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 22 ], + "O": [ 2067 ] + } + }, + "ip[21]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38546.8-38548.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 23 ], + "O": [ 2147 ] + } + }, + "ip[22]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38551.8-38553.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 24 ], + "O": [ 2087 ] + } + }, + "ip[23]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38556.8-38558.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 25 ], + "O": [ 1569 ] + } + }, + "ip[24]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38561.8-38563.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 26 ], + "O": [ 2123 ] + } + }, + "ip[25]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38566.8-38568.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 27 ], + "O": [ 2033 ] + } + }, + "ip[26]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38571.8-38573.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 28 ], + "O": [ 2073 ] + } + }, + "ip[27]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38576.8-38578.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 29 ], + "O": [ 2059 ] + } + }, + "ip[28]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38581.8-38583.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 30 ], + "O": [ 2095 ] + } + }, + "ip[29]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38586.8-38588.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 31 ], + "O": [ 1581 ] + } + }, + "ip[2]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38591.8-38593.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 4 ], + "O": [ 1579 ] + } + }, + "ip[30]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38596.8-38598.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 32 ], + "O": [ 2029 ] + } + }, + "ip[31]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38601.8-38603.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 33 ], + "O": [ 1599 ] + } + }, + "ip[32]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38606.8-38608.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 34 ], + "O": [ 1559 ] + } + }, + "ip[33]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38611.8-38613.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 35 ], + "O": [ 1595 ] + } + }, + "ip[34]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38616.8-38618.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 36 ], + "O": [ 2025 ] + } + }, + "ip[35]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38621.8-38623.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 37 ], + "O": [ 1611 ] + } + }, + "ip[36]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38626.8-38628.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 38 ], + "O": [ 2081 ] + } + }, + "ip[37]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38631.8-38633.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 39 ], + "O": [ 2069 ] + } + }, + "ip[38]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38636.8-38638.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 2093 ] + } + }, + "ip[39]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38641.8-38643.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 41 ], + "O": [ 2085 ] + } + }, + "ip[3]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38646.8-38648.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 5 ], + "O": [ 2027 ] + } + }, + "ip[40]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38651.8-38653.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 42 ], + "O": [ 2099 ] + } + }, + "ip[41]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38656.8-38658.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 43 ], + "O": [ 2045 ] + } + }, + "ip[42]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38661.8-38663.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 44 ], + "O": [ 2017 ] + } + }, + "ip[43]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38666.8-38668.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 45 ], + "O": [ 2079 ] + } + }, + "ip[44]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38671.8-38673.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 46 ], + "O": [ 1609 ] + } + }, + "ip[45]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38676.8-38678.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 47 ], + "O": [ 1615 ] + } + }, + "ip[46]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38681.8-38683.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 48 ], + "O": [ 2129 ] + } + }, + "ip[47]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38686.8-38688.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 49 ], + "O": [ 2041 ] + } + }, + "ip[48]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38691.8-38693.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 50 ], + "O": [ 2119 ] + } + }, + "ip[49]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38696.8-38698.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 51 ], + "O": [ 2065 ] + } + }, + "ip[4]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38701.8-38703.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 6 ], + "O": [ 2063 ] + } + }, + "ip[50]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38706.8-38708.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 52 ], + "O": [ 2137 ] + } + }, + "ip[51]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38711.8-38713.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 53 ], + "O": [ 1549 ] + } + }, + "ip[52]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38716.8-38718.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 54 ], + "O": [ 2001 ] + } + }, + "ip[53]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38721.8-38723.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 55 ], + "O": [ 2143 ] + } + }, + "ip[54]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38726.8-38728.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 56 ], + "O": [ 1623 ] + } + }, + "ip[55]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38731.8-38733.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 57 ], + "O": [ 2077 ] + } + }, + "ip[56]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38736.8-38738.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 58 ], + "O": [ 2075 ] + } + }, + "ip[57]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38741.8-38743.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 59 ], + "O": [ 1993 ] + } + }, + "ip[58]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38746.8-38748.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 60 ], + "O": [ 1577 ] + } + }, + "ip[59]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38751.8-38753.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 61 ], + "O": [ 2031 ] + } + }, + "ip[5]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38756.8-38758.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 7 ], + "O": [ 1613 ] + } + }, + "ip[60]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38761.8-38763.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 62 ], + "O": [ 2011 ] + } + }, + "ip[61]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38766.8-38768.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 63 ], + "O": [ 1991 ] + } + }, + "ip[62]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38771.8-38773.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 64 ], + "O": [ 1575 ] + } + }, + "ip[63]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38776.8-38778.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 65 ], + "O": [ 2097 ] + } + }, + "ip[64]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38781.8-38783.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 66 ], + "O": [ 1619 ] + } + }, + "ip[65]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38786.8-38788.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 67 ], + "O": [ 1995 ] + } + }, + "ip[66]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38791.8-38793.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 68 ], + "O": [ 2037 ] + } + }, + "ip[67]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38796.8-38798.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 69 ], + "O": [ 2019 ] + } + }, + "ip[68]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38801.8-38803.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 70 ], + "O": [ 2115 ] + } + }, + "ip[69]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38806.8-38808.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 71 ], + "O": [ 2003 ] + } + }, + "ip[6]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38811.8-38813.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 8 ], + "O": [ 1571 ] + } + }, + "ip[70]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38816.8-38818.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 72 ], + "O": [ 2005 ] + } + }, + "ip[71]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38821.8-38823.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 73 ], + "O": [ 2083 ] + } + }, + "ip[72]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38826.8-38828.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 74 ], + "O": [ 1997 ] + } + }, + "ip[73]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38831.8-38833.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 75 ], + "O": [ 2043 ] + } + }, + "ip[74]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38836.8-38838.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 76 ], + "O": [ 1573 ] + } + }, + "ip[75]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38841.8-38843.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 77 ], + "O": [ 1589 ] + } + }, + "ip[76]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38846.8-38848.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 78 ], + "O": [ 1999 ] + } + }, + "ip[77]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38851.8-38853.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 79 ], + "O": [ 2145 ] + } + }, + "ip[78]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38856.8-38858.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 80 ], + "O": [ 2053 ] + } + }, + "ip[79]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38861.8-38863.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 81 ], + "O": [ 1597 ] + } + }, + "ip[7]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38866.8-38868.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 9 ], + "O": [ 2007 ] + } + }, + "ip[8]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38871.8-38873.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 10 ], + "O": [ 1587 ] + } + }, + "ip[9]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38876.8-38878.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 11 ], + "O": [ 2055 ] + } + }, + "op[0]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38881.8-38883.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2010 ], + "O": [ 82 ] + } + }, + "op[10]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38886.8-38888.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1535 ], + "O": [ 92 ] + } + }, + "op[11]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38891.8-38893.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2152 ], + "O": [ 93 ] + } + }, + "op[12]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38896.8-38898.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2052 ], + "O": [ 94 ] + } + }, + "op[13]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38901.8-38903.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2104 ], + "O": [ 95 ] + } + }, + "op[14]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38906.8-38908.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2110 ], + "O": [ 96 ] + } + }, + "op[15]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38911.8-38913.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1564 ], + "O": [ 97 ] + } + }, + "op[16]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38916.8-38918.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2016 ], + "O": [ 98 ] + } + }, + "op[17]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38921.8-38923.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1586 ], + "O": [ 99 ] + } + }, + "op[18]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38926.8-38928.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1512 ], + "O": [ 100 ] + } + }, + "op[19]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38931.8-38933.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2040 ], + "O": [ 101 ] + } + }, + "op[1]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38936.8-38938.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2112 ], + "O": [ 83 ] + } + }, + "op[20]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38941.8-38943.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2014 ], + "O": [ 102 ] + } + }, + "op[21]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38946.8-38948.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1556 ], + "O": [ 103 ] + } + }, + "op[22]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38951.8-38953.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1501 ], + "O": [ 104 ] + } + }, + "op[23]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38956.8-38958.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1504 ], + "O": [ 105 ] + } + }, + "op[24]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38961.8-38963.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1634 ], + "O": [ 106 ] + } + }, + "op[25]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38966.8-38968.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1540 ], + "O": [ 107 ] + } + }, + "op[26]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38971.8-38973.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1515 ], + "O": [ 108 ] + } + }, + "op[27]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38976.8-38978.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1531 ], + "O": [ 109 ] + } + }, + "op[28]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38981.8-38983.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1509 ], + "O": [ 110 ] + } + }, + "op[29]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38986.8-38988.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2022 ], + "O": [ 111 ] + } + }, + "op[2]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38991.8-38993.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1551 ], + "O": [ 84 ] + } + }, + "op[30]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:38996.8-38998.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2090 ], + "O": [ 112 ] + } + }, + "op[31]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39001.8-39003.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1508 ], + "O": [ 113 ] + } + }, + "op[32]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39006.8-39008.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1632 ], + "O": [ 114 ] + } + }, + "op[33]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39011.8-39013.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1522 ], + "O": [ 115 ] + } + }, + "op[34]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39016.8-39018.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1516 ], + "O": [ 116 ] + } + }, + "op[35]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39021.8-39023.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1513 ], + "O": [ 117 ] + } + }, + "op[36]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39026.8-39028.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2036 ], + "O": [ 118 ] + } + }, + "op[37]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39031.8-39033.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2134 ], + "O": [ 119 ] + } + }, + "op[38]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39036.8-39038.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2118 ], + "O": [ 120 ] + } + }, + "op[39]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39041.8-39043.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1477 ], + "O": [ 121 ] + } + }, + "op[3]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39046.8-39048.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1533 ], + "O": [ 85 ] + } + }, + "op[40]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39051.8-39053.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1548 ], + "O": [ 122 ] + } + }, + "op[41]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39056.8-39058.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1510 ], + "O": [ 123 ] + } + }, + "op[42]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39061.8-39063.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1524 ], + "O": [ 124 ] + } + }, + "op[43]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39066.8-39068.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2132 ], + "O": [ 125 ] + } + }, + "op[44]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39071.8-39073.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1529 ], + "O": [ 126 ] + } + }, + "op[45]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39076.8-39078.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1592 ], + "O": [ 127 ] + } + }, + "op[46]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39081.8-39083.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1525 ], + "O": [ 128 ] + } + }, + "op[47]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39086.8-39088.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1528 ], + "O": [ 129 ] + } + }, + "op[48]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39091.8-39093.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1536 ], + "O": [ 130 ] + } + }, + "op[49]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39096.8-39098.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1517 ], + "O": [ 131 ] + } + }, + "op[4]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39101.8-39103.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1626 ], + "O": [ 86 ] + } + }, + "op[50]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39106.8-39108.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1618 ], + "O": [ 132 ] + } + }, + "op[51]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39111.8-39113.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1544 ], + "O": [ 133 ] + } + }, + "op[52]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39116.8-39118.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1532 ], + "O": [ 134 ] + } + }, + "op[53]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39121.8-39123.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2150 ], + "O": [ 135 ] + } + }, + "op[54]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39126.8-39128.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1557 ], + "O": [ 136 ] + } + }, + "op[55]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39131.8-39133.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2062 ], + "O": [ 137 ] + } + }, + "op[56]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39136.8-39138.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1526 ], + "O": [ 138 ] + } + }, + "op[57]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39141.8-39143.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1527 ], + "O": [ 139 ] + } + }, + "op[58]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39146.8-39148.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1584 ], + "O": [ 140 ] + } + }, + "op[59]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39151.8-39153.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1530 ], + "O": [ 141 ] + } + }, + "op[5]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39156.8-39158.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2106 ], + "O": [ 87 ] + } + }, + "op[60]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39161.8-39163.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1506 ], + "O": [ 142 ] + } + }, + "op[61]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39166.8-39168.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1552 ], + "O": [ 143 ] + } + }, + "op[62]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39171.8-39173.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1534 ], + "O": [ 144 ] + } + }, + "op[63]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39176.8-39178.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2108 ], + "O": [ 145 ] + } + }, + "op[64]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39181.8-39183.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1521 ], + "O": [ 146 ] + } + }, + "op[65]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39186.8-39188.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1628 ], + "O": [ 147 ] + } + }, + "op[66]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39191.8-39193.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1604 ], + "O": [ 148 ] + } + }, + "op[67]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39196.8-39198.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2048 ], + "O": [ 149 ] + } + }, + "op[68]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39201.8-39203.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1518 ], + "O": [ 150 ] + } + }, + "op[69]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39206.8-39208.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1503 ], + "O": [ 151 ] + } + }, + "op[6]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39211.8-39213.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1523 ], + "O": [ 88 ] + } + }, + "op[70]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39216.8-39218.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1514 ], + "O": [ 152 ] + } + }, + "op[71]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39221.8-39223.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1622 ], + "O": [ 153 ] + } + }, + "op[72]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39226.8-39228.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1511 ], + "O": [ 154 ] + } + }, + "op[73]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39231.8-39233.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1476 ], + "O": [ 155 ] + } + }, + "op[74]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39236.8-39238.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1554 ], + "O": [ 156 ] + } + }, + "op[75]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39241.8-39243.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2128 ], + "O": [ 157 ] + } + }, + "op[76]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39246.8-39248.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1606 ], + "O": [ 158 ] + } + }, + "op[77]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39251.8-39253.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1562 ], + "O": [ 159 ] + } + }, + "op[78]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39256.8-39258.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1630 ], + "O": [ 160 ] + } + }, + "op[79]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39261.8-39263.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1558 ], + "O": [ 161 ] + } + }, + "op[7]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39266.8-39268.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1500 ], + "O": [ 89 ] + } + }, + "op[8]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39271.8-39273.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2154 ], + "O": [ 90 ] + } + }, + "op[9]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:39276.8-39278.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1546 ], + "O": [ 91 ] + } + } + }, + "netnames": { + "GND_1": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:99.8-99.13" + } + }, + "VCC_1": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:100.8-100.13" + } + }, + "clk": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:97.9-97.12" + } + }, + "clk_IBUF": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:102.8-102.16" + } + }, + "ip": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81 ], + "attributes": { + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:95.15-95.17" + } + }, + "ip_IBUF": { + "hide_name": 0, + "bits": [ 2121, 2139, 1579, 2027, 2063, 1613, 1571, 2007, 1587, 2055, 2135, 1565, 2049, 1607, 1593, 2113, 2141, 2101, 1541, 2071, 2067, 2147, 2087, 1569, 2123, 2033, 2073, 2059, 2095, 1581, 2029, 1599, 1559, 1595, 2025, 1611, 2081, 2069, 2093, 2085, 2099, 2045, 2017, 2079, 1609, 1615, 2129, 2041, 2119, 2065, 2137, 1549, 2001, 2143, 1623, 2077, 2075, 1993, 1577, 2031, 2011, 1991, 1575, 2097, 1619, 1995, 2037, 2019, 2115, 2003, 2005, 2083, 1997, 2043, 1573, 1589, 1999, 2145, 2053, 1597 ], + "attributes": { + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:104.14-104.21" + } + }, + "ipr": { + "hide_name": 0, + "bits": [ 2122, 2140, 1580, 2028, 2064, 1614, 1572, 2008, 1588, 2056, 2136, 1566, 2050, 1608, 1594, 2114, 2142, 2102, 1542, 2072, 2068, 2148, 2088, 1570, 2124, 2034, 2074, 2060, 2096, 1582, 2030, 1600, 1560, 1596, 2026, 1612, 2082, 2070, 2094, 2086, 2100, 2046, 2018, 2080, 1610, 1616, 2130, 2042, 2120, 2066, 2138, 1550, 2002, 2144, 1624, 2078, 2076, 1994, 1578, 2032, 2012, 1992, 1576, 2098, 1620, 1996, 2038, 2020, 2116, 2004, 2006, 2084, 1998, 2044, 1574, 1590, 2000, 2146, 2054, 1598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:105.38-105.41" + } + }, + "n100": { + "hide_name": 0, + "bits": [ 1396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:106.32-106.36" + } + }, + "n101": { + "hide_name": 0, + "bits": [ 1397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:107.32-107.36" + } + }, + "n102": { + "hide_name": 0, + "bits": [ 1430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:108.32-108.36" + } + }, + "n103": { + "hide_name": 0, + "bits": [ 1431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:109.32-109.36" + } + }, + "n104": { + "hide_name": 0, + "bits": [ 920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:110.32-110.36" + } + }, + "n105": { + "hide_name": 0, + "bits": [ 921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:111.32-111.36" + } + }, + "n106": { + "hide_name": 0, + "bits": [ 954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:112.32-112.36" + } + }, + "n107": { + "hide_name": 0, + "bits": [ 955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:113.32-113.36" + } + }, + "n108": { + "hide_name": 0, + "bits": [ 988 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:114.32-114.36" + } + }, + "n109": { + "hide_name": 0, + "bits": [ 989 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:115.32-115.36" + } + }, + "n10a": { + "hide_name": 0, + "bits": [ 2662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:116.32-116.36" + } + }, + "n10b": { + "hide_name": 0, + "bits": [ 1022 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:117.32-117.36" + } + }, + "n10c": { + "hide_name": 0, + "bits": [ 1023 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:118.32-118.36" + } + }, + "n10d": { + "hide_name": 0, + "bits": [ 1056 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:119.32-119.36" + } + }, + "n10e": { + "hide_name": 0, + "bits": [ 1057 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:120.32-120.36" + } + }, + "n10f": { + "hide_name": 0, + "bits": [ 1090 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:121.32-121.36" + } + }, + "n110": { + "hide_name": 0, + "bits": [ 1091 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:122.32-122.36" + } + }, + "n111": { + "hide_name": 0, + "bits": [ 1635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:123.32-123.36" + } + }, + "n112": { + "hide_name": 0, + "bits": [ 1636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:124.32-124.36" + } + }, + "n113": { + "hide_name": 0, + "bits": [ 1669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:125.32-125.36" + } + }, + "n114": { + "hide_name": 0, + "bits": [ 1670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:126.32-126.36" + } + }, + "n115": { + "hide_name": 0, + "bits": [ 1703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:127.32-127.36" + } + }, + "n116": { + "hide_name": 0, + "bits": [ 1704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:128.32-128.36" + } + }, + "n117": { + "hide_name": 0, + "bits": [ 1737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:129.32-129.36" + } + }, + "n118": { + "hide_name": 0, + "bits": [ 1738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:130.32-130.36" + } + }, + "n119": { + "hide_name": 0, + "bits": [ 1771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:131.32-131.36" + } + }, + "n11a": { + "hide_name": 0, + "bits": [ 1772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:132.32-132.36" + } + }, + "n11b": { + "hide_name": 0, + "bits": [ 1805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:133.32-133.36" + } + }, + "n11c": { + "hide_name": 0, + "bits": [ 1806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:134.32-134.36" + } + }, + "n11d": { + "hide_name": 0, + "bits": [ 1839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:135.32-135.36" + } + }, + "n11e": { + "hide_name": 0, + "bits": [ 1840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:136.32-136.36" + } + }, + "n11f": { + "hide_name": 0, + "bits": [ 1873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:137.32-137.36" + } + }, + "n120": { + "hide_name": 0, + "bits": [ 1874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:138.32-138.36" + } + }, + "n121": { + "hide_name": 0, + "bits": [ 1907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:139.32-139.36" + } + }, + "n122": { + "hide_name": 0, + "bits": [ 1908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:140.32-140.36" + } + }, + "n123": { + "hide_name": 0, + "bits": [ 1941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:141.32-141.36" + } + }, + "n124": { + "hide_name": 0, + "bits": [ 1942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:142.32-142.36" + } + }, + "n125": { + "hide_name": 0, + "bits": [ 1466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:143.32-143.36" + } + }, + "n126": { + "hide_name": 0, + "bits": [ 1467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:144.32-144.36" + } + }, + "n127": { + "hide_name": 0, + "bits": [ 1498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:145.32-145.36" + } + }, + "n128": { + "hide_name": 0, + "bits": [ 1499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:146.32-146.36" + } + }, + "n129": { + "hide_name": 0, + "bits": [ 1519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:147.32-147.36" + } + }, + "n12a": { + "hide_name": 0, + "bits": [ 1520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:148.32-148.36" + } + }, + "n12b": { + "hide_name": 0, + "bits": [ 1537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:149.32-149.36" + } + }, + "n12c": { + "hide_name": 0, + "bits": [ 1538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:150.32-150.36" + } + }, + "n12d": { + "hide_name": 0, + "bits": [ 1567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:151.32-151.36" + } + }, + "n12e": { + "hide_name": 0, + "bits": [ 1568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:152.32-152.36" + } + }, + "n12f": { + "hide_name": 0, + "bits": [ 1601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:153.32-153.36" + } + }, + "n130": { + "hide_name": 0, + "bits": [ 1602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:154.32-154.36" + } + }, + "n131": { + "hide_name": 0, + "bits": [ 1989 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:155.32-155.36" + } + }, + "n132": { + "hide_name": 0, + "bits": [ 1990 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:156.32-156.36" + } + }, + "n133": { + "hide_name": 0, + "bits": [ 2023 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:157.32-157.36" + } + }, + "n134": { + "hide_name": 0, + "bits": [ 2024 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:158.32-158.36" + } + }, + "n135": { + "hide_name": 0, + "bits": [ 2057 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:159.32-159.36" + } + }, + "n136": { + "hide_name": 0, + "bits": [ 2058 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:160.32-160.36" + } + }, + "n137": { + "hide_name": 0, + "bits": [ 3577 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:161.32-161.36" + } + }, + "n138": { + "hide_name": 0, + "bits": [ 2596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:162.32-162.36" + } + }, + "n139": { + "hide_name": 0, + "bits": [ 3535 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:163.32-163.36" + } + }, + "n13a": { + "hide_name": 0, + "bits": [ 1986 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:164.32-164.36" + } + }, + "n13b": { + "hide_name": 0, + "bits": [ 3312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:165.32-165.36" + } + }, + "n13c": { + "hide_name": 0, + "bits": [ 2988 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:166.32-166.36" + } + }, + "n13d": { + "hide_name": 0, + "bits": [ 3313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:167.32-167.36" + } + }, + "n13e": { + "hide_name": 0, + "bits": [ 2637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:168.32-168.36" + } + }, + "n13f": { + "hide_name": 0, + "bits": [ 2595 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:169.32-169.36" + } + }, + "n140": { + "hide_name": 0, + "bits": [ 2617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:170.32-170.36" + } + }, + "n141": { + "hide_name": 0, + "bits": [ 2618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:171.32-171.36" + } + }, + "n142": { + "hide_name": 0, + "bits": [ 2974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:172.32-172.36" + } + }, + "n143": { + "hide_name": 0, + "bits": [ 3302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:173.32-173.36" + } + }, + "n144": { + "hide_name": 0, + "bits": [ 3314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:174.32-174.36" + } + }, + "n145": { + "hide_name": 0, + "bits": [ 3006 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:175.32-175.36" + } + }, + "n146": { + "hide_name": 0, + "bits": [ 2663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:176.32-176.36" + } + }, + "n147": { + "hide_name": 0, + "bits": [ 2989 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:177.32-177.36" + } + }, + "n148": { + "hide_name": 0, + "bits": [ 3679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:178.32-178.36" + } + }, + "n149": { + "hide_name": 0, + "bits": [ 3685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:179.32-179.36" + } + }, + "n14a": { + "hide_name": 0, + "bits": [ 3578 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:180.32-180.36" + } + }, + "n14b": { + "hide_name": 0, + "bits": [ 3239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:181.32-181.36" + } + }, + "n14c": { + "hide_name": 0, + "bits": [ 2688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:182.32-182.36" + } + }, + "n14d": { + "hide_name": 0, + "bits": [ 3592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:183.32-183.36" + } + }, + "n14e": { + "hide_name": 0, + "bits": [ 2689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:184.32-184.36" + } + }, + "n14f": { + "hide_name": 0, + "bits": [ 3028 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:185.32-185.36" + } + }, + "n150": { + "hide_name": 0, + "bits": [ 2710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:186.32-186.36" + } + }, + "n151": { + "hide_name": 0, + "bits": [ 2690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:187.32-187.36" + } + }, + "n152": { + "hide_name": 0, + "bits": [ 1987 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:188.32-188.36" + } + }, + "n153": { + "hide_name": 0, + "bits": [ 2091 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:189.32-189.36" + } + }, + "n154": { + "hide_name": 0, + "bits": [ 2092 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:190.32-190.36" + } + }, + "n155": { + "hide_name": 0, + "bits": [ 2125 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:191.32-191.36" + } + }, + "n156": { + "hide_name": 0, + "bits": [ 2126 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:192.32-192.36" + } + }, + "n157": { + "hide_name": 0, + "bits": [ 2155 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:193.32-193.36" + } + }, + "n158": { + "hide_name": 0, + "bits": [ 2156 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:194.32-194.36" + } + }, + "n159": { + "hide_name": 0, + "bits": [ 2157 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:195.32-195.36" + } + }, + "n15a": { + "hide_name": 0, + "bits": [ 2158 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:196.32-196.36" + } + }, + "n15b": { + "hide_name": 0, + "bits": [ 2159 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:197.32-197.36" + } + }, + "n15c": { + "hide_name": 0, + "bits": [ 2160 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:198.32-198.36" + } + }, + "n15d": { + "hide_name": 0, + "bits": [ 2161 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:199.32-199.36" + } + }, + "n15e": { + "hide_name": 0, + "bits": [ 2162 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:200.32-200.36" + } + }, + "n15f": { + "hide_name": 0, + "bits": [ 2163 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:201.32-201.36" + } + }, + "n160": { + "hide_name": 0, + "bits": [ 2164 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:202.32-202.36" + } + }, + "n161": { + "hide_name": 0, + "bits": [ 1977 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:203.32-203.36" + } + }, + "n162": { + "hide_name": 0, + "bits": [ 1978 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:204.32-204.36" + } + }, + "n163": { + "hide_name": 0, + "bits": [ 1979 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:205.32-205.36" + } + }, + "n164": { + "hide_name": 0, + "bits": [ 1980 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:206.32-206.36" + } + }, + "n165": { + "hide_name": 0, + "bits": [ 1981 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:207.32-207.36" + } + }, + "n166": { + "hide_name": 0, + "bits": [ 1982 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:208.32-208.36" + } + }, + "n167": { + "hide_name": 0, + "bits": [ 1983 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:209.32-209.36" + } + }, + "n168": { + "hide_name": 0, + "bits": [ 1984 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:210.32-210.36" + } + }, + "n169": { + "hide_name": 0, + "bits": [ 3057 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:211.32-211.36" + } + }, + "n16a": { + "hide_name": 0, + "bits": [ 3044 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:212.32-212.36" + } + }, + "n16b": { + "hide_name": 0, + "bits": [ 2786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:213.32-213.36" + } + }, + "n16c": { + "hide_name": 0, + "bits": [ 3045 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:214.32-214.36" + } + }, + "n16d": { + "hide_name": 0, + "bits": [ 3622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:215.32-215.36" + } + }, + "n16e": { + "hide_name": 0, + "bits": [ 2763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:216.32-216.36" + } + }, + "n16f": { + "hide_name": 0, + "bits": [ 2739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:217.32-217.36" + } + }, + "n170": { + "hide_name": 0, + "bits": [ 3719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:218.32-218.36" + } + }, + "n171": { + "hide_name": 0, + "bits": [ 3727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:219.32-219.36" + } + }, + "n172": { + "hide_name": 0, + "bits": [ 3376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:220.32-220.36" + } + }, + "n173": { + "hide_name": 0, + "bits": [ 3091 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:221.32-221.36" + } + }, + "n174": { + "hide_name": 0, + "bits": [ 2810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:222.32-222.36" + } + }, + "n175": { + "hide_name": 0, + "bits": [ 2811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:223.32-223.36" + } + }, + "n176": { + "hide_name": 0, + "bits": [ 3637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:224.32-224.36" + } + }, + "n177": { + "hide_name": 0, + "bits": [ 3109 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:225.32-225.36" + } + }, + "n178": { + "hide_name": 0, + "bits": [ 3754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:226.32-226.36" + } + }, + "n179": { + "hide_name": 0, + "bits": [ 3110 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:227.32-227.36" + } + }, + "n17a": { + "hide_name": 0, + "bits": [ 3389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:228.32-228.36" + } + }, + "n17b": { + "hide_name": 0, + "bits": [ 2332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:229.32-229.36" + } + }, + "n17c": { + "hide_name": 0, + "bits": [ 3092 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:230.32-230.36" + } + }, + "n17d": { + "hide_name": 0, + "bits": [ 3111 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:231.32-231.36" + } + }, + "n17e": { + "hide_name": 0, + "bits": [ 2333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:232.32-232.36" + } + }, + "n17f": { + "hide_name": 0, + "bits": [ 1985 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:233.32-233.36" + } + }, + "n180": { + "hide_name": 0, + "bits": [ 3763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:234.32-234.36" + } + }, + "n181": { + "hide_name": 0, + "bits": [ 3775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:235.32-235.36" + } + }, + "n182": { + "hide_name": 0, + "bits": [ 3442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:236.32-236.36" + } + }, + "n183": { + "hide_name": 0, + "bits": [ 3169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:237.32-237.36" + } + }, + "n184": { + "hide_name": 0, + "bits": [ 3181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:238.32-238.36" + } + }, + "n185": { + "hide_name": 0, + "bits": [ 3136 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:239.32-239.36" + } + }, + "n186": { + "hide_name": 0, + "bits": [ 3137 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:240.32-240.36" + } + }, + "n187": { + "hide_name": 0, + "bits": [ 2859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:241.32-241.36" + } + }, + "n188": { + "hide_name": 0, + "bits": [ 1988 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:242.32-242.36" + } + }, + "n189": { + "hide_name": 0, + "bits": [ 2401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:243.32-243.36" + } + }, + "n18a": { + "hide_name": 0, + "bits": [ 2380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:244.32-244.36" + } + }, + "n18b": { + "hide_name": 0, + "bits": [ 2870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:245.32-245.36" + } + }, + "n18c": { + "hide_name": 0, + "bits": [ 2350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:246.32-246.36" + } + }, + "n18d": { + "hide_name": 0, + "bits": [ 3214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:247.32-247.36" + } + }, + "n18e": { + "hide_name": 0, + "bits": [ 2381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:248.32-248.36" + } + }, + "n18f": { + "hide_name": 0, + "bits": [ 3225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:249.32-249.36" + } + }, + "n190": { + "hide_name": 0, + "bits": [ 3861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:250.32-250.36" + } + }, + "n191": { + "hide_name": 0, + "bits": [ 2400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:251.32-251.36" + } + }, + "n192": { + "hide_name": 0, + "bits": [ 2178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:252.32-252.36" + } + }, + "n193": { + "hide_name": 0, + "bits": [ 2179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:253.32-253.36" + } + }, + "n194": { + "hide_name": 0, + "bits": [ 3481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:254.32-254.36" + } + }, + "n195": { + "hide_name": 0, + "bits": [ 2894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:255.32-255.36" + } + }, + "n196": { + "hide_name": 0, + "bits": [ 2444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:256.32-256.36" + } + }, + "n197": { + "hide_name": 0, + "bits": [ 2180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:257.32-257.36" + } + }, + "n198": { + "hide_name": 0, + "bits": [ 2181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:258.32-258.36" + } + }, + "n199": { + "hide_name": 0, + "bits": [ 2182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:259.32-259.36" + } + }, + "n19a": { + "hide_name": 0, + "bits": [ 2183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:260.32-260.36" + } + }, + "n19b": { + "hide_name": 0, + "bits": [ 2184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:261.32-261.36" + } + }, + "n19c": { + "hide_name": 0, + "bits": [ 2185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:262.32-262.36" + } + }, + "n19d": { + "hide_name": 0, + "bits": [ 2186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:263.32-263.36" + } + }, + "n19e": { + "hide_name": 0, + "bits": [ 2187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:264.32-264.36" + } + }, + "n19f": { + "hide_name": 0, + "bits": [ 2188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:265.32-265.36" + } + }, + "n1a0": { + "hide_name": 0, + "bits": [ 2189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:266.32-266.36" + } + }, + "n1a1": { + "hide_name": 0, + "bits": [ 2190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:267.32-267.36" + } + }, + "n1a2": { + "hide_name": 0, + "bits": [ 2191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:268.32-268.36" + } + }, + "n1a3": { + "hide_name": 0, + "bits": [ 2192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:269.32-269.36" + } + }, + "n1a4": { + "hide_name": 0, + "bits": [ 2193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:270.32-270.36" + } + }, + "n1a5": { + "hide_name": 0, + "bits": [ 2194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:271.32-271.36" + } + }, + "n1a6": { + "hide_name": 0, + "bits": [ 2195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:272.32-272.36" + } + }, + "n1a7": { + "hide_name": 0, + "bits": [ 2196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:273.32-273.36" + } + }, + "n1a8": { + "hide_name": 0, + "bits": [ 2197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:274.32-274.36" + } + }, + "n1a9": { + "hide_name": 0, + "bits": [ 2166 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:275.32-275.36" + } + }, + "n1aa": { + "hide_name": 0, + "bits": [ 2167 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:276.32-276.36" + } + }, + "n1ab": { + "hide_name": 0, + "bits": [ 2168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:277.32-277.36" + } + }, + "n1ac": { + "hide_name": 0, + "bits": [ 2169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:278.32-278.36" + } + }, + "n1ad": { + "hide_name": 0, + "bits": [ 2170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:279.32-279.36" + } + }, + "n1ae": { + "hide_name": 0, + "bits": [ 2171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:280.32-280.36" + } + }, + "n1af": { + "hide_name": 0, + "bits": [ 2172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:281.32-281.36" + } + }, + "n1b0": { + "hide_name": 0, + "bits": [ 2173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:282.32-282.36" + } + }, + "n1b1": { + "hide_name": 0, + "bits": [ 2174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:283.32-283.36" + } + }, + "n1b2": { + "hide_name": 0, + "bits": [ 2175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:284.32-284.36" + } + }, + "n1b3": { + "hide_name": 0, + "bits": [ 2176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:285.32-285.36" + } + }, + "n1b4": { + "hide_name": 0, + "bits": [ 2177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:286.32-286.36" + } + }, + "n1b5": { + "hide_name": 0, + "bits": [ 2211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:287.32-287.36" + } + }, + "n1b6": { + "hide_name": 0, + "bits": [ 2212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:288.32-288.36" + } + }, + "n1b7": { + "hide_name": 0, + "bits": [ 2213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:289.32-289.36" + } + }, + "n1b8": { + "hide_name": 0, + "bits": [ 2214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:290.32-290.36" + } + }, + "n1b9": { + "hide_name": 0, + "bits": [ 2215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:291.32-291.36" + } + }, + "n1ba": { + "hide_name": 0, + "bits": [ 2216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:292.32-292.36" + } + }, + "n1bb": { + "hide_name": 0, + "bits": [ 2217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:293.32-293.36" + } + }, + "n1bc": { + "hide_name": 0, + "bits": [ 2218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:294.32-294.36" + } + }, + "n1bd": { + "hide_name": 0, + "bits": [ 2219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:295.32-295.36" + } + }, + "n1be": { + "hide_name": 0, + "bits": [ 2220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:296.32-296.36" + } + }, + "n1bf": { + "hide_name": 0, + "bits": [ 2221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:297.32-297.36" + } + }, + "n1c0": { + "hide_name": 0, + "bits": [ 2222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:298.32-298.36" + } + }, + "n1c1": { + "hide_name": 0, + "bits": [ 2223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:299.32-299.36" + } + }, + "n1c2": { + "hide_name": 0, + "bits": [ 2224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:300.32-300.36" + } + }, + "n1c3": { + "hide_name": 0, + "bits": [ 2225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:301.32-301.36" + } + }, + "n1c4": { + "hide_name": 0, + "bits": [ 2226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:302.32-302.36" + } + }, + "n1c5": { + "hide_name": 0, + "bits": [ 2227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:303.32-303.36" + } + }, + "n1c6": { + "hide_name": 0, + "bits": [ 2228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:304.32-304.36" + } + }, + "n1c7": { + "hide_name": 0, + "bits": [ 2229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:305.32-305.36" + } + }, + "n1c8": { + "hide_name": 0, + "bits": [ 2230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:306.32-306.36" + } + }, + "n1c9": { + "hide_name": 0, + "bits": [ 2199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:307.32-307.36" + } + }, + "n1ca": { + "hide_name": 0, + "bits": [ 2200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:308.32-308.36" + } + }, + "n1cb": { + "hide_name": 0, + "bits": [ 2201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:309.32-309.36" + } + }, + "n1cc": { + "hide_name": 0, + "bits": [ 2202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:310.32-310.36" + } + }, + "n1cd": { + "hide_name": 0, + "bits": [ 2203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:311.32-311.36" + } + }, + "n1ce": { + "hide_name": 0, + "bits": [ 2204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:312.32-312.36" + } + }, + "n1cf": { + "hide_name": 0, + "bits": [ 2205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:313.32-313.36" + } + }, + "n1d0": { + "hide_name": 0, + "bits": [ 2206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:314.32-314.36" + } + }, + "n1d1": { + "hide_name": 0, + "bits": [ 2207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:315.32-315.36" + } + }, + "n1d2": { + "hide_name": 0, + "bits": [ 2208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:316.32-316.36" + } + }, + "n1d3": { + "hide_name": 0, + "bits": [ 2209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:317.32-317.36" + } + }, + "n1d4": { + "hide_name": 0, + "bits": [ 2210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:318.32-318.36" + } + }, + "n1d5": { + "hide_name": 0, + "bits": [ 2245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:319.32-319.36" + } + }, + "n1d6": { + "hide_name": 0, + "bits": [ 2467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:320.32-320.36" + } + }, + "n1d7": { + "hide_name": 0, + "bits": [ 2247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:321.32-321.36" + } + }, + "n1d8": { + "hide_name": 0, + "bits": [ 3245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:322.32-322.36" + } + }, + "n1d9": { + "hide_name": 0, + "bits": [ 2249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:323.32-323.36" + } + }, + "n1da": { + "hide_name": 0, + "bits": [ 3258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:324.32-324.36" + } + }, + "n1db": { + "hide_name": 0, + "bits": [ 2510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:325.32-325.36" + } + }, + "n1dc": { + "hide_name": 0, + "bits": [ 3885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:326.32-326.36" + } + }, + "n1dd": { + "hide_name": 0, + "bits": [ 2511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:327.32-327.36" + } + }, + "n1de": { + "hide_name": 0, + "bits": [ 2513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:328.32-328.36" + } + }, + "n1df": { + "hide_name": 0, + "bits": [ 2927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:329.32-329.36" + } + }, + "n1e0": { + "hide_name": 0, + "bits": [ 2468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:330.32-330.36" + } + }, + "n1e1": { + "hide_name": 0, + "bits": [ 2490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:331.32-331.36" + } + }, + "n1e2": { + "hide_name": 0, + "bits": [ 2248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:332.32-332.36" + } + }, + "n1e3": { + "hide_name": 0, + "bits": [ 2508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:333.32-333.36" + } + }, + "n1e4": { + "hide_name": 0, + "bits": [ 2509 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:334.32-334.36" + } + }, + "n1e5": { + "hide_name": 0, + "bits": [ 3275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:335.32-335.36" + } + }, + "n1e6": { + "hide_name": 0, + "bits": [ 3899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:336.32-336.36" + } + }, + "n1e7": { + "hide_name": 0, + "bits": [ 2512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:337.32-337.36" + } + }, + "n1e8": { + "hide_name": 0, + "bits": [ 3490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:338.32-338.36" + } + }, + "n1e9": { + "hide_name": 0, + "bits": [ 2966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:339.32-339.36" + } + }, + "n1ea": { + "hide_name": 0, + "bits": [ 2520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:340.32-340.36" + } + }, + "n1eb": { + "hide_name": 0, + "bits": [ 2926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:341.32-341.36" + } + }, + "n1ec": { + "hide_name": 0, + "bits": [ 2516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:342.32-342.36" + } + }, + "n1ed": { + "hide_name": 0, + "bits": [ 2515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:343.32-343.36" + } + }, + "n1ee": { + "hide_name": 0, + "bits": [ 2514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:344.32-344.36" + } + }, + "n1ef": { + "hide_name": 0, + "bits": [ 2246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:345.32-345.36" + } + }, + "n1f0": { + "hide_name": 0, + "bits": [ 3829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:346.32-346.36" + } + }, + "n1f1": { + "hide_name": 0, + "bits": [ 3924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:347.32-347.36" + } + }, + "n1f2": { + "hide_name": 0, + "bits": [ 3828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:348.32-348.36" + } + }, + "n1f3": { + "hide_name": 0, + "bits": [ 3925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:349.32-349.36" + } + }, + "n1f4": { + "hide_name": 0, + "bits": [ 3508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:350.32-350.36" + } + }, + "n1f5": { + "hide_name": 0, + "bits": [ 2960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:351.32-351.36" + } + }, + "n1f6": { + "hide_name": 0, + "bits": [ 2519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:352.32-352.36" + } + }, + "n1f7": { + "hide_name": 0, + "bits": [ 3291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:353.32-353.36" + } + }, + "n1f8": { + "hide_name": 0, + "bits": [ 2244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:354.32-354.36" + } + }, + "n1f9": { + "hide_name": 0, + "bits": [ 3833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:355.32-355.36" + } + }, + "n1fa": { + "hide_name": 0, + "bits": [ 3834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:356.32-356.36" + } + }, + "n1fb": { + "hide_name": 0, + "bits": [ 3842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:357.32-357.36" + } + }, + "n1fc": { + "hide_name": 0, + "bits": [ 3293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:358.32-358.36" + } + }, + "n1fd": { + "hide_name": 0, + "bits": [ 2521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:359.32-359.36" + } + }, + "n1fe": { + "hide_name": 0, + "bits": [ 2525 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:360.32-360.36" + } + }, + "n1ff": { + "hide_name": 0, + "bits": [ 3509 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:361.32-361.36" + } + }, + "n200": { + "hide_name": 0, + "bits": [ 3926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:362.32-362.36" + } + }, + "n201": { + "hide_name": 0, + "bits": [ 2522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:363.32-363.36" + } + }, + "n202": { + "hide_name": 0, + "bits": [ 2523 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:364.32-364.36" + } + }, + "n203": { + "hide_name": 0, + "bits": [ 3294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:365.32-365.36" + } + }, + "n204": { + "hide_name": 0, + "bits": [ 2524 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:366.32-366.36" + } + }, + "n205": { + "hide_name": 0, + "bits": [ 3510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:367.32-367.36" + } + }, + "n206": { + "hide_name": 0, + "bits": [ 3292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:368.32-368.36" + } + }, + "n207": { + "hide_name": 0, + "bits": [ 3511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:369.32-369.36" + } + }, + "n208": { + "hide_name": 0, + "bits": [ 2967 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:370.32-370.36" + } + }, + "n209": { + "hide_name": 0, + "bits": [ 2253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:371.32-371.36" + } + }, + "n20a": { + "hide_name": 0, + "bits": [ 2261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:372.32-372.36" + } + }, + "n20b": { + "hide_name": 0, + "bits": [ 2968 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:373.32-373.36" + } + }, + "n20c": { + "hide_name": 0, + "bits": [ 2527 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:374.32-374.36" + } + }, + "n20d": { + "hide_name": 0, + "bits": [ 2969 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:375.32-375.36" + } + }, + "n20e": { + "hide_name": 0, + "bits": [ 2257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:376.32-376.36" + } + }, + "n20f": { + "hide_name": 0, + "bits": [ 2259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:377.32-377.36" + } + }, + "n210": { + "hide_name": 0, + "bits": [ 2971 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:378.32-378.36" + } + }, + "n211": { + "hide_name": 0, + "bits": [ 2970 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:379.32-379.36" + } + }, + "n212": { + "hide_name": 0, + "bits": [ 2973 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:380.32-380.36" + } + }, + "n213": { + "hide_name": 0, + "bits": [ 2972 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:381.32-381.36" + } + }, + "n214": { + "hide_name": 0, + "bits": [ 3295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:382.32-382.36" + } + }, + "n215": { + "hide_name": 0, + "bits": [ 2255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:383.32-383.36" + } + }, + "n216": { + "hide_name": 0, + "bits": [ 3927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:384.32-384.36" + } + }, + "n217": { + "hide_name": 0, + "bits": [ 3512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:385.32-385.36" + } + }, + "n218": { + "hide_name": 0, + "bits": [ 3928 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:386.32-386.36" + } + }, + "n219": { + "hide_name": 0, + "bits": [ 2250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:387.32-387.36" + } + }, + "n21a": { + "hide_name": 0, + "bits": [ 3929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:388.32-388.36" + } + }, + "n21b": { + "hide_name": 0, + "bits": [ 2260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:389.32-389.36" + } + }, + "n21c": { + "hide_name": 0, + "bits": [ 2526 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:390.32-390.36" + } + }, + "n21d": { + "hide_name": 0, + "bits": [ 3857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:391.32-391.36" + } + }, + "n21e": { + "hide_name": 0, + "bits": [ 3930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:392.32-392.36" + } + }, + "n21f": { + "hide_name": 0, + "bits": [ 2528 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:393.32-393.36" + } + }, + "n220": { + "hide_name": 0, + "bits": [ 2975 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:394.32-394.36" + } + }, + "n221": { + "hide_name": 0, + "bits": [ 2254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:395.32-395.36" + } + }, + "n222": { + "hide_name": 0, + "bits": [ 3931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:396.32-396.36" + } + }, + "n223": { + "hide_name": 0, + "bits": [ 2251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:397.32-397.36" + } + }, + "n224": { + "hide_name": 0, + "bits": [ 2252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:398.32-398.36" + } + }, + "n225": { + "hide_name": 0, + "bits": [ 3932 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:399.32-399.36" + } + }, + "n226": { + "hide_name": 0, + "bits": [ 3933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:400.32-400.36" + } + }, + "n227": { + "hide_name": 0, + "bits": [ 2529 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:401.32-401.36" + } + }, + "n228": { + "hide_name": 0, + "bits": [ 2258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:402.32-402.36" + } + }, + "n229": { + "hide_name": 0, + "bits": [ 2256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:403.32-403.36" + } + }, + "n22a": { + "hide_name": 0, + "bits": [ 2262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:404.32-404.36" + } + }, + "n22b": { + "hide_name": 0, + "bits": [ 2263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:405.32-405.36" + } + }, + "n22c": { + "hide_name": 0, + "bits": [ 2232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:406.32-406.36" + } + }, + "n22d": { + "hide_name": 0, + "bits": [ 2233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:407.32-407.36" + } + }, + "n22e": { + "hide_name": 0, + "bits": [ 2234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:408.32-408.36" + } + }, + "n22f": { + "hide_name": 0, + "bits": [ 2235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:409.32-409.36" + } + }, + "n230": { + "hide_name": 0, + "bits": [ 2236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:410.32-410.36" + } + }, + "n231": { + "hide_name": 0, + "bits": [ 2237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:411.32-411.36" + } + }, + "n232": { + "hide_name": 0, + "bits": [ 2238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:412.32-412.36" + } + }, + "n233": { + "hide_name": 0, + "bits": [ 2239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:413.32-413.36" + } + }, + "n234": { + "hide_name": 0, + "bits": [ 3297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:414.32-414.36" + } + }, + "n235": { + "hide_name": 0, + "bits": [ 2531 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:415.32-415.36" + } + }, + "n236": { + "hide_name": 0, + "bits": [ 2240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:416.32-416.36" + } + }, + "n237": { + "hide_name": 0, + "bits": [ 2241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:417.32-417.36" + } + }, + "n238": { + "hide_name": 0, + "bits": [ 2242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:418.32-418.36" + } + }, + "n239": { + "hide_name": 0, + "bits": [ 2243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:419.32-419.36" + } + }, + "n23a": { + "hide_name": 0, + "bits": [ 2277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:420.32-420.36" + } + }, + "n23b": { + "hide_name": 0, + "bits": [ 2278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:421.32-421.36" + } + }, + "n23c": { + "hide_name": 0, + "bits": [ 3296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:422.32-422.36" + } + }, + "n23d": { + "hide_name": 0, + "bits": [ 2535 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:423.32-423.36" + } + }, + "n23e": { + "hide_name": 0, + "bits": [ 3934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:424.32-424.36" + } + }, + "n23f": { + "hide_name": 0, + "bits": [ 3299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:425.32-425.36" + } + }, + "n240": { + "hide_name": 0, + "bits": [ 2279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:426.32-426.36" + } + }, + "n241": { + "hide_name": 0, + "bits": [ 2280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:427.32-427.36" + } + }, + "n242": { + "hide_name": 0, + "bits": [ 2281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:428.32-428.36" + } + }, + "n243": { + "hide_name": 0, + "bits": [ 2282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:429.32-429.36" + } + }, + "n244": { + "hide_name": 0, + "bits": [ 2283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:430.32-430.36" + } + }, + "n245": { + "hide_name": 0, + "bits": [ 2284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:431.32-431.36" + } + }, + "n246": { + "hide_name": 0, + "bits": [ 2285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:432.32-432.36" + } + }, + "n247": { + "hide_name": 0, + "bits": [ 2286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:433.32-433.36" + } + }, + "n248": { + "hide_name": 0, + "bits": [ 2287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:434.32-434.36" + } + }, + "n249": { + "hide_name": 0, + "bits": [ 2288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:435.32-435.36" + } + }, + "n24a": { + "hide_name": 0, + "bits": [ 2289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:436.32-436.36" + } + }, + "n24b": { + "hide_name": 0, + "bits": [ 2290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:437.32-437.36" + } + }, + "n24c": { + "hide_name": 0, + "bits": [ 2291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:438.32-438.36" + } + }, + "n24d": { + "hide_name": 0, + "bits": [ 2292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:439.32-439.36" + } + }, + "n24e": { + "hide_name": 0, + "bits": [ 2293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:440.32-440.36" + } + }, + "n24f": { + "hide_name": 0, + "bits": [ 2294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:441.32-441.36" + } + }, + "n250": { + "hide_name": 0, + "bits": [ 2295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:442.32-442.36" + } + }, + "n251": { + "hide_name": 0, + "bits": [ 2296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:443.32-443.36" + } + }, + "n252": { + "hide_name": 0, + "bits": [ 2265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:444.32-444.36" + } + }, + "n253": { + "hide_name": 0, + "bits": [ 2266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:445.32-445.36" + } + }, + "n254": { + "hide_name": 0, + "bits": [ 2267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:446.32-446.36" + } + }, + "n255": { + "hide_name": 0, + "bits": [ 2268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:447.32-447.36" + } + }, + "n256": { + "hide_name": 0, + "bits": [ 2269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:448.32-448.36" + } + }, + "n257": { + "hide_name": 0, + "bits": [ 2270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:449.32-449.36" + } + }, + "n258": { + "hide_name": 0, + "bits": [ 2271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:450.32-450.36" + } + }, + "n259": { + "hide_name": 0, + "bits": [ 2272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:451.32-451.36" + } + }, + "n25a": { + "hide_name": 0, + "bits": [ 3515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:452.32-452.36" + } + }, + "n25b": { + "hide_name": 0, + "bits": [ 3514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:453.32-453.36" + } + }, + "n25c": { + "hide_name": 0, + "bits": [ 2976 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:454.32-454.36" + } + }, + "n25d": { + "hide_name": 0, + "bits": [ 3513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:455.32-455.36" + } + }, + "n25e": { + "hide_name": 0, + "bits": [ 2538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:456.32-456.36" + } + }, + "n25f": { + "hide_name": 0, + "bits": [ 3935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:457.32-457.36" + } + }, + "n260": { + "hide_name": 0, + "bits": [ 3936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:458.32-458.36" + } + }, + "n261": { + "hide_name": 0, + "bits": [ 3937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:459.32-459.36" + } + }, + "n262": { + "hide_name": 0, + "bits": [ 3516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:460.32-460.36" + } + }, + "n263": { + "hide_name": 0, + "bits": [ 3517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:461.32-461.36" + } + }, + "n264": { + "hide_name": 0, + "bits": [ 2977 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:462.32-462.36" + } + }, + "n265": { + "hide_name": 0, + "bits": [ 2978 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:463.32-463.36" + } + }, + "n266": { + "hide_name": 0, + "bits": [ 3858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:464.32-464.36" + } + }, + "n267": { + "hide_name": 0, + "bits": [ 3519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:465.32-465.36" + } + }, + "n268": { + "hide_name": 0, + "bits": [ 3938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:466.32-466.36" + } + }, + "n269": { + "hide_name": 0, + "bits": [ 3518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:467.32-467.36" + } + }, + "n26a": { + "hide_name": 0, + "bits": [ 2532 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:468.32-468.36" + } + }, + "n26b": { + "hide_name": 0, + "bits": [ 3520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:469.32-469.36" + } + }, + "n26c": { + "hide_name": 0, + "bits": [ 3521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:470.32-470.36" + } + }, + "n26d": { + "hide_name": 0, + "bits": [ 3522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:471.32-471.36" + } + }, + "n26e": { + "hide_name": 0, + "bits": [ 3523 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:472.32-472.36" + } + }, + "n26f": { + "hide_name": 0, + "bits": [ 3859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:473.32-473.36" + } + }, + "n270": { + "hide_name": 0, + "bits": [ 2536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:474.32-474.36" + } + }, + "n271": { + "hide_name": 0, + "bits": [ 2979 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:475.32-475.36" + } + }, + "n272": { + "hide_name": 0, + "bits": [ 2530 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:476.32-476.36" + } + }, + "n273": { + "hide_name": 0, + "bits": [ 2980 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:477.32-477.36" + } + }, + "n274": { + "hide_name": 0, + "bits": [ 2981 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:478.32-478.36" + } + }, + "n275": { + "hide_name": 0, + "bits": [ 2982 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:479.32-479.36" + } + }, + "n276": { + "hide_name": 0, + "bits": [ 2983 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:480.32-480.36" + } + }, + "n277": { + "hide_name": 0, + "bits": [ 2534 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:481.32-481.36" + } + }, + "n278": { + "hide_name": 0, + "bits": [ 3298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:482.32-482.36" + } + }, + "n279": { + "hide_name": 0, + "bits": [ 2533 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:483.32-483.36" + } + }, + "n27a": { + "hide_name": 0, + "bits": [ 2537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:484.32-484.36" + } + }, + "n27b": { + "hide_name": 0, + "bits": [ 3939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:485.32-485.36" + } + }, + "n27c": { + "hide_name": 0, + "bits": [ 3941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:486.32-486.36" + } + }, + "n27d": { + "hide_name": 0, + "bits": [ 3942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:487.32-487.36" + } + }, + "n27e": { + "hide_name": 0, + "bits": [ 2539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:488.32-488.36" + } + }, + "n27f": { + "hide_name": 0, + "bits": [ 2541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:489.32-489.36" + } + }, + "n280": { + "hide_name": 0, + "bits": [ 2540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:490.32-490.36" + } + }, + "n281": { + "hide_name": 0, + "bits": [ 3524 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:491.32-491.36" + } + }, + "n282": { + "hide_name": 0, + "bits": [ 2542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:492.32-492.36" + } + }, + "n283": { + "hide_name": 0, + "bits": [ 2544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:493.32-493.36" + } + }, + "n284": { + "hide_name": 0, + "bits": [ 2987 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:494.32-494.36" + } + }, + "n285": { + "hide_name": 0, + "bits": [ 2545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:495.32-495.36" + } + }, + "n286": { + "hide_name": 0, + "bits": [ 2986 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:496.32-496.36" + } + }, + "n287": { + "hide_name": 0, + "bits": [ 2548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:497.32-497.36" + } + }, + "n288": { + "hide_name": 0, + "bits": [ 3300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:498.32-498.36" + } + }, + "n289": { + "hide_name": 0, + "bits": [ 2273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:499.32-499.36" + } + }, + "n28a": { + "hide_name": 0, + "bits": [ 2311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:500.32-500.36" + } + }, + "n28b": { + "hide_name": 0, + "bits": [ 3301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:501.32-501.36" + } + }, + "n28c": { + "hide_name": 0, + "bits": [ 2275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:502.32-502.36" + } + }, + "n28d": { + "hide_name": 0, + "bits": [ 2276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:503.32-503.36" + } + }, + "n28e": { + "hide_name": 0, + "bits": [ 2313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:504.32-504.36" + } + }, + "n28f": { + "hide_name": 0, + "bits": [ 2315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:505.32-505.36" + } + }, + "n290": { + "hide_name": 0, + "bits": [ 2317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:506.32-506.36" + } + }, + "n291": { + "hide_name": 0, + "bits": [ 2557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:507.32-507.36" + } + }, + "n292": { + "hide_name": 0, + "bits": [ 2310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:508.32-508.36" + } + }, + "n293": { + "hide_name": 0, + "bits": [ 2312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:509.32-509.36" + } + }, + "n294": { + "hide_name": 0, + "bits": [ 2314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:510.32-510.36" + } + }, + "n295": { + "hide_name": 0, + "bits": [ 2316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:511.32-511.36" + } + }, + "n296": { + "hide_name": 0, + "bits": [ 2319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:512.32-512.36" + } + }, + "n297": { + "hide_name": 0, + "bits": [ 2990 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:513.32-513.36" + } + }, + "n298": { + "hide_name": 0, + "bits": [ 2318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:514.32-514.36" + } + }, + "n299": { + "hide_name": 0, + "bits": [ 2320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:515.32-515.36" + } + }, + "n29a": { + "hide_name": 0, + "bits": [ 2321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:516.32-516.36" + } + }, + "n29b": { + "hide_name": 0, + "bits": [ 2327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:517.32-517.36" + } + }, + "n29c": { + "hide_name": 0, + "bits": [ 2576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:518.32-518.36" + } + }, + "n29d": { + "hide_name": 0, + "bits": [ 2322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:519.32-519.36" + } + }, + "n29e": { + "hide_name": 0, + "bits": [ 2323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:520.32-520.36" + } + }, + "n29f": { + "hide_name": 0, + "bits": [ 2324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:521.32-521.36" + } + }, + "n2a0": { + "hide_name": 0, + "bits": [ 2325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:522.32-522.36" + } + }, + "n2a1": { + "hide_name": 0, + "bits": [ 2326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:523.32-523.36" + } + }, + "n2a2": { + "hide_name": 0, + "bits": [ 2991 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:524.32-524.36" + } + }, + "n2a3": { + "hide_name": 0, + "bits": [ 3860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:525.32-525.36" + } + }, + "n2a4": { + "hide_name": 0, + "bits": [ 2328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:526.32-526.36" + } + }, + "n2a5": { + "hide_name": 0, + "bits": [ 2329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:527.32-527.36" + } + }, + "n2a6": { + "hide_name": 0, + "bits": [ 2298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:528.32-528.36" + } + }, + "n2a7": { + "hide_name": 0, + "bits": [ 2299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:529.32-529.36" + } + }, + "n2a8": { + "hide_name": 0, + "bits": [ 2300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:530.32-530.36" + } + }, + "n2a9": { + "hide_name": 0, + "bits": [ 2301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:531.32-531.36" + } + }, + "n2aa": { + "hide_name": 0, + "bits": [ 2302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:532.32-532.36" + } + }, + "n2ab": { + "hide_name": 0, + "bits": [ 2303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:533.32-533.36" + } + }, + "n2ac": { + "hide_name": 0, + "bits": [ 2304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:534.32-534.36" + } + }, + "n2ad": { + "hide_name": 0, + "bits": [ 2305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:535.32-535.36" + } + }, + "n2ae": { + "hide_name": 0, + "bits": [ 2306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:536.32-536.36" + } + }, + "n2af": { + "hide_name": 0, + "bits": [ 2307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:537.32-537.36" + } + }, + "n2b0": { + "hide_name": 0, + "bits": [ 2551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:538.32-538.36" + } + }, + "n2b1": { + "hide_name": 0, + "bits": [ 3002 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:539.32-539.36" + } + }, + "n2b2": { + "hide_name": 0, + "bits": [ 3819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:540.32-540.36" + } + }, + "n2b3": { + "hide_name": 0, + "bits": [ 2549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:541.32-541.36" + } + }, + "n2b4": { + "hide_name": 0, + "bits": [ 2992 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:542.32-542.36" + } + }, + "n2b5": { + "hide_name": 0, + "bits": [ 2308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:543.32-543.36" + } + }, + "n2b6": { + "hide_name": 0, + "bits": [ 2309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:544.32-544.36" + } + }, + "n2b7": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:545.32-545.36" + } + }, + "n2b8": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:546.32-546.36" + } + }, + "n2b9": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:547.32-547.36" + } + }, + "n2ba": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:548.32-548.36" + } + }, + "n2bb": { + "hide_name": 0, + "bits": [ 3533 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:549.32-549.36" + } + }, + "n2bc": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:550.32-550.36" + } + }, + "n2bd": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:551.32-551.36" + } + }, + "n2be": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:552.32-552.36" + } + }, + "n2bf": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:553.32-553.36" + } + }, + "n2c0": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:554.32-554.36" + } + }, + "n2c1": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:555.32-555.36" + } + }, + "n2c2": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:556.32-556.36" + } + }, + "n2c3": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:557.32-557.36" + } + }, + "n2c4": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:558.32-558.36" + } + }, + "n2c5": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:559.32-559.36" + } + }, + "n2c6": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:560.32-560.36" + } + }, + "n2c7": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:561.32-561.36" + } + }, + "n2c8": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:562.32-562.36" + } + }, + "n2c9": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:563.32-563.36" + } + }, + "n2ca": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:564.32-564.36" + } + }, + "n2cb": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:565.32-565.36" + } + }, + "n2cc": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:566.32-566.36" + } + }, + "n2cd": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:567.32-567.36" + } + }, + "n2ce": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:568.32-568.36" + } + }, + "n2cf": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:569.32-569.36" + } + }, + "n2d0": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:570.32-570.36" + } + }, + "n2d1": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:571.32-571.36" + } + }, + "n2d2": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:572.32-572.36" + } + }, + "n2d3": { + "hide_name": 0, + "bits": [ 2558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:573.32-573.36" + } + }, + "n2d4": { + "hide_name": 0, + "bits": [ 2993 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:574.32-574.36" + } + }, + "n2d5": { + "hide_name": 0, + "bits": [ 3531 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:575.32-575.36" + } + }, + "n2d6": { + "hide_name": 0, + "bits": [ 3303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:576.32-576.36" + } + }, + "n2d7": { + "hide_name": 0, + "bits": [ 2556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:577.32-577.36" + } + }, + "n2d8": { + "hide_name": 0, + "bits": [ 2994 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:578.32-578.36" + } + }, + "n2d9": { + "hide_name": 0, + "bits": [ 2555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:579.32-579.36" + } + }, + "n2da": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:580.32-580.36" + } + }, + "n2db": { + "hide_name": 0, + "bits": [ 3806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:581.32-581.36" + } + }, + "n2dc": { + "hide_name": 0, + "bits": [ 3805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:582.32-582.36" + } + }, + "n2dd": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:583.32-583.36" + } + }, + "n2de": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:584.32-584.36" + } + }, + "n2df": { + "hide_name": 0, + "bits": [ 3526 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:585.32-585.36" + } + }, + "n2e0": { + "hide_name": 0, + "bits": [ 2550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:586.32-586.36" + } + }, + "n2e1": { + "hide_name": 0, + "bits": [ 2552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:587.32-587.36" + } + }, + "n2e2": { + "hide_name": 0, + "bits": [ 2554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:588.32-588.36" + } + }, + "n2e3": { + "hide_name": 0, + "bits": [ 2553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:589.32-589.36" + } + }, + "n2e4": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:590.32-590.36" + } + }, + "n2e5": { + "hide_name": 0, + "bits": [ 2995 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:591.32-591.36" + } + }, + "n2e6": { + "hide_name": 0, + "bits": [ 2996 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:592.32-592.36" + } + }, + "n2e7": { + "hide_name": 0, + "bits": [ 3527 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:593.32-593.36" + } + }, + "n2e8": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:594.32-594.36" + } + }, + "n2e9": { + "hide_name": 0, + "bits": [ 2559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:595.32-595.36" + } + }, + "n2ea": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:596.32-596.36" + } + }, + "n2eb": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:597.32-597.36" + } + }, + "n2ec": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:598.32-598.36" + } + }, + "n2ed": { + "hide_name": 0, + "bits": [ 3306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:599.32-599.36" + } + }, + "n2ee": { + "hide_name": 0, + "bits": [ 3304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:600.32-600.36" + } + }, + "n2ef": { + "hide_name": 0, + "bits": [ 2997 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:601.32-601.36" + } + }, + "n2f0": { + "hide_name": 0, + "bits": [ 3305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:602.32-602.36" + } + }, + "n2f1": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:603.32-603.36" + } + }, + "n2f2": { + "hide_name": 0, + "bits": [ 3528 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:604.32-604.36" + } + }, + "n2f3": { + "hide_name": 0, + "bits": [ 2560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:605.32-605.36" + } + }, + "n2f4": { + "hide_name": 0, + "bits": [ 2998 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:606.32-606.36" + } + }, + "n2f5": { + "hide_name": 0, + "bits": [ 3529 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:607.32-607.36" + } + }, + "n2f6": { + "hide_name": 0, + "bits": [ 2562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:608.32-608.36" + } + }, + "n2f7": { + "hide_name": 0, + "bits": [ 3530 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:609.32-609.36" + } + }, + "n2f8": { + "hide_name": 0, + "bits": [ 2561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:610.32-610.36" + } + }, + "n2f9": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:611.32-611.36" + } + }, + "n2fa": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:612.32-612.36" + } + }, + "n2fb": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:613.32-613.36" + } + }, + "n2fc": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:614.32-614.36" + } + }, + "n2fd": { + "hide_name": 0, + "bits": [ 3308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:615.32-615.36" + } + }, + "n2fe": { + "hide_name": 0, + "bits": [ 3807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:616.32-616.36" + } + }, + "n2ff": { + "hide_name": 0, + "bits": [ 3808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:617.32-617.36" + } + }, + "n300": { + "hide_name": 0, + "bits": [ 3809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:618.32-618.36" + } + }, + "n301": { + "hide_name": 0, + "bits": [ 3810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:619.32-619.36" + } + }, + "n302": { + "hide_name": 0, + "bits": [ 3811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:620.32-620.36" + } + }, + "n303": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:621.32-621.36" + } + }, + "n304": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:622.32-622.36" + } + }, + "n305": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:623.32-623.36" + } + }, + "n306": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:624.32-624.36" + } + }, + "n307": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:625.32-625.36" + } + }, + "n308": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:626.32-626.36" + } + }, + "n309": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:627.32-627.36" + } + }, + "n30a": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:628.32-628.36" + } + }, + "n30b": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:629.32-629.36" + } + }, + "n30c": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:630.32-630.36" + } + }, + "n30d": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:631.32-631.36" + } + }, + "n30e": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:632.32-632.36" + } + }, + "n30f": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:633.32-633.36" + } + }, + "n310": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:634.32-634.36" + } + }, + "n311": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:635.32-635.36" + } + }, + "n312": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:636.32-636.36" + } + }, + "n313": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:637.32-637.36" + } + }, + "n314": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:638.32-638.36" + } + }, + "n315": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:639.32-639.36" + } + }, + "n316": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:640.32-640.36" + } + }, + "n317": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:641.32-641.36" + } + }, + "n318": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:642.32-642.36" + } + }, + "n319": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:643.32-643.36" + } + }, + "n31a": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:644.32-644.36" + } + }, + "n31b": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:645.32-645.36" + } + }, + "n31c": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:646.32-646.36" + } + }, + "n31d": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:647.32-647.36" + } + }, + "n31e": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:648.32-648.36" + } + }, + "n31f": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:649.32-649.36" + } + }, + "n320": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:650.32-650.36" + } + }, + "n321": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:651.32-651.36" + } + }, + "n322": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:652.32-652.36" + } + }, + "n323": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:653.32-653.36" + } + }, + "n324": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:654.32-654.36" + } + }, + "n325": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:655.32-655.36" + } + }, + "n326": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:656.32-656.36" + } + }, + "n327": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:657.32-657.36" + } + }, + "n328": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:658.32-658.36" + } + }, + "n329": { + "hide_name": 0, + "bits": [ 3813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:659.32-659.36" + } + }, + "n32a": { + "hide_name": 0, + "bits": [ 2563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:660.32-660.36" + } + }, + "n32b": { + "hide_name": 0, + "bits": [ 2564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:661.32-661.36" + } + }, + "n32c": { + "hide_name": 0, + "bits": [ 3943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:662.32-662.36" + } + }, + "n32d": { + "hide_name": 0, + "bits": [ 3814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:663.32-663.36" + } + }, + "n32e": { + "hide_name": 0, + "bits": [ 3944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:664.32-664.36" + } + }, + "n32f": { + "hide_name": 0, + "bits": [ 3945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:665.32-665.36" + } + }, + "n330": { + "hide_name": 0, + "bits": [ 3812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:666.32-666.36" + } + }, + "n331": { + "hide_name": 0, + "bits": [ 2566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:667.32-667.36" + } + }, + "n332": { + "hide_name": 0, + "bits": [ 2565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:668.32-668.36" + } + }, + "n333": { + "hide_name": 0, + "bits": [ 3307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:669.32-669.36" + } + }, + "n334": { + "hide_name": 0, + "bits": [ 2567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:670.32-670.36" + } + }, + "n335": { + "hide_name": 0, + "bits": [ 3532 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:671.32-671.36" + } + }, + "n336": { + "hide_name": 0, + "bits": [ 3946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:672.32-672.36" + } + }, + "n337": { + "hide_name": 0, + "bits": [ 2569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:673.32-673.36" + } + }, + "n338": { + "hide_name": 0, + "bits": [ 2575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:674.32-674.36" + } + }, + "n339": { + "hide_name": 0, + "bits": [ 3947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:675.32-675.36" + } + }, + "n33a": { + "hide_name": 0, + "bits": [ 3948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:676.32-676.36" + } + }, + "n33b": { + "hide_name": 0, + "bits": [ 2570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:677.32-677.36" + } + }, + "n33c": { + "hide_name": 0, + "bits": [ 3815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:678.32-678.36" + } + }, + "n33d": { + "hide_name": 0, + "bits": [ 3310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:679.32-679.36" + } + }, + "n33e": { + "hide_name": 0, + "bits": [ 2571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:680.32-680.36" + } + }, + "n33f": { + "hide_name": 0, + "bits": [ 2572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:681.32-681.36" + } + }, + "n340": { + "hide_name": 0, + "bits": [ 3817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:682.32-682.36" + } + }, + "n341": { + "hide_name": 0, + "bits": [ 3816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:683.32-683.36" + } + }, + "n342": { + "hide_name": 0, + "bits": [ 3949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:684.32-684.36" + } + }, + "n343": { + "hide_name": 0, + "bits": [ 3818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:685.32-685.36" + } + }, + "n344": { + "hide_name": 0, + "bits": [ 3534 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:686.32-686.36" + } + }, + "n345": { + "hide_name": 0, + "bits": [ 2573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:687.32-687.36" + } + }, + "n346": { + "hide_name": 0, + "bits": [ 2574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:688.32-688.36" + } + }, + "n347": { + "hide_name": 0, + "bits": [ 2578 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:689.32-689.36" + } + }, + "n348": { + "hide_name": 0, + "bits": [ 3000 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:690.32-690.36" + } + }, + "n349": { + "hide_name": 0, + "bits": [ 3309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:691.32-691.36" + } + }, + "n34a": { + "hide_name": 0, + "bits": [ 2577 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:692.32-692.36" + } + }, + "n34b": { + "hide_name": 0, + "bits": [ 2999 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:693.32-693.36" + } + }, + "n34c": { + "hide_name": 0, + "bits": [ 3536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:694.32-694.36" + } + }, + "n34d": { + "hide_name": 0, + "bits": [ 2579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:695.32-695.36" + } + }, + "n34e": { + "hide_name": 0, + "bits": [ 3001 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:696.32-696.36" + } + }, + "n34f": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:697.32-697.36" + } + }, + "n350": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:698.32-698.36" + } + }, + "n351": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:699.32-699.36" + } + }, + "n352": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:700.32-700.36" + } + }, + "n353": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:701.32-701.36" + } + }, + "n354": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:702.32-702.36" + } + }, + "n355": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:703.32-703.36" + } + }, + "n356": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:704.32-704.36" + } + }, + "n357": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:705.32-705.36" + } + }, + "n358": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:706.32-706.36" + } + }, + "n359": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:707.32-707.36" + } + }, + "n35a": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:708.32-708.36" + } + }, + "n35b": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:709.32-709.36" + } + }, + "n35c": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:710.32-710.36" + } + }, + "n35d": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:711.32-711.36" + } + }, + "n35e": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:712.32-712.36" + } + }, + "n35f": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:713.32-713.36" + } + }, + "n360": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:714.32-714.36" + } + }, + "n361": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:715.32-715.36" + } + }, + "n362": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:716.32-716.36" + } + }, + "n363": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:717.32-717.36" + } + }, + "n364": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:718.32-718.36" + } + }, + "n365": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:719.32-719.36" + } + }, + "n366": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:720.32-720.36" + } + }, + "n367": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:721.32-721.36" + } + }, + "n368": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:722.32-722.36" + } + }, + "n369": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:723.32-723.36" + } + }, + "n36a": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:724.32-724.36" + } + }, + "n36b": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:725.32-725.36" + } + }, + "n36c": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:726.32-726.36" + } + }, + "n36d": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:727.32-727.36" + } + }, + "n36e": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:728.32-728.36" + } + }, + "n36f": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:729.32-729.36" + } + }, + "n370": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:730.32-730.36" + } + }, + "n371": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:731.32-731.36" + } + }, + "n372": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:732.32-732.36" + } + }, + "n373": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:733.32-733.36" + } + }, + "n374": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:734.32-734.36" + } + }, + "n375": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:735.32-735.36" + } + }, + "n376": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:736.32-736.36" + } + }, + "n377": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:737.32-737.36" + } + }, + "n378": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:738.32-738.36" + } + }, + "n379": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:739.32-739.36" + } + }, + "n37a": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:740.32-740.36" + } + }, + "n37b": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:741.32-741.36" + } + }, + "n37c": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:742.32-742.36" + } + }, + "n37d": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:743.32-743.36" + } + }, + "n37e": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:744.32-744.36" + } + }, + "n37f": { + "hide_name": 0, + "bits": [ 3541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:745.32-745.36" + } + }, + "n380": { + "hide_name": 0, + "bits": [ 3315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:746.32-746.36" + } + }, + "n381": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:747.32-747.36" + } + }, + "n382": { + "hide_name": 0, + "bits": [ 3537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:748.32-748.36" + } + }, + "n383": { + "hide_name": 0, + "bits": [ 2586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:749.32-749.36" + } + }, + "n384": { + "hide_name": 0, + "bits": [ 2580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:750.32-750.36" + } + }, + "n385": { + "hide_name": 0, + "bits": [ 2581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:751.32-751.36" + } + }, + "n386": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:752.32-752.36" + } + }, + "n387": { + "hide_name": 0, + "bits": [ 2582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:753.32-753.36" + } + }, + "n388": { + "hide_name": 0, + "bits": [ 2583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:754.32-754.36" + } + }, + "n389": { + "hide_name": 0, + "bits": [ 2589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:755.32-755.36" + } + }, + "n38a": { + "hide_name": 0, + "bits": [ 3538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:756.32-756.36" + } + }, + "n38b": { + "hide_name": 0, + "bits": [ 3539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:757.32-757.36" + } + }, + "n38c": { + "hide_name": 0, + "bits": [ 3540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:758.32-758.36" + } + }, + "n38d": { + "hide_name": 0, + "bits": [ 3008 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:759.32-759.36" + } + }, + "n38e": { + "hide_name": 0, + "bits": [ 3003 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:760.32-760.36" + } + }, + "n38f": { + "hide_name": 0, + "bits": [ 3311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:761.32-761.36" + } + }, + "n390": { + "hide_name": 0, + "bits": [ 3004 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:762.32-762.36" + } + }, + "n391": { + "hide_name": 0, + "bits": [ 3005 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:763.32-763.36" + } + }, + "n392": { + "hide_name": 0, + "bits": [ 3007 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:764.32-764.36" + } + }, + "n393": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:765.32-765.36" + } + }, + "n394": { + "hide_name": 0, + "bits": [ 3009 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:766.32-766.36" + } + }, + "n395": { + "hide_name": 0, + "bits": [ 2585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:767.32-767.36" + } + }, + "n396": { + "hide_name": 0, + "bits": [ 2584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:768.32-768.36" + } + }, + "n397": { + "hide_name": 0, + "bits": [ 2587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:769.32-769.36" + } + }, + "n398": { + "hide_name": 0, + "bits": [ 2590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:770.32-770.36" + } + }, + "n399": { + "hide_name": 0, + "bits": [ 3011 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:771.32-771.36" + } + }, + "n39a": { + "hide_name": 0, + "bits": [ 3010 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:772.32-772.36" + } + }, + "n39b": { + "hide_name": 0, + "bits": [ 2588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:773.32-773.36" + } + }, + "n39c": { + "hide_name": 0, + "bits": [ 3542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:774.32-774.36" + } + }, + "n39d": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:775.32-775.36" + } + }, + "n39e": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:776.32-776.36" + } + }, + "n39f": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:777.32-777.36" + } + }, + "n3a0": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:778.32-778.36" + } + }, + "n3a1": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:779.32-779.36" + } + }, + "n3a2": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:780.32-780.36" + } + }, + "n3a3": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:781.32-781.36" + } + }, + "n3a4": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:782.32-782.36" + } + }, + "n3a5": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:783.32-783.36" + } + }, + "n3a6": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:784.32-784.36" + } + }, + "n3a7": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:785.32-785.36" + } + }, + "n3a8": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:786.32-786.36" + } + }, + "n3a9": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:787.32-787.36" + } + }, + "n3aa": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:788.32-788.36" + } + }, + "n3ab": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:789.32-789.36" + } + }, + "n3ac": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:790.32-790.36" + } + }, + "n3ad": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:791.32-791.36" + } + }, + "n3ae": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:792.32-792.36" + } + }, + "n3af": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:793.32-793.36" + } + }, + "n3b0": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:794.32-794.36" + } + }, + "n3b1": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:795.32-795.36" + } + }, + "n3b2": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:796.32-796.36" + } + }, + "n3b3": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:797.32-797.36" + } + }, + "n3b4": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:798.32-798.36" + } + }, + "n3b5": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:799.32-799.36" + } + }, + "n3b6": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:800.32-800.36" + } + }, + "n3b7": { + "hide_name": 0, + "bits": [ 3543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:801.32-801.36" + } + }, + "n3b8": { + "hide_name": 0, + "bits": [ 3950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:802.32-802.36" + } + }, + "n3b9": { + "hide_name": 0, + "bits": [ 3951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:803.32-803.36" + } + }, + "n3ba": { + "hide_name": 0, + "bits": [ 3952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:804.32-804.36" + } + }, + "n3bb": { + "hide_name": 0, + "bits": [ 3544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:805.32-805.36" + } + }, + "n3bc": { + "hide_name": 0, + "bits": [ 2598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:806.32-806.36" + } + }, + "n3bd": { + "hide_name": 0, + "bits": [ 2592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:807.32-807.36" + } + }, + "n3be": { + "hide_name": 0, + "bits": [ 2591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:808.32-808.36" + } + }, + "n3bf": { + "hide_name": 0, + "bits": [ 3316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:809.32-809.36" + } + }, + "n3c0": { + "hide_name": 0, + "bits": [ 3317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:810.32-810.36" + } + }, + "n3c1": { + "hide_name": 0, + "bits": [ 2593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:811.32-811.36" + } + }, + "n3c2": { + "hide_name": 0, + "bits": [ 2594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:812.32-812.36" + } + }, + "n3c3": { + "hide_name": 0, + "bits": [ 2599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:813.32-813.36" + } + }, + "n3c4": { + "hide_name": 0, + "bits": [ 2597 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:814.32-814.36" + } + }, + "n3c5": { + "hide_name": 0, + "bits": [ 3318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:815.32-815.36" + } + }, + "n3c6": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:816.32-816.36" + } + }, + "n3c7": { + "hide_name": 0, + "bits": [ 3822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:817.32-817.36" + } + }, + "n3c8": { + "hide_name": 0, + "bits": [ 3017 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:818.32-818.36" + } + }, + "n3c9": { + "hide_name": 0, + "bits": [ 3820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:819.32-819.36" + } + }, + "n3ca": { + "hide_name": 0, + "bits": [ 3821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:820.32-820.36" + } + }, + "n3cb": { + "hide_name": 0, + "bits": [ 3953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:821.32-821.36" + } + }, + "n3cc": { + "hide_name": 0, + "bits": [ 3954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:822.32-822.36" + } + }, + "n3cd": { + "hide_name": 0, + "bits": [ 3955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:823.32-823.36" + } + }, + "n3ce": { + "hide_name": 0, + "bits": [ 3956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:824.32-824.36" + } + }, + "n3cf": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:825.32-825.36" + } + }, + "n3d0": { + "hide_name": 0, + "bits": [ 3545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:826.32-826.36" + } + }, + "n3d1": { + "hide_name": 0, + "bits": [ 2602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:827.32-827.36" + } + }, + "n3d2": { + "hide_name": 0, + "bits": [ 3014 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:828.32-828.36" + } + }, + "n3d3": { + "hide_name": 0, + "bits": [ 2600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:829.32-829.36" + } + }, + "n3d4": { + "hide_name": 0, + "bits": [ 2601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:830.32-830.36" + } + }, + "n3d5": { + "hide_name": 0, + "bits": [ 3012 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:831.32-831.36" + } + }, + "n3d6": { + "hide_name": 0, + "bits": [ 3013 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:832.32-832.36" + } + }, + "n3d7": { + "hide_name": 0, + "bits": [ 3015 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:833.32-833.36" + } + }, + "n3d8": { + "hide_name": 0, + "bits": [ 3016 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:834.32-834.36" + } + }, + "n3d9": { + "hide_name": 0, + "bits": [ 2603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:835.32-835.36" + } + }, + "n3da": { + "hide_name": 0, + "bits": [ 3319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:836.32-836.36" + } + }, + "n3db": { + "hide_name": 0, + "bits": [ 3957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:837.32-837.36" + } + }, + "n3dc": { + "hide_name": 0, + "bits": [ 2604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:838.32-838.36" + } + }, + "n3dd": { + "hide_name": 0, + "bits": [ 3018 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:839.32-839.36" + } + }, + "n3de": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:840.32-840.36" + } + }, + "n3df": { + "hide_name": 0, + "bits": [ 3320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:841.32-841.36" + } + }, + "n3e0": { + "hide_name": 0, + "bits": [ 3019 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:842.32-842.36" + } + }, + "n3e1": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:843.32-843.36" + } + }, + "n3e2": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:844.32-844.36" + } + }, + "n3e3": { + "hide_name": 0, + "bits": [ 3020 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:845.32-845.36" + } + }, + "n3e4": { + "hide_name": 0, + "bits": [ 3021 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:846.32-846.36" + } + }, + "n3e5": { + "hide_name": 0, + "bits": [ 3022 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:847.32-847.36" + } + }, + "n3e6": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:848.32-848.36" + } + }, + "n3e7": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:849.32-849.36" + } + }, + "n3e8": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:850.32-850.36" + } + }, + "n3e9": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:851.32-851.36" + } + }, + "n3ea": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:852.32-852.36" + } + }, + "n3eb": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:853.32-853.36" + } + }, + "n3ec": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:854.32-854.36" + } + }, + "n3ed": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:855.32-855.36" + } + }, + "n3ee": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:856.32-856.36" + } + }, + "n3ef": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:857.32-857.36" + } + }, + "n3f0": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:858.32-858.36" + } + }, + "n3f1": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:859.32-859.36" + } + }, + "n3f2": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:860.32-860.36" + } + }, + "n3f3": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:861.32-861.36" + } + }, + "n3f4": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:862.32-862.36" + } + }, + "n3f5": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:863.32-863.36" + } + }, + "n3f6": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:864.32-864.36" + } + }, + "n3f7": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:865.32-865.36" + } + }, + "n3f8": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:866.32-866.36" + } + }, + "n3f9": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:867.32-867.36" + } + }, + "n3fa": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:868.32-868.36" + } + }, + "n3fb": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:869.32-869.36" + } + }, + "n3fc": { + "hide_name": 0, + "bits": [ 3549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:870.32-870.36" + } + }, + "n3fd": { + "hide_name": 0, + "bits": [ 3958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:871.32-871.36" + } + }, + "n3fe": { + "hide_name": 0, + "bits": [ 3959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:872.32-872.36" + } + }, + "n3ff": { + "hide_name": 0, + "bits": [ 3024 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:873.32-873.36" + } + }, + "n400": { + "hide_name": 0, + "bits": [ 3023 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:874.32-874.36" + } + }, + "n401": { + "hide_name": 0, + "bits": [ 3025 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:875.32-875.36" + } + }, + "n402": { + "hide_name": 0, + "bits": [ 3960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:876.32-876.36" + } + }, + "n403": { + "hide_name": 0, + "bits": [ 3548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:877.32-877.36" + } + }, + "n404": { + "hide_name": 0, + "bits": [ 3029 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:878.32-878.36" + } + }, + "n405": { + "hide_name": 0, + "bits": [ 2608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:879.32-879.36" + } + }, + "n406": { + "hide_name": 0, + "bits": [ 3321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:880.32-880.36" + } + }, + "n407": { + "hide_name": 0, + "bits": [ 2610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:881.32-881.36" + } + }, + "n408": { + "hide_name": 0, + "bits": [ 2607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:882.32-882.36" + } + }, + "n409": { + "hide_name": 0, + "bits": [ 2605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:883.32-883.36" + } + }, + "n40a": { + "hide_name": 0, + "bits": [ 3026 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:884.32-884.36" + } + }, + "n40b": { + "hide_name": 0, + "bits": [ 3027 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:885.32-885.36" + } + }, + "n40c": { + "hide_name": 0, + "bits": [ 2606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:886.32-886.36" + } + }, + "n40d": { + "hide_name": 0, + "bits": [ 2611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:887.32-887.36" + } + }, + "n40e": { + "hide_name": 0, + "bits": [ 3030 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:888.32-888.36" + } + }, + "n40f": { + "hide_name": 0, + "bits": [ 2609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:889.32-889.36" + } + }, + "n410": { + "hide_name": 0, + "bits": [ 3031 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:890.32-890.36" + } + }, + "n411": { + "hide_name": 0, + "bits": [ 2612 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:891.32-891.36" + } + }, + "n412": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:892.32-892.36" + } + }, + "n413": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:893.32-893.36" + } + }, + "n414": { + "hide_name": 0, + "bits": [ 3546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:894.32-894.36" + } + }, + "n415": { + "hide_name": 0, + "bits": [ 3033 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:895.32-895.36" + } + }, + "n416": { + "hide_name": 0, + "bits": [ 3547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:896.32-896.36" + } + }, + "n417": { + "hide_name": 0, + "bits": [ 3032 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:897.32-897.36" + } + }, + "n418": { + "hide_name": 0, + "bits": [ 3322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:898.32-898.36" + } + }, + "n419": { + "hide_name": 0, + "bits": [ 3550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:899.32-899.36" + } + }, + "n41a": { + "hide_name": 0, + "bits": [ 3034 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:900.32-900.36" + } + }, + "n41b": { + "hide_name": 0, + "bits": [ 3035 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:901.32-901.36" + } + }, + "n41c": { + "hide_name": 0, + "bits": [ 3551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:902.32-902.36" + } + }, + "n41d": { + "hide_name": 0, + "bits": [ 3036 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:903.32-903.36" + } + }, + "n41e": { + "hide_name": 0, + "bits": [ 3961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:904.32-904.36" + } + }, + "n41f": { + "hide_name": 0, + "bits": [ 3962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:905.32-905.36" + } + }, + "n420": { + "hide_name": 0, + "bits": [ 3324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:906.32-906.36" + } + }, + "n421": { + "hide_name": 0, + "bits": [ 3037 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:907.32-907.36" + } + }, + "n422": { + "hide_name": 0, + "bits": [ 3323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:908.32-908.36" + } + }, + "n423": { + "hide_name": 0, + "bits": [ 2613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:909.32-909.36" + } + }, + "n424": { + "hide_name": 0, + "bits": [ 3553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:910.32-910.36" + } + }, + "n425": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:911.32-911.36" + } + }, + "n426": { + "hide_name": 0, + "bits": [ 3552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:912.32-912.36" + } + }, + "n427": { + "hide_name": 0, + "bits": [ 3326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:913.32-913.36" + } + }, + "n428": { + "hide_name": 0, + "bits": [ 3824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:914.32-914.36" + } + }, + "n429": { + "hide_name": 0, + "bits": [ 3823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:915.32-915.36" + } + }, + "n42a": { + "hide_name": 0, + "bits": [ 3041 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:916.32-916.36" + } + }, + "n42b": { + "hide_name": 0, + "bits": [ 3558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:917.32-917.36" + } + }, + "n42c": { + "hide_name": 0, + "bits": [ 2619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:918.32-918.36" + } + }, + "n42d": { + "hide_name": 0, + "bits": [ 3554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:919.32-919.36" + } + }, + "n42e": { + "hide_name": 0, + "bits": [ 3963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:920.32-920.36" + } + }, + "n42f": { + "hide_name": 0, + "bits": [ 3964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:921.32-921.36" + } + }, + "n430": { + "hide_name": 0, + "bits": [ 3329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:922.32-922.36" + } + }, + "n431": { + "hide_name": 0, + "bits": [ 3328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:923.32-923.36" + } + }, + "n432": { + "hide_name": 0, + "bits": [ 3330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:924.32-924.36" + } + }, + "n433": { + "hide_name": 0, + "bits": [ 3327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:925.32-925.36" + } + }, + "n434": { + "hide_name": 0, + "bits": [ 3038 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:926.32-926.36" + } + }, + "n435": { + "hide_name": 0, + "bits": [ 3039 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:927.32-927.36" + } + }, + "n436": { + "hide_name": 0, + "bits": [ 2614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:928.32-928.36" + } + }, + "n437": { + "hide_name": 0, + "bits": [ 3555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:929.32-929.36" + } + }, + "n438": { + "hide_name": 0, + "bits": [ 3040 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:930.32-930.36" + } + }, + "n439": { + "hide_name": 0, + "bits": [ 2616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:931.32-931.36" + } + }, + "n43a": { + "hide_name": 0, + "bits": [ 2615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:932.32-932.36" + } + }, + "n43b": { + "hide_name": 0, + "bits": [ 3042 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:933.32-933.36" + } + }, + "n43c": { + "hide_name": 0, + "bits": [ 3043 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:934.32-934.36" + } + }, + "n43d": { + "hide_name": 0, + "bits": [ 3561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:935.32-935.36" + } + }, + "n43e": { + "hide_name": 0, + "bits": [ 3556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:936.32-936.36" + } + }, + "n43f": { + "hide_name": 0, + "bits": [ 3557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:937.32-937.36" + } + }, + "n440": { + "hide_name": 0, + "bits": [ 3559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:938.32-938.36" + } + }, + "n441": { + "hide_name": 0, + "bits": [ 3965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:939.32-939.36" + } + }, + "n442": { + "hide_name": 0, + "bits": [ 2620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:940.32-940.36" + } + }, + "n443": { + "hide_name": 0, + "bits": [ 594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:941.32-941.36" + } + }, + "n444": { + "hide_name": 0, + "bits": [ 596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:942.32-942.36" + } + }, + "n445": { + "hide_name": 0, + "bits": [ 2626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:943.32-943.36" + } + }, + "n446": { + "hide_name": 0, + "bits": [ 2621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:944.32-944.36" + } + }, + "n447": { + "hide_name": 0, + "bits": [ 2622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:945.32-945.36" + } + }, + "n448": { + "hide_name": 0, + "bits": [ 3046 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:946.32-946.36" + } + }, + "n449": { + "hide_name": 0, + "bits": [ 3562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:947.32-947.36" + } + }, + "n44a": { + "hide_name": 0, + "bits": [ 3563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:948.32-948.36" + } + }, + "n44b": { + "hide_name": 0, + "bits": [ 2623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:949.32-949.36" + } + }, + "n44c": { + "hide_name": 0, + "bits": [ 2624 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:950.32-950.36" + } + }, + "n44d": { + "hide_name": 0, + "bits": [ 3047 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:951.32-951.36" + } + }, + "n44e": { + "hide_name": 0, + "bits": [ 3048 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:952.32-952.36" + } + }, + "n44f": { + "hide_name": 0, + "bits": [ 2625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:953.32-953.36" + } + }, + "n450": { + "hide_name": 0, + "bits": [ 1774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:954.32-954.36" + } + }, + "n451": { + "hide_name": 0, + "bits": [ 1315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:955.32-955.36" + } + }, + "n452": { + "hide_name": 0, + "bits": [ 1469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:956.32-956.36" + } + }, + "n453": { + "hide_name": 0, + "bits": [ 1045 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:957.32-957.36" + } + }, + "n454": { + "hide_name": 0, + "bits": [ 3795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:958.32-958.36" + } + }, + "n455": { + "hide_name": 0, + "bits": [ 1042 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:959.32-959.36" + } + }, + "n456": { + "hide_name": 0, + "bits": [ 1050 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:960.32-960.36" + } + }, + "n457": { + "hide_name": 0, + "bits": [ 1054 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:961.32-961.36" + } + }, + "n458": { + "hide_name": 0, + "bits": [ 1036 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:962.32-962.36" + } + }, + "n459": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:963.32-963.36" + } + }, + "n45a": { + "hide_name": 0, + "bits": [ 2630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:964.32-964.36" + } + }, + "n45b": { + "hide_name": 0, + "bits": [ 2627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:965.32-965.36" + } + }, + "n45c": { + "hide_name": 0, + "bits": [ 3331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:966.32-966.36" + } + }, + "n45d": { + "hide_name": 0, + "bits": [ 1038 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:967.32-967.36" + } + }, + "n45e": { + "hide_name": 0, + "bits": [ 1044 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:968.32-968.36" + } + }, + "n45f": { + "hide_name": 0, + "bits": [ 2628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:969.32-969.36" + } + }, + "n460": { + "hide_name": 0, + "bits": [ 3332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:970.32-970.36" + } + }, + "n461": { + "hide_name": 0, + "bits": [ 2629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:971.32-971.36" + } + }, + "n462": { + "hide_name": 0, + "bits": [ 1040 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:972.32-972.36" + } + }, + "n463": { + "hide_name": 0, + "bits": [ 3049 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:973.32-973.36" + } + }, + "n464": { + "hide_name": 0, + "bits": [ 998 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:974.32-974.36" + } + }, + "n465": { + "hide_name": 0, + "bits": [ 1273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:975.32-975.36" + } + }, + "n466": { + "hide_name": 0, + "bits": [ 813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:976.32-976.36" + } + }, + "n467": { + "hide_name": 0, + "bits": [ 809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:977.32-977.36" + } + }, + "n468": { + "hide_name": 0, + "bits": [ 2829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:978.32-978.36" + } + }, + "n469": { + "hide_name": 0, + "bits": [ 807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:979.32-979.36" + } + }, + "n46a": { + "hide_name": 0, + "bits": [ 479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:980.32-980.36" + } + }, + "n46b": { + "hide_name": 0, + "bits": [ 3966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:981.32-981.36" + } + }, + "n46c": { + "hide_name": 0, + "bits": [ 1046 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:982.32-982.36" + } + }, + "n46d": { + "hide_name": 0, + "bits": [ 1000 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:983.32-983.36" + } + }, + "n46e": { + "hide_name": 0, + "bits": [ 598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:984.32-984.36" + } + }, + "n46f": { + "hide_name": 0, + "bits": [ 599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:985.32-985.36" + } + }, + "n470": { + "hide_name": 0, + "bits": [ 600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:986.32-986.36" + } + }, + "n471": { + "hide_name": 0, + "bits": [ 602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:987.32-987.36" + } + }, + "n472": { + "hide_name": 0, + "bits": [ 603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:988.32-988.36" + } + }, + "n473": { + "hide_name": 0, + "bits": [ 605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:989.32-989.36" + } + }, + "n474": { + "hide_name": 0, + "bits": [ 3050 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:990.32-990.36" + } + }, + "n475": { + "hide_name": 0, + "bits": [ 604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:991.32-991.36" + } + }, + "n476": { + "hide_name": 0, + "bits": [ 619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:992.32-992.36" + } + }, + "n477": { + "hide_name": 0, + "bits": [ 709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:993.32-993.36" + } + }, + "n478": { + "hide_name": 0, + "bits": [ 3051 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:994.32-994.36" + } + }, + "n479": { + "hide_name": 0, + "bits": [ 2641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:995.32-995.36" + } + }, + "n47a": { + "hide_name": 0, + "bits": [ 609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:996.32-996.36" + } + }, + "n47b": { + "hide_name": 0, + "bits": [ 3058 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:997.32-997.36" + } + }, + "n47c": { + "hide_name": 0, + "bits": [ 996 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:998.32-998.36" + } + }, + "n47d": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:999.32-999.36" + } + }, + "n47e": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1000.32-1000.36" + } + }, + "n47f": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1001.32-1001.36" + } + }, + "n480": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1002.32-1002.36" + } + }, + "n481": { + "hide_name": 0, + "bits": [ 611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1003.32-1003.36" + } + }, + "n482": { + "hide_name": 0, + "bits": [ 580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1004.32-1004.36" + } + }, + "n483": { + "hide_name": 0, + "bits": [ 581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1005.32-1005.36" + } + }, + "n484": { + "hide_name": 0, + "bits": [ 582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1006.32-1006.36" + } + }, + "n485": { + "hide_name": 0, + "bits": [ 583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1007.32-1007.36" + } + }, + "n486": { + "hide_name": 0, + "bits": [ 584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1008.32-1008.36" + } + }, + "n487": { + "hide_name": 0, + "bits": [ 585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1009.32-1009.36" + } + }, + "n488": { + "hide_name": 0, + "bits": [ 3825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1010.32-1010.36" + } + }, + "n489": { + "hide_name": 0, + "bits": [ 586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1011.32-1011.36" + } + }, + "n48a": { + "hide_name": 0, + "bits": [ 587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1012.32-1012.36" + } + }, + "n48b": { + "hide_name": 0, + "bits": [ 588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1013.32-1013.36" + } + }, + "n48c": { + "hide_name": 0, + "bits": [ 589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1014.32-1014.36" + } + }, + "n48d": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1015.32-1015.36" + } + }, + "n48e": { + "hide_name": 0, + "bits": [ 591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1016.32-1016.36" + } + }, + "n48f": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1017.32-1017.36" + } + }, + "n490": { + "hide_name": 0, + "bits": [ 627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1018.32-1018.36" + } + }, + "n491": { + "hide_name": 0, + "bits": [ 628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1019.32-1019.36" + } + }, + "n492": { + "hide_name": 0, + "bits": [ 629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1020.32-1020.36" + } + }, + "n493": { + "hide_name": 0, + "bits": [ 630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1021.32-1021.36" + } + }, + "n494": { + "hide_name": 0, + "bits": [ 631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1022.32-1022.36" + } + }, + "n495": { + "hide_name": 0, + "bits": [ 2631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1023.32-1023.36" + } + }, + "n496": { + "hide_name": 0, + "bits": [ 632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1024.32-1024.36" + } + }, + "n497": { + "hide_name": 0, + "bits": [ 633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1025.32-1025.36" + } + }, + "n498": { + "hide_name": 0, + "bits": [ 634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1026.32-1026.36" + } + }, + "n499": { + "hide_name": 0, + "bits": [ 635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1027.32-1027.36" + } + }, + "n49a": { + "hide_name": 0, + "bits": [ 636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1028.32-1028.36" + } + }, + "n49b": { + "hide_name": 0, + "bits": [ 637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1029.32-1029.36" + } + }, + "n49c": { + "hide_name": 0, + "bits": [ 638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1030.32-1030.36" + } + }, + "n49d": { + "hide_name": 0, + "bits": [ 639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1031.32-1031.36" + } + }, + "n49e": { + "hide_name": 0, + "bits": [ 640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1032.32-1032.36" + } + }, + "n49f": { + "hide_name": 0, + "bits": [ 641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1033.32-1033.36" + } + }, + "n4a0": { + "hide_name": 0, + "bits": [ 642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1034.32-1034.36" + } + }, + "n4a1": { + "hide_name": 0, + "bits": [ 643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1035.32-1035.36" + } + }, + "n4a2": { + "hide_name": 0, + "bits": [ 644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1036.32-1036.36" + } + }, + "n4a3": { + "hide_name": 0, + "bits": [ 645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1037.32-1037.36" + } + }, + "n4a4": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1038.32-1038.36" + } + }, + "n4a5": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1039.32-1039.36" + } + }, + "n4a6": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1040.32-1040.36" + } + }, + "n4a7": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1041.32-1041.36" + } + }, + "n4a8": { + "hide_name": 0, + "bits": [ 3333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1042.32-1042.36" + } + }, + "n4a9": { + "hide_name": 0, + "bits": [ 3335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1043.32-1043.36" + } + }, + "n4aa": { + "hide_name": 0, + "bits": [ 3334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1044.32-1044.36" + } + }, + "n4ab": { + "hide_name": 0, + "bits": [ 2632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1045.32-1045.36" + } + }, + "n4ac": { + "hide_name": 0, + "bits": [ 3336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1046.32-1046.36" + } + }, + "n4ad": { + "hide_name": 0, + "bits": [ 2633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1047.32-1047.36" + } + }, + "n4ae": { + "hide_name": 0, + "bits": [ 2634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1048.32-1048.36" + } + }, + "n4af": { + "hide_name": 0, + "bits": [ 3337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1049.32-1049.36" + } + }, + "n4b0": { + "hide_name": 0, + "bits": [ 2636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1050.32-1050.36" + } + }, + "n4b1": { + "hide_name": 0, + "bits": [ 2635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1051.32-1051.36" + } + }, + "n4b2": { + "hide_name": 0, + "bits": [ 3338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1052.32-1052.36" + } + }, + "n4b3": { + "hide_name": 0, + "bits": [ 805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1053.32-1053.36" + } + }, + "n4b4": { + "hide_name": 0, + "bits": [ 3052 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1054.32-1054.36" + } + }, + "n4b5": { + "hide_name": 0, + "bits": [ 2639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1055.32-1055.36" + } + }, + "n4b6": { + "hide_name": 0, + "bits": [ 2638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1056.32-1056.36" + } + }, + "n4b7": { + "hide_name": 0, + "bits": [ 2645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1057.32-1057.36" + } + }, + "n4b8": { + "hide_name": 0, + "bits": [ 3564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1058.32-1058.36" + } + }, + "n4b9": { + "hide_name": 0, + "bits": [ 2643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1059.32-1059.36" + } + }, + "n4ba": { + "hide_name": 0, + "bits": [ 2640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1060.32-1060.36" + } + }, + "n4bb": { + "hide_name": 0, + "bits": [ 2642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1061.32-1061.36" + } + }, + "n4bc": { + "hide_name": 0, + "bits": [ 3053 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1062.32-1062.36" + } + }, + "n4bd": { + "hide_name": 0, + "bits": [ 2644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1063.32-1063.36" + } + }, + "n4be": { + "hide_name": 0, + "bits": [ 3054 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1064.32-1064.36" + } + }, + "n4bf": { + "hide_name": 0, + "bits": [ 2647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1065.32-1065.36" + } + }, + "n4c0": { + "hide_name": 0, + "bits": [ 3059 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1066.32-1066.36" + } + }, + "n4c1": { + "hide_name": 0, + "bits": [ 2646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1067.32-1067.36" + } + }, + "n4c2": { + "hide_name": 0, + "bits": [ 3339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1068.32-1068.36" + } + }, + "n4c3": { + "hide_name": 0, + "bits": [ 621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1069.32-1069.36" + } + }, + "n4c4": { + "hide_name": 0, + "bits": [ 2648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1070.32-1070.36" + } + }, + "n4c5": { + "hide_name": 0, + "bits": [ 3649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1071.32-1071.36" + } + }, + "n4c6": { + "hide_name": 0, + "bits": [ 2649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1072.32-1072.36" + } + }, + "n4c7": { + "hide_name": 0, + "bits": [ 2650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1073.32-1073.36" + } + }, + "n4c8": { + "hide_name": 0, + "bits": [ 3055 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1074.32-1074.36" + } + }, + "n4c9": { + "hide_name": 0, + "bits": [ 3650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1075.32-1075.36" + } + }, + "n4ca": { + "hide_name": 0, + "bits": [ 3341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1076.32-1076.36" + } + }, + "n4cb": { + "hide_name": 0, + "bits": [ 3340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1077.32-1077.36" + } + }, + "n4cc": { + "hide_name": 0, + "bits": [ 2651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1078.32-1078.36" + } + }, + "n4cd": { + "hide_name": 0, + "bits": [ 3056 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1079.32-1079.36" + } + }, + "n4ce": { + "hide_name": 0, + "bits": [ 2652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1080.32-1080.36" + } + }, + "n4cf": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1081.32-1081.36" + } + }, + "n4d0": { + "hide_name": 0, + "bits": [ 620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1082.32-1082.36" + } + }, + "n4d1": { + "hide_name": 0, + "bits": [ 622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1083.32-1083.36" + } + }, + "n4d2": { + "hide_name": 0, + "bits": [ 623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1084.32-1084.36" + } + }, + "n4d3": { + "hide_name": 0, + "bits": [ 624 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1085.32-1085.36" + } + }, + "n4d4": { + "hide_name": 0, + "bits": [ 625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1086.32-1086.36" + } + }, + "n4d5": { + "hide_name": 0, + "bits": [ 660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1087.32-1087.36" + } + }, + "n4d6": { + "hide_name": 0, + "bits": [ 661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1088.32-1088.36" + } + }, + "n4d7": { + "hide_name": 0, + "bits": [ 3651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1089.32-1089.36" + } + }, + "n4d8": { + "hide_name": 0, + "bits": [ 3652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1090.32-1090.36" + } + }, + "n4d9": { + "hide_name": 0, + "bits": [ 3653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1091.32-1091.36" + } + }, + "n4da": { + "hide_name": 0, + "bits": [ 663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1092.32-1092.36" + } + }, + "n4db": { + "hide_name": 0, + "bits": [ 3654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1093.32-1093.36" + } + }, + "n4dc": { + "hide_name": 0, + "bits": [ 662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1094.32-1094.36" + } + }, + "n4dd": { + "hide_name": 0, + "bits": [ 664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1095.32-1095.36" + } + }, + "n4de": { + "hide_name": 0, + "bits": [ 665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1096.32-1096.36" + } + }, + "n4df": { + "hide_name": 0, + "bits": [ 667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1097.32-1097.36" + } + }, + "n4e0": { + "hide_name": 0, + "bits": [ 2655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1098.32-1098.36" + } + }, + "n4e1": { + "hide_name": 0, + "bits": [ 3064 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1099.32-1099.36" + } + }, + "n4e2": { + "hide_name": 0, + "bits": [ 3567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1100.32-1100.36" + } + }, + "n4e3": { + "hide_name": 0, + "bits": [ 3343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1101.32-1101.36" + } + }, + "n4e4": { + "hide_name": 0, + "bits": [ 666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1102.32-1102.36" + } + }, + "n4e5": { + "hide_name": 0, + "bits": [ 3655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1103.32-1103.36" + } + }, + "n4e6": { + "hide_name": 0, + "bits": [ 3656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1104.32-1104.36" + } + }, + "n4e7": { + "hide_name": 0, + "bits": [ 3657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1105.32-1105.36" + } + }, + "n4e8": { + "hide_name": 0, + "bits": [ 668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1106.32-1106.36" + } + }, + "n4e9": { + "hide_name": 0, + "bits": [ 669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1107.32-1107.36" + } + }, + "n4ea": { + "hide_name": 0, + "bits": [ 670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1108.32-1108.36" + } + }, + "n4eb": { + "hide_name": 0, + "bits": [ 671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1109.32-1109.36" + } + }, + "n4ec": { + "hide_name": 0, + "bits": [ 672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1110.32-1110.36" + } + }, + "n4ed": { + "hide_name": 0, + "bits": [ 673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1111.32-1111.36" + } + }, + "n4ee": { + "hide_name": 0, + "bits": [ 674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1112.32-1112.36" + } + }, + "n4ef": { + "hide_name": 0, + "bits": [ 675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1113.32-1113.36" + } + }, + "n4f0": { + "hide_name": 0, + "bits": [ 676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1114.32-1114.36" + } + }, + "n4f1": { + "hide_name": 0, + "bits": [ 677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1115.32-1115.36" + } + }, + "n4f2": { + "hide_name": 0, + "bits": [ 678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1116.32-1116.36" + } + }, + "n4f3": { + "hide_name": 0, + "bits": [ 679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1117.32-1117.36" + } + }, + "n4f4": { + "hide_name": 0, + "bits": [ 648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1118.32-1118.36" + } + }, + "n4f5": { + "hide_name": 0, + "bits": [ 649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1119.32-1119.36" + } + }, + "n4f6": { + "hide_name": 0, + "bits": [ 650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1120.32-1120.36" + } + }, + "n4f7": { + "hide_name": 0, + "bits": [ 651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1121.32-1121.36" + } + }, + "n4f8": { + "hide_name": 0, + "bits": [ 652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1122.32-1122.36" + } + }, + "n4f9": { + "hide_name": 0, + "bits": [ 653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1123.32-1123.36" + } + }, + "n4fa": { + "hide_name": 0, + "bits": [ 654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1124.32-1124.36" + } + }, + "n4fb": { + "hide_name": 0, + "bits": [ 655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1125.32-1125.36" + } + }, + "n4fc": { + "hide_name": 0, + "bits": [ 656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1126.32-1126.36" + } + }, + "n4fd": { + "hide_name": 0, + "bits": [ 657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1127.32-1127.36" + } + }, + "n4fe": { + "hide_name": 0, + "bits": [ 658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1128.32-1128.36" + } + }, + "n4ff": { + "hide_name": 0, + "bits": [ 659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1129.32-1129.36" + } + }, + "n500": { + "hide_name": 0, + "bits": [ 694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1130.32-1130.36" + } + }, + "n501": { + "hide_name": 0, + "bits": [ 695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1131.32-1131.36" + } + }, + "n502": { + "hide_name": 0, + "bits": [ 696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1132.32-1132.36" + } + }, + "n503": { + "hide_name": 0, + "bits": [ 697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1133.32-1133.36" + } + }, + "n504": { + "hide_name": 0, + "bits": [ 698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1134.32-1134.36" + } + }, + "n505": { + "hide_name": 0, + "bits": [ 699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1135.32-1135.36" + } + }, + "n506": { + "hide_name": 0, + "bits": [ 700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1136.32-1136.36" + } + }, + "n507": { + "hide_name": 0, + "bits": [ 701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1137.32-1137.36" + } + }, + "n508": { + "hide_name": 0, + "bits": [ 3658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1138.32-1138.36" + } + }, + "n509": { + "hide_name": 0, + "bits": [ 3660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1139.32-1139.36" + } + }, + "n50a": { + "hide_name": 0, + "bits": [ 3659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1140.32-1140.36" + } + }, + "n50b": { + "hide_name": 0, + "bits": [ 3661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1141.32-1141.36" + } + }, + "n50c": { + "hide_name": 0, + "bits": [ 2653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1142.32-1142.36" + } + }, + "n50d": { + "hide_name": 0, + "bits": [ 3565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1143.32-1143.36" + } + }, + "n50e": { + "hide_name": 0, + "bits": [ 3060 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1144.32-1144.36" + } + }, + "n50f": { + "hide_name": 0, + "bits": [ 2654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1145.32-1145.36" + } + }, + "n510": { + "hide_name": 0, + "bits": [ 3342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1146.32-1146.36" + } + }, + "n511": { + "hide_name": 0, + "bits": [ 3061 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1147.32-1147.36" + } + }, + "n512": { + "hide_name": 0, + "bits": [ 3566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1148.32-1148.36" + } + }, + "n513": { + "hide_name": 0, + "bits": [ 3662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1149.32-1149.36" + } + }, + "n514": { + "hide_name": 0, + "bits": [ 3663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1150.32-1150.36" + } + }, + "n515": { + "hide_name": 0, + "bits": [ 3664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1151.32-1151.36" + } + }, + "n516": { + "hide_name": 0, + "bits": [ 2656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1152.32-1152.36" + } + }, + "n517": { + "hide_name": 0, + "bits": [ 2658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1153.32-1153.36" + } + }, + "n518": { + "hide_name": 0, + "bits": [ 2659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1154.32-1154.36" + } + }, + "n519": { + "hide_name": 0, + "bits": [ 3062 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1155.32-1155.36" + } + }, + "n51a": { + "hide_name": 0, + "bits": [ 2657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1156.32-1156.36" + } + }, + "n51b": { + "hide_name": 0, + "bits": [ 3665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1157.32-1157.36" + } + }, + "n51c": { + "hide_name": 0, + "bits": [ 3666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1158.32-1158.36" + } + }, + "n51d": { + "hide_name": 0, + "bits": [ 3063 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1159.32-1159.36" + } + }, + "n51e": { + "hide_name": 0, + "bits": [ 3066 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1160.32-1160.36" + } + }, + "n51f": { + "hide_name": 0, + "bits": [ 3072 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1161.32-1161.36" + } + }, + "n520": { + "hide_name": 0, + "bits": [ 3069 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1162.32-1162.36" + } + }, + "n521": { + "hide_name": 0, + "bits": [ 2660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1163.32-1163.36" + } + }, + "n522": { + "hide_name": 0, + "bits": [ 3065 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1164.32-1164.36" + } + }, + "n523": { + "hide_name": 0, + "bits": [ 3068 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1165.32-1165.36" + } + }, + "n524": { + "hide_name": 0, + "bits": [ 3067 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1166.32-1166.36" + } + }, + "n525": { + "hide_name": 0, + "bits": [ 3071 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1167.32-1167.36" + } + }, + "n526": { + "hide_name": 0, + "bits": [ 3070 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1168.32-1168.36" + } + }, + "n527": { + "hide_name": 0, + "bits": [ 3074 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1169.32-1169.36" + } + }, + "n528": { + "hide_name": 0, + "bits": [ 3073 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1170.32-1170.36" + } + }, + "n529": { + "hide_name": 0, + "bits": [ 705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1171.32-1171.36" + } + }, + "n52a": { + "hide_name": 0, + "bits": [ 3078 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1172.32-1172.36" + } + }, + "n52b": { + "hide_name": 0, + "bits": [ 3667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1173.32-1173.36" + } + }, + "n52c": { + "hide_name": 0, + "bits": [ 3668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1174.32-1174.36" + } + }, + "n52d": { + "hide_name": 0, + "bits": [ 703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1175.32-1175.36" + } + }, + "n52e": { + "hide_name": 0, + "bits": [ 702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1176.32-1176.36" + } + }, + "n52f": { + "hide_name": 0, + "bits": [ 2661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1177.32-1177.36" + } + }, + "n530": { + "hide_name": 0, + "bits": [ 3669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1178.32-1178.36" + } + }, + "n531": { + "hide_name": 0, + "bits": [ 3344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1179.32-1179.36" + } + }, + "n532": { + "hide_name": 0, + "bits": [ 2664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1180.32-1180.36" + } + }, + "n533": { + "hide_name": 0, + "bits": [ 2666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1181.32-1181.36" + } + }, + "n534": { + "hide_name": 0, + "bits": [ 2665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1182.32-1182.36" + } + }, + "n535": { + "hide_name": 0, + "bits": [ 704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1183.32-1183.36" + } + }, + "n536": { + "hide_name": 0, + "bits": [ 706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1184.32-1184.36" + } + }, + "n537": { + "hide_name": 0, + "bits": [ 707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1185.32-1185.36" + } + }, + "n538": { + "hide_name": 0, + "bits": [ 708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1186.32-1186.36" + } + }, + "n539": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1187.32-1187.36" + } + }, + "n53a": { + "hide_name": 0, + "bits": [ 710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1188.32-1188.36" + } + }, + "n53b": { + "hide_name": 0, + "bits": [ 711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1189.32-1189.36" + } + }, + "n53c": { + "hide_name": 0, + "bits": [ 712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1190.32-1190.36" + } + }, + "n53d": { + "hide_name": 0, + "bits": [ 713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1191.32-1191.36" + } + }, + "n53e": { + "hide_name": 0, + "bits": [ 682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1192.32-1192.36" + } + }, + "n53f": { + "hide_name": 0, + "bits": [ 683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1193.32-1193.36" + } + }, + "n540": { + "hide_name": 0, + "bits": [ 2667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1194.32-1194.36" + } + }, + "n541": { + "hide_name": 0, + "bits": [ 2668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1195.32-1195.36" + } + }, + "n542": { + "hide_name": 0, + "bits": [ 3345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1196.32-1196.36" + } + }, + "n543": { + "hide_name": 0, + "bits": [ 3077 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1197.32-1197.36" + } + }, + "n544": { + "hide_name": 0, + "bits": [ 684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1198.32-1198.36" + } + }, + "n545": { + "hide_name": 0, + "bits": [ 685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1199.32-1199.36" + } + }, + "n546": { + "hide_name": 0, + "bits": [ 686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1200.32-1200.36" + } + }, + "n547": { + "hide_name": 0, + "bits": [ 687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1201.32-1201.36" + } + }, + "n548": { + "hide_name": 0, + "bits": [ 688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1202.32-1202.36" + } + }, + "n549": { + "hide_name": 0, + "bits": [ 689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1203.32-1203.36" + } + }, + "n54a": { + "hide_name": 0, + "bits": [ 690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1204.32-1204.36" + } + }, + "n54b": { + "hide_name": 0, + "bits": [ 691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1205.32-1205.36" + } + }, + "n54c": { + "hide_name": 0, + "bits": [ 692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1206.32-1206.36" + } + }, + "n54d": { + "hide_name": 0, + "bits": [ 693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1207.32-1207.36" + } + }, + "n54e": { + "hide_name": 0, + "bits": [ 3670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1208.32-1208.36" + } + }, + "n54f": { + "hide_name": 0, + "bits": [ 801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1209.32-1209.36" + } + }, + "n550": { + "hide_name": 0, + "bits": [ 3350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1210.32-1210.36" + } + }, + "n551": { + "hide_name": 0, + "bits": [ 3671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1211.32-1211.36" + } + }, + "n552": { + "hide_name": 0, + "bits": [ 3672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1212.32-1212.36" + } + }, + "n553": { + "hide_name": 0, + "bits": [ 803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1213.32-1213.36" + } + }, + "n554": { + "hide_name": 0, + "bits": [ 761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1214.32-1214.36" + } + }, + "n555": { + "hide_name": 0, + "bits": [ 729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1215.32-1215.36" + } + }, + "n556": { + "hide_name": 0, + "bits": [ 3673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1216.32-1216.36" + } + }, + "n557": { + "hide_name": 0, + "bits": [ 3568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1217.32-1217.36" + } + }, + "n558": { + "hide_name": 0, + "bits": [ 797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1218.32-1218.36" + } + }, + "n559": { + "hide_name": 0, + "bits": [ 3826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1219.32-1219.36" + } + }, + "n55a": { + "hide_name": 0, + "bits": [ 3674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1220.32-1220.36" + } + }, + "n55b": { + "hide_name": 0, + "bits": [ 3675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1221.32-1221.36" + } + }, + "n55c": { + "hide_name": 0, + "bits": [ 3676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1222.32-1222.36" + } + }, + "n55d": { + "hide_name": 0, + "bits": [ 3569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1223.32-1223.36" + } + }, + "n55e": { + "hide_name": 0, + "bits": [ 3677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1224.32-1224.36" + } + }, + "n55f": { + "hide_name": 0, + "bits": [ 3570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1225.32-1225.36" + } + }, + "n560": { + "hide_name": 0, + "bits": [ 3075 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1226.32-1226.36" + } + }, + "n561": { + "hide_name": 0, + "bits": [ 2670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1227.32-1227.36" + } + }, + "n562": { + "hide_name": 0, + "bits": [ 3678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1228.32-1228.36" + } + }, + "n563": { + "hide_name": 0, + "bits": [ 3680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1229.32-1229.36" + } + }, + "n564": { + "hide_name": 0, + "bits": [ 2671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1230.32-1230.36" + } + }, + "n565": { + "hide_name": 0, + "bits": [ 3076 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1231.32-1231.36" + } + }, + "n566": { + "hide_name": 0, + "bits": [ 2669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1232.32-1232.36" + } + }, + "n567": { + "hide_name": 0, + "bits": [ 802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1233.32-1233.36" + } + }, + "n568": { + "hide_name": 0, + "bits": [ 760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1234.32-1234.36" + } + }, + "n569": { + "hide_name": 0, + "bits": [ 2673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1235.32-1235.36" + } + }, + "n56a": { + "hide_name": 0, + "bits": [ 2674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1236.32-1236.36" + } + }, + "n56b": { + "hide_name": 0, + "bits": [ 2672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1237.32-1237.36" + } + }, + "n56c": { + "hide_name": 0, + "bits": [ 796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1238.32-1238.36" + } + }, + "n56d": { + "hide_name": 0, + "bits": [ 2678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1239.32-1239.36" + } + }, + "n56e": { + "hide_name": 0, + "bits": [ 3571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1240.32-1240.36" + } + }, + "n56f": { + "hide_name": 0, + "bits": [ 3572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1241.32-1241.36" + } + }, + "n570": { + "hide_name": 0, + "bits": [ 3346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1242.32-1242.36" + } + }, + "n571": { + "hide_name": 0, + "bits": [ 798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1243.32-1243.36" + } + }, + "n572": { + "hide_name": 0, + "bits": [ 3079 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1244.32-1244.36" + } + }, + "n573": { + "hide_name": 0, + "bits": [ 2679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1245.32-1245.36" + } + }, + "n574": { + "hide_name": 0, + "bits": [ 2675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1246.32-1246.36" + } + }, + "n575": { + "hide_name": 0, + "bits": [ 2676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1247.32-1247.36" + } + }, + "n576": { + "hide_name": 0, + "bits": [ 2677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1248.32-1248.36" + } + }, + "n577": { + "hide_name": 0, + "bits": [ 804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1249.32-1249.36" + } + }, + "n578": { + "hide_name": 0, + "bits": [ 3080 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1250.32-1250.36" + } + }, + "n579": { + "hide_name": 0, + "bits": [ 800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1251.32-1251.36" + } + }, + "n57a": { + "hide_name": 0, + "bits": [ 728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1252.32-1252.36" + } + }, + "n57b": { + "hide_name": 0, + "bits": [ 799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1253.32-1253.36" + } + }, + "n57c": { + "hide_name": 0, + "bits": [ 730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1254.32-1254.36" + } + }, + "n57d": { + "hide_name": 0, + "bits": [ 731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1255.32-1255.36" + } + }, + "n57e": { + "hide_name": 0, + "bits": [ 732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1256.32-1256.36" + } + }, + "n57f": { + "hide_name": 0, + "bits": [ 733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1257.32-1257.36" + } + }, + "n580": { + "hide_name": 0, + "bits": [ 734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1258.32-1258.36" + } + }, + "n581": { + "hide_name": 0, + "bits": [ 735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1259.32-1259.36" + } + }, + "n582": { + "hide_name": 0, + "bits": [ 736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1260.32-1260.36" + } + }, + "n583": { + "hide_name": 0, + "bits": [ 737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1261.32-1261.36" + } + }, + "n584": { + "hide_name": 0, + "bits": [ 738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1262.32-1262.36" + } + }, + "n585": { + "hide_name": 0, + "bits": [ 739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1263.32-1263.36" + } + }, + "n586": { + "hide_name": 0, + "bits": [ 740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1264.32-1264.36" + } + }, + "n587": { + "hide_name": 0, + "bits": [ 741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1265.32-1265.36" + } + }, + "n588": { + "hide_name": 0, + "bits": [ 742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1266.32-1266.36" + } + }, + "n589": { + "hide_name": 0, + "bits": [ 743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1267.32-1267.36" + } + }, + "n58a": { + "hide_name": 0, + "bits": [ 744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1268.32-1268.36" + } + }, + "n58b": { + "hide_name": 0, + "bits": [ 745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1269.32-1269.36" + } + }, + "n58c": { + "hide_name": 0, + "bits": [ 746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1270.32-1270.36" + } + }, + "n58d": { + "hide_name": 0, + "bits": [ 747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1271.32-1271.36" + } + }, + "n58e": { + "hide_name": 0, + "bits": [ 716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1272.32-1272.36" + } + }, + "n58f": { + "hide_name": 0, + "bits": [ 717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1273.32-1273.36" + } + }, + "n590": { + "hide_name": 0, + "bits": [ 718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1274.32-1274.36" + } + }, + "n591": { + "hide_name": 0, + "bits": [ 719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1275.32-1275.36" + } + }, + "n592": { + "hide_name": 0, + "bits": [ 720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1276.32-1276.36" + } + }, + "n593": { + "hide_name": 0, + "bits": [ 721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1277.32-1277.36" + } + }, + "n594": { + "hide_name": 0, + "bits": [ 757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1278.32-1278.36" + } + }, + "n595": { + "hide_name": 0, + "bits": [ 753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1279.32-1279.36" + } + }, + "n596": { + "hide_name": 0, + "bits": [ 3681 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1280.32-1280.36" + } + }, + "n597": { + "hide_name": 0, + "bits": [ 3682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1281.32-1281.36" + } + }, + "n598": { + "hide_name": 0, + "bits": [ 759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1282.32-1282.36" + } + }, + "n599": { + "hide_name": 0, + "bits": [ 2680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1283.32-1283.36" + } + }, + "n59a": { + "hide_name": 0, + "bits": [ 751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1284.32-1284.36" + } + }, + "n59b": { + "hide_name": 0, + "bits": [ 3083 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1285.32-1285.36" + } + }, + "n59c": { + "hide_name": 0, + "bits": [ 3082 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1286.32-1286.36" + } + }, + "n59d": { + "hide_name": 0, + "bits": [ 3081 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1287.32-1287.36" + } + }, + "n59e": { + "hide_name": 0, + "bits": [ 3574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1288.32-1288.36" + } + }, + "n59f": { + "hide_name": 0, + "bits": [ 3683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1289.32-1289.36" + } + }, + "n5a0": { + "hide_name": 0, + "bits": [ 3684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1290.32-1290.36" + } + }, + "n5a1": { + "hide_name": 0, + "bits": [ 3573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1291.32-1291.36" + } + }, + "n5a2": { + "hide_name": 0, + "bits": [ 3575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1292.32-1292.36" + } + }, + "n5a3": { + "hide_name": 0, + "bits": [ 752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1293.32-1293.36" + } + }, + "n5a4": { + "hide_name": 0, + "bits": [ 3347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1294.32-1294.36" + } + }, + "n5a5": { + "hide_name": 0, + "bits": [ 3084 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1295.32-1295.36" + } + }, + "n5a6": { + "hide_name": 0, + "bits": [ 3085 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1296.32-1296.36" + } + }, + "n5a7": { + "hide_name": 0, + "bits": [ 3348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1297.32-1297.36" + } + }, + "n5a8": { + "hide_name": 0, + "bits": [ 754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1298.32-1298.36" + } + }, + "n5a9": { + "hide_name": 0, + "bits": [ 3686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1299.32-1299.36" + } + }, + "n5aa": { + "hide_name": 0, + "bits": [ 3687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1300.32-1300.36" + } + }, + "n5ab": { + "hide_name": 0, + "bits": [ 750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1301.32-1301.36" + } + }, + "n5ac": { + "hide_name": 0, + "bits": [ 2681 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1302.32-1302.36" + } + }, + "n5ad": { + "hide_name": 0, + "bits": [ 2682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1303.32-1303.36" + } + }, + "n5ae": { + "hide_name": 0, + "bits": [ 3349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1304.32-1304.36" + } + }, + "n5af": { + "hide_name": 0, + "bits": [ 756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1305.32-1305.36" + } + }, + "n5b0": { + "hide_name": 0, + "bits": [ 722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1306.32-1306.36" + } + }, + "n5b1": { + "hide_name": 0, + "bits": [ 723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1307.32-1307.36" + } + }, + "n5b2": { + "hide_name": 0, + "bits": [ 724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1308.32-1308.36" + } + }, + "n5b3": { + "hide_name": 0, + "bits": [ 725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1309.32-1309.36" + } + }, + "n5b4": { + "hide_name": 0, + "bits": [ 726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1310.32-1310.36" + } + }, + "n5b5": { + "hide_name": 0, + "bits": [ 727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1311.32-1311.36" + } + }, + "n5b6": { + "hide_name": 0, + "bits": [ 762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1312.32-1312.36" + } + }, + "n5b7": { + "hide_name": 0, + "bits": [ 763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1313.32-1313.36" + } + }, + "n5b8": { + "hide_name": 0, + "bits": [ 764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1314.32-1314.36" + } + }, + "n5b9": { + "hide_name": 0, + "bits": [ 765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1315.32-1315.36" + } + }, + "n5ba": { + "hide_name": 0, + "bits": [ 2691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1316.32-1316.36" + } + }, + "n5bb": { + "hide_name": 0, + "bits": [ 3351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1317.32-1317.36" + } + }, + "n5bc": { + "hide_name": 0, + "bits": [ 3352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1318.32-1318.36" + } + }, + "n5bd": { + "hide_name": 0, + "bits": [ 3089 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1319.32-1319.36" + } + }, + "n5be": { + "hide_name": 0, + "bits": [ 2683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1320.32-1320.36" + } + }, + "n5bf": { + "hide_name": 0, + "bits": [ 3087 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1321.32-1321.36" + } + }, + "n5c0": { + "hide_name": 0, + "bits": [ 2684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1322.32-1322.36" + } + }, + "n5c1": { + "hide_name": 0, + "bits": [ 3086 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1323.32-1323.36" + } + }, + "n5c2": { + "hide_name": 0, + "bits": [ 3088 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1324.32-1324.36" + } + }, + "n5c3": { + "hide_name": 0, + "bits": [ 766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1325.32-1325.36" + } + }, + "n5c4": { + "hide_name": 0, + "bits": [ 767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1326.32-1326.36" + } + }, + "n5c5": { + "hide_name": 0, + "bits": [ 768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1327.32-1327.36" + } + }, + "n5c6": { + "hide_name": 0, + "bits": [ 769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1328.32-1328.36" + } + }, + "n5c7": { + "hide_name": 0, + "bits": [ 770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1329.32-1329.36" + } + }, + "n5c8": { + "hide_name": 0, + "bits": [ 771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1330.32-1330.36" + } + }, + "n5c9": { + "hide_name": 0, + "bits": [ 772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1331.32-1331.36" + } + }, + "n5ca": { + "hide_name": 0, + "bits": [ 773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1332.32-1332.36" + } + }, + "n5cb": { + "hide_name": 0, + "bits": [ 774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1333.32-1333.36" + } + }, + "n5cc": { + "hide_name": 0, + "bits": [ 775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1334.32-1334.36" + } + }, + "n5cd": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1335.32-1335.36" + } + }, + "n5ce": { + "hide_name": 0, + "bits": [ 777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1336.32-1336.36" + } + }, + "n5cf": { + "hide_name": 0, + "bits": [ 778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1337.32-1337.36" + } + }, + "n5d0": { + "hide_name": 0, + "bits": [ 779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1338.32-1338.36" + } + }, + "n5d1": { + "hide_name": 0, + "bits": [ 780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1339.32-1339.36" + } + }, + "n5d2": { + "hide_name": 0, + "bits": [ 781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1340.32-1340.36" + } + }, + "n5d3": { + "hide_name": 0, + "bits": [ 3576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1341.32-1341.36" + } + }, + "n5d4": { + "hide_name": 0, + "bits": [ 3579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1342.32-1342.36" + } + }, + "n5d5": { + "hide_name": 0, + "bits": [ 3353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1343.32-1343.36" + } + }, + "n5d6": { + "hide_name": 0, + "bits": [ 758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1344.32-1344.36" + } + }, + "n5d7": { + "hide_name": 0, + "bits": [ 3354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1345.32-1345.36" + } + }, + "n5d8": { + "hide_name": 0, + "bits": [ 3688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1346.32-1346.36" + } + }, + "n5d9": { + "hide_name": 0, + "bits": [ 3356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1347.32-1347.36" + } + }, + "n5da": { + "hide_name": 0, + "bits": [ 3355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1348.32-1348.36" + } + }, + "n5db": { + "hide_name": 0, + "bits": [ 3580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1349.32-1349.36" + } + }, + "n5dc": { + "hide_name": 0, + "bits": [ 2695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1350.32-1350.36" + } + }, + "n5dd": { + "hide_name": 0, + "bits": [ 3358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1351.32-1351.36" + } + }, + "n5de": { + "hide_name": 0, + "bits": [ 755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1352.32-1352.36" + } + }, + "n5df": { + "hide_name": 0, + "bits": [ 2692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1353.32-1353.36" + } + }, + "n5e0": { + "hide_name": 0, + "bits": [ 3689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1354.32-1354.36" + } + }, + "n5e1": { + "hide_name": 0, + "bits": [ 3690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1355.32-1355.36" + } + }, + "n5e2": { + "hide_name": 0, + "bits": [ 2685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1356.32-1356.36" + } + }, + "n5e3": { + "hide_name": 0, + "bits": [ 2686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1357.32-1357.36" + } + }, + "n5e4": { + "hide_name": 0, + "bits": [ 2693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1358.32-1358.36" + } + }, + "n5e5": { + "hide_name": 0, + "bits": [ 2687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1359.32-1359.36" + } + }, + "n5e6": { + "hide_name": 0, + "bits": [ 3090 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1360.32-1360.36" + } + }, + "n5e7": { + "hide_name": 0, + "bits": [ 3097 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1361.32-1361.36" + } + }, + "n5e8": { + "hide_name": 0, + "bits": [ 3357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1362.32-1362.36" + } + }, + "n5e9": { + "hide_name": 0, + "bits": [ 3581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1363.32-1363.36" + } + }, + "n5ea": { + "hide_name": 0, + "bits": [ 3359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1364.32-1364.36" + } + }, + "n5eb": { + "hide_name": 0, + "bits": [ 2694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1365.32-1365.36" + } + }, + "n5ec": { + "hide_name": 0, + "bits": [ 3691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1366.32-1366.36" + } + }, + "n5ed": { + "hide_name": 0, + "bits": [ 2698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1367.32-1367.36" + } + }, + "n5ee": { + "hide_name": 0, + "bits": [ 3692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1368.32-1368.36" + } + }, + "n5ef": { + "hide_name": 0, + "bits": [ 3093 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1369.32-1369.36" + } + }, + "n5f0": { + "hide_name": 0, + "bits": [ 3094 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1370.32-1370.36" + } + }, + "n5f1": { + "hide_name": 0, + "bits": [ 3360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1371.32-1371.36" + } + }, + "n5f2": { + "hide_name": 0, + "bits": [ 3095 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1372.32-1372.36" + } + }, + "n5f3": { + "hide_name": 0, + "bits": [ 3582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1373.32-1373.36" + } + }, + "n5f4": { + "hide_name": 0, + "bits": [ 3096 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1374.32-1374.36" + } + }, + "n5f5": { + "hide_name": 0, + "bits": [ 3583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1375.32-1375.36" + } + }, + "n5f6": { + "hide_name": 0, + "bits": [ 3361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1376.32-1376.36" + } + }, + "n5f7": { + "hide_name": 0, + "bits": [ 3362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1377.32-1377.36" + } + }, + "n5f8": { + "hide_name": 0, + "bits": [ 2696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1378.32-1378.36" + } + }, + "n5f9": { + "hide_name": 0, + "bits": [ 2697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1379.32-1379.36" + } + }, + "n5fa": { + "hide_name": 0, + "bits": [ 2699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1380.32-1380.36" + } + }, + "n5fb": { + "hide_name": 0, + "bits": [ 2700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1381.32-1381.36" + } + }, + "n5fc": { + "hide_name": 0, + "bits": [ 3363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1382.32-1382.36" + } + }, + "n5fd": { + "hide_name": 0, + "bits": [ 806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1383.32-1383.36" + } + }, + "n5fe": { + "hide_name": 0, + "bits": [ 808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1384.32-1384.36" + } + }, + "n5ff": { + "hide_name": 0, + "bits": [ 810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1385.32-1385.36" + } + }, + "n600": { + "hide_name": 0, + "bits": [ 811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1386.32-1386.36" + } + }, + "n601": { + "hide_name": 0, + "bits": [ 812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1387.32-1387.36" + } + }, + "n602": { + "hide_name": 0, + "bits": [ 2701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1388.32-1388.36" + } + }, + "n603": { + "hide_name": 0, + "bits": [ 814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1389.32-1389.36" + } + }, + "n604": { + "hide_name": 0, + "bits": [ 815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1390.32-1390.36" + } + }, + "n605": { + "hide_name": 0, + "bits": [ 784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1391.32-1391.36" + } + }, + "n606": { + "hide_name": 0, + "bits": [ 785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1392.32-1392.36" + } + }, + "n607": { + "hide_name": 0, + "bits": [ 786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1393.32-1393.36" + } + }, + "n608": { + "hide_name": 0, + "bits": [ 787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1394.32-1394.36" + } + }, + "n609": { + "hide_name": 0, + "bits": [ 788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1395.32-1395.36" + } + }, + "n60a": { + "hide_name": 0, + "bits": [ 789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1396.32-1396.36" + } + }, + "n60b": { + "hide_name": 0, + "bits": [ 790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1397.32-1397.36" + } + }, + "n60c": { + "hide_name": 0, + "bits": [ 791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1398.32-1398.36" + } + }, + "n60d": { + "hide_name": 0, + "bits": [ 795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1399.32-1399.36" + } + }, + "n60e": { + "hide_name": 0, + "bits": [ 793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1400.32-1400.36" + } + }, + "n60f": { + "hide_name": 0, + "bits": [ 3122 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1401.32-1401.36" + } + }, + "n610": { + "hide_name": 0, + "bits": [ 792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1402.32-1402.36" + } + }, + "n611": { + "hide_name": 0, + "bits": [ 794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1403.32-1403.36" + } + }, + "n612": { + "hide_name": 0, + "bits": [ 830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1404.32-1404.36" + } + }, + "n613": { + "hide_name": 0, + "bits": [ 831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1405.32-1405.36" + } + }, + "n614": { + "hide_name": 0, + "bits": [ 832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1406.32-1406.36" + } + }, + "n615": { + "hide_name": 0, + "bits": [ 833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1407.32-1407.36" + } + }, + "n616": { + "hide_name": 0, + "bits": [ 834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1408.32-1408.36" + } + }, + "n617": { + "hide_name": 0, + "bits": [ 835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1409.32-1409.36" + } + }, + "n618": { + "hide_name": 0, + "bits": [ 836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1410.32-1410.36" + } + }, + "n619": { + "hide_name": 0, + "bits": [ 837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1411.32-1411.36" + } + }, + "n61a": { + "hide_name": 0, + "bits": [ 838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1412.32-1412.36" + } + }, + "n61b": { + "hide_name": 0, + "bits": [ 839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1413.32-1413.36" + } + }, + "n61c": { + "hide_name": 0, + "bits": [ 840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1414.32-1414.36" + } + }, + "n61d": { + "hide_name": 0, + "bits": [ 841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1415.32-1415.36" + } + }, + "n61e": { + "hide_name": 0, + "bits": [ 842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1416.32-1416.36" + } + }, + "n61f": { + "hide_name": 0, + "bits": [ 843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1417.32-1417.36" + } + }, + "n620": { + "hide_name": 0, + "bits": [ 844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1418.32-1418.36" + } + }, + "n621": { + "hide_name": 0, + "bits": [ 845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1419.32-1419.36" + } + }, + "n622": { + "hide_name": 0, + "bits": [ 846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1420.32-1420.36" + } + }, + "n623": { + "hide_name": 0, + "bits": [ 847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1421.32-1421.36" + } + }, + "n624": { + "hide_name": 0, + "bits": [ 3693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1422.32-1422.36" + } + }, + "n625": { + "hide_name": 0, + "bits": [ 3099 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1423.32-1423.36" + } + }, + "n626": { + "hide_name": 0, + "bits": [ 3098 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1424.32-1424.36" + } + }, + "n627": { + "hide_name": 0, + "bits": [ 2702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1425.32-1425.36" + } + }, + "n628": { + "hide_name": 0, + "bits": [ 3364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1426.32-1426.36" + } + }, + "n629": { + "hide_name": 0, + "bits": [ 3100 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1427.32-1427.36" + } + }, + "n62a": { + "hide_name": 0, + "bits": [ 2703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1428.32-1428.36" + } + }, + "n62b": { + "hide_name": 0, + "bits": [ 2704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1429.32-1429.36" + } + }, + "n62c": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1430.32-1430.36" + } + }, + "n62d": { + "hide_name": 0, + "bits": [ 3694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1431.32-1431.36" + } + }, + "n62e": { + "hide_name": 0, + "bits": [ 848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1432.32-1432.36" + } + }, + "n62f": { + "hide_name": 0, + "bits": [ 849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1433.32-1433.36" + } + }, + "n630": { + "hide_name": 0, + "bits": [ 818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1434.32-1434.36" + } + }, + "n631": { + "hide_name": 0, + "bits": [ 819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1435.32-1435.36" + } + }, + "n632": { + "hide_name": 0, + "bits": [ 820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1436.32-1436.36" + } + }, + "n633": { + "hide_name": 0, + "bits": [ 821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1437.32-1437.36" + } + }, + "n634": { + "hide_name": 0, + "bits": [ 822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1438.32-1438.36" + } + }, + "n635": { + "hide_name": 0, + "bits": [ 823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1439.32-1439.36" + } + }, + "n636": { + "hide_name": 0, + "bits": [ 824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1440.32-1440.36" + } + }, + "n637": { + "hide_name": 0, + "bits": [ 825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1441.32-1441.36" + } + }, + "n638": { + "hide_name": 0, + "bits": [ 826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1442.32-1442.36" + } + }, + "n639": { + "hide_name": 0, + "bits": [ 827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1443.32-1443.36" + } + }, + "n63a": { + "hide_name": 0, + "bits": [ 828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1444.32-1444.36" + } + }, + "n63b": { + "hide_name": 0, + "bits": [ 829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1445.32-1445.36" + } + }, + "n63c": { + "hide_name": 0, + "bits": [ 864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1446.32-1446.36" + } + }, + "n63d": { + "hide_name": 0, + "bits": [ 865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1447.32-1447.36" + } + }, + "n63e": { + "hide_name": 0, + "bits": [ 866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1448.32-1448.36" + } + }, + "n63f": { + "hide_name": 0, + "bits": [ 867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1449.32-1449.36" + } + }, + "n640": { + "hide_name": 0, + "bits": [ 868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1450.32-1450.36" + } + }, + "n641": { + "hide_name": 0, + "bits": [ 869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1451.32-1451.36" + } + }, + "n642": { + "hide_name": 0, + "bits": [ 870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1452.32-1452.36" + } + }, + "n643": { + "hide_name": 0, + "bits": [ 871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1453.32-1453.36" + } + }, + "n644": { + "hide_name": 0, + "bits": [ 872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1454.32-1454.36" + } + }, + "n645": { + "hide_name": 0, + "bits": [ 873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1455.32-1455.36" + } + }, + "n646": { + "hide_name": 0, + "bits": [ 899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1456.32-1456.36" + } + }, + "n647": { + "hide_name": 0, + "bits": [ 3695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1457.32-1457.36" + } + }, + "n648": { + "hide_name": 0, + "bits": [ 3584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1458.32-1458.36" + } + }, + "n649": { + "hide_name": 0, + "bits": [ 3365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1459.32-1459.36" + } + }, + "n64a": { + "hide_name": 0, + "bits": [ 3585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1460.32-1460.36" + } + }, + "n64b": { + "hide_name": 0, + "bits": [ 3366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1461.32-1461.36" + } + }, + "n64c": { + "hide_name": 0, + "bits": [ 3367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1462.32-1462.36" + } + }, + "n64d": { + "hide_name": 0, + "bits": [ 2706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1463.32-1463.36" + } + }, + "n64e": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1464.32-1464.36" + } + }, + "n64f": { + "hide_name": 0, + "bits": [ 2705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1465.32-1465.36" + } + }, + "n650": { + "hide_name": 0, + "bits": [ 3586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1466.32-1466.36" + } + }, + "n651": { + "hide_name": 0, + "bits": [ 3696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1467.32-1467.36" + } + }, + "n652": { + "hide_name": 0, + "bits": [ 3368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1468.32-1468.36" + } + }, + "n653": { + "hide_name": 0, + "bits": [ 3697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1469.32-1469.36" + } + }, + "n654": { + "hide_name": 0, + "bits": [ 2707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1470.32-1470.36" + } + }, + "n655": { + "hide_name": 0, + "bits": [ 2708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1471.32-1471.36" + } + }, + "n656": { + "hide_name": 0, + "bits": [ 2711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1472.32-1472.36" + } + }, + "n657": { + "hide_name": 0, + "bits": [ 2712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1473.32-1473.36" + } + }, + "n658": { + "hide_name": 0, + "bits": [ 3101 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1474.32-1474.36" + } + }, + "n659": { + "hide_name": 0, + "bits": [ 3369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1475.32-1475.36" + } + }, + "n65a": { + "hide_name": 0, + "bits": [ 2709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1476.32-1476.36" + } + }, + "n65b": { + "hide_name": 0, + "bits": [ 3370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1477.32-1477.36" + } + }, + "n65c": { + "hide_name": 0, + "bits": [ 3371 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1478.32-1478.36" + } + }, + "n65d": { + "hide_name": 0, + "bits": [ 881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1479.32-1479.36" + } + }, + "n65e": { + "hide_name": 0, + "bits": [ 875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1480.32-1480.36" + } + }, + "n65f": { + "hide_name": 0, + "bits": [ 874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1481.32-1481.36" + } + }, + "n660": { + "hide_name": 0, + "bits": [ 876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1482.32-1482.36" + } + }, + "n661": { + "hide_name": 0, + "bits": [ 877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1483.32-1483.36" + } + }, + "n662": { + "hide_name": 0, + "bits": [ 878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1484.32-1484.36" + } + }, + "n663": { + "hide_name": 0, + "bits": [ 879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1485.32-1485.36" + } + }, + "n664": { + "hide_name": 0, + "bits": [ 880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1486.32-1486.36" + } + }, + "n665": { + "hide_name": 0, + "bits": [ 882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1487.32-1487.36" + } + }, + "n666": { + "hide_name": 0, + "bits": [ 883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1488.32-1488.36" + } + }, + "n667": { + "hide_name": 0, + "bits": [ 852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1489.32-1489.36" + } + }, + "n668": { + "hide_name": 0, + "bits": [ 853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1490.32-1490.36" + } + }, + "n669": { + "hide_name": 0, + "bits": [ 854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1491.32-1491.36" + } + }, + "n66a": { + "hide_name": 0, + "bits": [ 855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1492.32-1492.36" + } + }, + "n66b": { + "hide_name": 0, + "bits": [ 856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1493.32-1493.36" + } + }, + "n66c": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1494.32-1494.36" + } + }, + "n66d": { + "hide_name": 0, + "bits": [ 858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1495.32-1495.36" + } + }, + "n66e": { + "hide_name": 0, + "bits": [ 859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1496.32-1496.36" + } + }, + "n66f": { + "hide_name": 0, + "bits": [ 860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1497.32-1497.36" + } + }, + "n670": { + "hide_name": 0, + "bits": [ 861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1498.32-1498.36" + } + }, + "n671": { + "hide_name": 0, + "bits": [ 862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1499.32-1499.36" + } + }, + "n672": { + "hide_name": 0, + "bits": [ 863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1500.32-1500.36" + } + }, + "n673": { + "hide_name": 0, + "bits": [ 898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1501.32-1501.36" + } + }, + "n674": { + "hide_name": 0, + "bits": [ 900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1502.32-1502.36" + } + }, + "n675": { + "hide_name": 0, + "bits": [ 901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1503.32-1503.36" + } + }, + "n676": { + "hide_name": 0, + "bits": [ 902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1504.32-1504.36" + } + }, + "n677": { + "hide_name": 0, + "bits": [ 903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1505.32-1505.36" + } + }, + "n678": { + "hide_name": 0, + "bits": [ 904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1506.32-1506.36" + } + }, + "n679": { + "hide_name": 0, + "bits": [ 905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1507.32-1507.36" + } + }, + "n67a": { + "hide_name": 0, + "bits": [ 457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1508.32-1508.36" + } + }, + "n67b": { + "hide_name": 0, + "bits": [ 3588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1509.32-1509.36" + } + }, + "n67c": { + "hide_name": 0, + "bits": [ 906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1510.32-1510.36" + } + }, + "n67d": { + "hide_name": 0, + "bits": [ 907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1511.32-1511.36" + } + }, + "n67e": { + "hide_name": 0, + "bits": [ 908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1512.32-1512.36" + } + }, + "n67f": { + "hide_name": 0, + "bits": [ 909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1513.32-1513.36" + } + }, + "n680": { + "hide_name": 0, + "bits": [ 910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1514.32-1514.36" + } + }, + "n681": { + "hide_name": 0, + "bits": [ 911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1515.32-1515.36" + } + }, + "n682": { + "hide_name": 0, + "bits": [ 912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1516.32-1516.36" + } + }, + "n683": { + "hide_name": 0, + "bits": [ 913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1517.32-1517.36" + } + }, + "n684": { + "hide_name": 0, + "bits": [ 914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1518.32-1518.36" + } + }, + "n685": { + "hide_name": 0, + "bits": [ 915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1519.32-1519.36" + } + }, + "n686": { + "hide_name": 0, + "bits": [ 916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1520.32-1520.36" + } + }, + "n687": { + "hide_name": 0, + "bits": [ 917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1521.32-1521.36" + } + }, + "n688": { + "hide_name": 0, + "bits": [ 886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1522.32-1522.36" + } + }, + "n689": { + "hide_name": 0, + "bits": [ 887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1523.32-1523.36" + } + }, + "n68a": { + "hide_name": 0, + "bits": [ 888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1524.32-1524.36" + } + }, + "n68b": { + "hide_name": 0, + "bits": [ 889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1525.32-1525.36" + } + }, + "n68c": { + "hide_name": 0, + "bits": [ 890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1526.32-1526.36" + } + }, + "n68d": { + "hide_name": 0, + "bits": [ 891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1527.32-1527.36" + } + }, + "n68e": { + "hide_name": 0, + "bits": [ 892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1528.32-1528.36" + } + }, + "n68f": { + "hide_name": 0, + "bits": [ 893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1529.32-1529.36" + } + }, + "n690": { + "hide_name": 0, + "bits": [ 894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1530.32-1530.36" + } + }, + "n691": { + "hide_name": 0, + "bits": [ 895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1531.32-1531.36" + } + }, + "n692": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1532.32-1532.36" + } + }, + "n693": { + "hide_name": 0, + "bits": [ 897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1533.32-1533.36" + } + }, + "n694": { + "hide_name": 0, + "bits": [ 388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1534.32-1534.36" + } + }, + "n695": { + "hide_name": 0, + "bits": [ 389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1535.32-1535.36" + } + }, + "n696": { + "hide_name": 0, + "bits": [ 390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1536.32-1536.36" + } + }, + "n697": { + "hide_name": 0, + "bits": [ 391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1537.32-1537.36" + } + }, + "n698": { + "hide_name": 0, + "bits": [ 392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1538.32-1538.36" + } + }, + "n699": { + "hide_name": 0, + "bits": [ 393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1539.32-1539.36" + } + }, + "n69a": { + "hide_name": 0, + "bits": [ 394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1540.32-1540.36" + } + }, + "n69b": { + "hide_name": 0, + "bits": [ 395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1541.32-1541.36" + } + }, + "n69c": { + "hide_name": 0, + "bits": [ 396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1542.32-1542.36" + } + }, + "n69d": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1543.32-1543.36" + } + }, + "n69e": { + "hide_name": 0, + "bits": [ 399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1544.32-1544.36" + } + }, + "n69f": { + "hide_name": 0, + "bits": [ 2715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1545.32-1545.36" + } + }, + "n6a0": { + "hide_name": 0, + "bits": [ 3102 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1546.32-1546.36" + } + }, + "n6a1": { + "hide_name": 0, + "bits": [ 3372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1547.32-1547.36" + } + }, + "n6a2": { + "hide_name": 0, + "bits": [ 3587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1548.32-1548.36" + } + }, + "n6a3": { + "hide_name": 0, + "bits": [ 3589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1549.32-1549.36" + } + }, + "n6a4": { + "hide_name": 0, + "bits": [ 3698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1550.32-1550.36" + } + }, + "n6a5": { + "hide_name": 0, + "bits": [ 3699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1551.32-1551.36" + } + }, + "n6a6": { + "hide_name": 0, + "bits": [ 3700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1552.32-1552.36" + } + }, + "n6a7": { + "hide_name": 0, + "bits": [ 2713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1553.32-1553.36" + } + }, + "n6a8": { + "hide_name": 0, + "bits": [ 3590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1554.32-1554.36" + } + }, + "n6a9": { + "hide_name": 0, + "bits": [ 2714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1555.32-1555.36" + } + }, + "n6aa": { + "hide_name": 0, + "bits": [ 3373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1556.32-1556.36" + } + }, + "n6ab": { + "hide_name": 0, + "bits": [ 3374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1557.32-1557.36" + } + }, + "n6ac": { + "hide_name": 0, + "bits": [ 2716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1558.32-1558.36" + } + }, + "n6ad": { + "hide_name": 0, + "bits": [ 2718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1559.32-1559.36" + } + }, + "n6ae": { + "hide_name": 0, + "bits": [ 3591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1560.32-1560.36" + } + }, + "n6af": { + "hide_name": 0, + "bits": [ 2717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1561.32-1561.36" + } + }, + "n6b0": { + "hide_name": 0, + "bits": [ 3593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1562.32-1562.36" + } + }, + "n6b1": { + "hide_name": 0, + "bits": [ 2719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1563.32-1563.36" + } + }, + "n6b2": { + "hide_name": 0, + "bits": [ 3375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1564.32-1564.36" + } + }, + "n6b3": { + "hide_name": 0, + "bits": [ 3701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1565.32-1565.36" + } + }, + "n6b4": { + "hide_name": 0, + "bits": [ 3108 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1566.32-1566.36" + } + }, + "n6b5": { + "hide_name": 0, + "bits": [ 3594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1567.32-1567.36" + } + }, + "n6b6": { + "hide_name": 0, + "bits": [ 3378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1568.32-1568.36" + } + }, + "n6b7": { + "hide_name": 0, + "bits": [ 2720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1569.32-1569.36" + } + }, + "n6b8": { + "hide_name": 0, + "bits": [ 2724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1570.32-1570.36" + } + }, + "n6b9": { + "hide_name": 0, + "bits": [ 2730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1571.32-1571.36" + } + }, + "n6ba": { + "hide_name": 0, + "bits": [ 2725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1572.32-1572.36" + } + }, + "n6bb": { + "hide_name": 0, + "bits": [ 2721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1573.32-1573.36" + } + }, + "n6bc": { + "hide_name": 0, + "bits": [ 2722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1574.32-1574.36" + } + }, + "n6bd": { + "hide_name": 0, + "bits": [ 2723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1575.32-1575.36" + } + }, + "n6be": { + "hide_name": 0, + "bits": [ 3103 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1576.32-1576.36" + } + }, + "n6bf": { + "hide_name": 0, + "bits": [ 2726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1577.32-1577.36" + } + }, + "n6c0": { + "hide_name": 0, + "bits": [ 2727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1578.32-1578.36" + } + }, + "n6c1": { + "hide_name": 0, + "bits": [ 2728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1579.32-1579.36" + } + }, + "n6c2": { + "hide_name": 0, + "bits": [ 2729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1580.32-1580.36" + } + }, + "n6c3": { + "hide_name": 0, + "bits": [ 3702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1581.32-1581.36" + } + }, + "n6c4": { + "hide_name": 0, + "bits": [ 3104 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1582.32-1582.36" + } + }, + "n6c5": { + "hide_name": 0, + "bits": [ 2731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1583.32-1583.36" + } + }, + "n6c6": { + "hide_name": 0, + "bits": [ 3106 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1584.32-1584.36" + } + }, + "n6c7": { + "hide_name": 0, + "bits": [ 3377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1585.32-1585.36" + } + }, + "n6c8": { + "hide_name": 0, + "bits": [ 3105 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1586.32-1586.36" + } + }, + "n6c9": { + "hide_name": 0, + "bits": [ 3107 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1587.32-1587.36" + } + }, + "n6ca": { + "hide_name": 0, + "bits": [ 3113 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1588.32-1588.36" + } + }, + "n6cb": { + "hide_name": 0, + "bits": [ 3703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1589.32-1589.36" + } + }, + "n6cc": { + "hide_name": 0, + "bits": [ 3112 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1590.32-1590.36" + } + }, + "n6cd": { + "hide_name": 0, + "bits": [ 3114 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1591.32-1591.36" + } + }, + "n6ce": { + "hide_name": 0, + "bits": [ 3379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1592.32-1592.36" + } + }, + "n6cf": { + "hide_name": 0, + "bits": [ 3704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1593.32-1593.36" + } + }, + "n6d0": { + "hide_name": 0, + "bits": [ 3595 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1594.32-1594.36" + } + }, + "n6d1": { + "hide_name": 0, + "bits": [ 2732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1595.32-1595.36" + } + }, + "n6d2": { + "hide_name": 0, + "bits": [ 3705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1596.32-1596.36" + } + }, + "n6d3": { + "hide_name": 0, + "bits": [ 2733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1597.32-1597.36" + } + }, + "n6d4": { + "hide_name": 0, + "bits": [ 398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1598.32-1598.36" + } + }, + "n6d5": { + "hide_name": 0, + "bits": [ 3600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1599.32-1599.36" + } + }, + "n6d6": { + "hide_name": 0, + "bits": [ 400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1600.32-1600.36" + } + }, + "n6d7": { + "hide_name": 0, + "bits": [ 401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1601.32-1601.36" + } + }, + "n6d8": { + "hide_name": 0, + "bits": [ 402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1602.32-1602.36" + } + }, + "n6d9": { + "hide_name": 0, + "bits": [ 403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1603.32-1603.36" + } + }, + "n6da": { + "hide_name": 0, + "bits": [ 404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1604.32-1604.36" + } + }, + "n6db": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1605.32-1605.36" + } + }, + "n6dc": { + "hide_name": 0, + "bits": [ 406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1606.32-1606.36" + } + }, + "n6dd": { + "hide_name": 0, + "bits": [ 407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1607.32-1607.36" + } + }, + "n6de": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1608.32-1608.36" + } + }, + "n6df": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1609.32-1609.36" + } + }, + "n6e0": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1610.32-1610.36" + } + }, + "n6e1": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1611.32-1611.36" + } + }, + "n6e2": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1612.32-1612.36" + } + }, + "n6e3": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1613.32-1613.36" + } + }, + "n6e4": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1614.32-1614.36" + } + }, + "n6e5": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1615.32-1615.36" + } + }, + "n6e6": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1616.32-1616.36" + } + }, + "n6e7": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1617.32-1617.36" + } + }, + "n6e8": { + "hide_name": 0, + "bits": [ 386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1618.32-1618.36" + } + }, + "n6e9": { + "hide_name": 0, + "bits": [ 387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1619.32-1619.36" + } + }, + "n6ea": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1620.32-1620.36" + } + }, + "n6eb": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1621.32-1621.36" + } + }, + "n6ec": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1622.32-1622.36" + } + }, + "n6ed": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1623.32-1623.36" + } + }, + "n6ee": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1624.32-1624.36" + } + }, + "n6ef": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1625.32-1625.36" + } + }, + "n6f0": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1626.32-1626.36" + } + }, + "n6f1": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1627.32-1627.36" + } + }, + "n6f2": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1628.32-1628.36" + } + }, + "n6f3": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1629.32-1629.36" + } + }, + "n6f4": { + "hide_name": 0, + "bits": [ 3385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1630.32-1630.36" + } + }, + "n6f5": { + "hide_name": 0, + "bits": [ 3382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1631.32-1631.36" + } + }, + "n6f6": { + "hide_name": 0, + "bits": [ 3386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1632.32-1632.36" + } + }, + "n6f7": { + "hide_name": 0, + "bits": [ 2737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1633.32-1633.36" + } + }, + "n6f8": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1634.32-1634.36" + } + }, + "n6f9": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1635.32-1635.36" + } + }, + "n6fa": { + "hide_name": 0, + "bits": [ 434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1636.32-1636.36" + } + }, + "n6fb": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1637.32-1637.36" + } + }, + "n6fc": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1638.32-1638.36" + } + }, + "n6fd": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1639.32-1639.36" + } + }, + "n6fe": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1640.32-1640.36" + } + }, + "n6ff": { + "hide_name": 0, + "bits": [ 439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1641.32-1641.36" + } + }, + "n700": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1642.32-1642.36" + } + }, + "n701": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1643.32-1643.36" + } + }, + "n702": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1644.32-1644.36" + } + }, + "n703": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1645.32-1645.36" + } + }, + "n704": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1646.32-1646.36" + } + }, + "n705": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1647.32-1647.36" + } + }, + "n706": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1648.32-1648.36" + } + }, + "n707": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1649.32-1649.36" + } + }, + "n708": { + "hide_name": 0, + "bits": [ 3706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1650.32-1650.36" + } + }, + "n709": { + "hide_name": 0, + "bits": [ 3116 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1651.32-1651.36" + } + }, + "n70a": { + "hide_name": 0, + "bits": [ 3707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1652.32-1652.36" + } + }, + "n70b": { + "hide_name": 0, + "bits": [ 3596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1653.32-1653.36" + } + }, + "n70c": { + "hide_name": 0, + "bits": [ 2735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1654.32-1654.36" + } + }, + "n70d": { + "hide_name": 0, + "bits": [ 2734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1655.32-1655.36" + } + }, + "n70e": { + "hide_name": 0, + "bits": [ 2736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1656.32-1656.36" + } + }, + "n70f": { + "hide_name": 0, + "bits": [ 419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1657.32-1657.36" + } + }, + "n710": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1658.32-1658.36" + } + }, + "n711": { + "hide_name": 0, + "bits": [ 2740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1659.32-1659.36" + } + }, + "n712": { + "hide_name": 0, + "bits": [ 3115 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1660.32-1660.36" + } + }, + "n713": { + "hide_name": 0, + "bits": [ 3708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1661.32-1661.36" + } + }, + "n714": { + "hide_name": 0, + "bits": [ 3118 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1662.32-1662.36" + } + }, + "n715": { + "hide_name": 0, + "bits": [ 3117 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1663.32-1663.36" + } + }, + "n716": { + "hide_name": 0, + "bits": [ 3380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1664.32-1664.36" + } + }, + "n717": { + "hide_name": 0, + "bits": [ 3597 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1665.32-1665.36" + } + }, + "n718": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1666.32-1666.36" + } + }, + "n719": { + "hide_name": 0, + "bits": [ 2738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1667.32-1667.36" + } + }, + "n71a": { + "hide_name": 0, + "bits": [ 3384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1668.32-1668.36" + } + }, + "n71b": { + "hide_name": 0, + "bits": [ 3598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1669.32-1669.36" + } + }, + "n71c": { + "hide_name": 0, + "bits": [ 2741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1670.32-1670.36" + } + }, + "n71d": { + "hide_name": 0, + "bits": [ 3709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1671.32-1671.36" + } + }, + "n71e": { + "hide_name": 0, + "bits": [ 3381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1672.32-1672.36" + } + }, + "n71f": { + "hide_name": 0, + "bits": [ 3599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1673.32-1673.36" + } + }, + "n720": { + "hide_name": 0, + "bits": [ 3383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1674.32-1674.36" + } + }, + "n721": { + "hide_name": 0, + "bits": [ 3710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1675.32-1675.36" + } + }, + "n722": { + "hide_name": 0, + "bits": [ 3387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1676.32-1676.36" + } + }, + "n723": { + "hide_name": 0, + "bits": [ 3711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1677.32-1677.36" + } + }, + "n724": { + "hide_name": 0, + "bits": [ 2742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1678.32-1678.36" + } + }, + "n725": { + "hide_name": 0, + "bits": [ 2743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1679.32-1679.36" + } + }, + "n726": { + "hide_name": 0, + "bits": [ 2744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1680.32-1680.36" + } + }, + "n727": { + "hide_name": 0, + "bits": [ 2746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1681.32-1681.36" + } + }, + "n728": { + "hide_name": 0, + "bits": [ 2745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1682.32-1682.36" + } + }, + "n729": { + "hide_name": 0, + "bits": [ 3601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1683.32-1683.36" + } + }, + "n72a": { + "hide_name": 0, + "bits": [ 3827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1684.32-1684.36" + } + }, + "n72b": { + "hide_name": 0, + "bits": [ 3712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1685.32-1685.36" + } + }, + "n72c": { + "hide_name": 0, + "bits": [ 3119 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1686.32-1686.36" + } + }, + "n72d": { + "hide_name": 0, + "bits": [ 3120 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1687.32-1687.36" + } + }, + "n72e": { + "hide_name": 0, + "bits": [ 3602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1688.32-1688.36" + } + }, + "n72f": { + "hide_name": 0, + "bits": [ 3603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1689.32-1689.36" + } + }, + "n730": { + "hide_name": 0, + "bits": [ 3604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1690.32-1690.36" + } + }, + "n731": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1691.32-1691.36" + } + }, + "n732": { + "hide_name": 0, + "bits": [ 2747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1692.32-1692.36" + } + }, + "n733": { + "hide_name": 0, + "bits": [ 3388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1693.32-1693.36" + } + }, + "n734": { + "hide_name": 0, + "bits": [ 3713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1694.32-1694.36" + } + }, + "n735": { + "hide_name": 0, + "bits": [ 3606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1695.32-1695.36" + } + }, + "n736": { + "hide_name": 0, + "bits": [ 3605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1696.32-1696.36" + } + }, + "n737": { + "hide_name": 0, + "bits": [ 456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1697.32-1697.36" + } + }, + "n738": { + "hide_name": 0, + "bits": [ 3123 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1698.32-1698.36" + } + }, + "n739": { + "hide_name": 0, + "bits": [ 3124 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1699.32-1699.36" + } + }, + "n73a": { + "hide_name": 0, + "bits": [ 3607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1700.32-1700.36" + } + }, + "n73b": { + "hide_name": 0, + "bits": [ 3390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1701.32-1701.36" + } + }, + "n73c": { + "hide_name": 0, + "bits": [ 2748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1702.32-1702.36" + } + }, + "n73d": { + "hide_name": 0, + "bits": [ 3391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1703.32-1703.36" + } + }, + "n73e": { + "hide_name": 0, + "bits": [ 2749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1704.32-1704.36" + } + }, + "n73f": { + "hide_name": 0, + "bits": [ 3121 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1705.32-1705.36" + } + }, + "n740": { + "hide_name": 0, + "bits": [ 458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1706.32-1706.36" + } + }, + "n741": { + "hide_name": 0, + "bits": [ 459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1707.32-1707.36" + } + }, + "n742": { + "hide_name": 0, + "bits": [ 460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1708.32-1708.36" + } + }, + "n743": { + "hide_name": 0, + "bits": [ 461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1709.32-1709.36" + } + }, + "n744": { + "hide_name": 0, + "bits": [ 462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1710.32-1710.36" + } + }, + "n745": { + "hide_name": 0, + "bits": [ 463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1711.32-1711.36" + } + }, + "n746": { + "hide_name": 0, + "bits": [ 464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1712.32-1712.36" + } + }, + "n747": { + "hide_name": 0, + "bits": [ 465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1713.32-1713.36" + } + }, + "n748": { + "hide_name": 0, + "bits": [ 3608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1714.32-1714.36" + } + }, + "n749": { + "hide_name": 0, + "bits": [ 2765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1715.32-1715.36" + } + }, + "n74a": { + "hide_name": 0, + "bits": [ 466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1716.32-1716.36" + } + }, + "n74b": { + "hide_name": 0, + "bits": [ 467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1717.32-1717.36" + } + }, + "n74c": { + "hide_name": 0, + "bits": [ 468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1718.32-1718.36" + } + }, + "n74d": { + "hide_name": 0, + "bits": [ 469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1719.32-1719.36" + } + }, + "n74e": { + "hide_name": 0, + "bits": [ 470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1720.32-1720.36" + } + }, + "n74f": { + "hide_name": 0, + "bits": [ 471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1721.32-1721.36" + } + }, + "n750": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1722.32-1722.36" + } + }, + "n751": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1723.32-1723.36" + } + }, + "n752": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1724.32-1724.36" + } + }, + "n753": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1725.32-1725.36" + } + }, + "n754": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1726.32-1726.36" + } + }, + "n755": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1727.32-1727.36" + } + }, + "n756": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1728.32-1728.36" + } + }, + "n757": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1729.32-1729.36" + } + }, + "n758": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1730.32-1730.36" + } + }, + "n759": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1731.32-1731.36" + } + }, + "n75a": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1732.32-1732.36" + } + }, + "n75b": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1733.32-1733.36" + } + }, + "n75c": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1734.32-1734.36" + } + }, + "n75d": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1735.32-1735.36" + } + }, + "n75e": { + "hide_name": 0, + "bits": [ 454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1736.32-1736.36" + } + }, + "n75f": { + "hide_name": 0, + "bits": [ 455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1737.32-1737.36" + } + }, + "n760": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1738.32-1738.36" + } + }, + "n761": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1739.32-1739.36" + } + }, + "n762": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1740.32-1740.36" + } + }, + "n763": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1741.32-1741.36" + } + }, + "n764": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1742.32-1742.36" + } + }, + "n765": { + "hide_name": 0, + "bits": [ 495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1743.32-1743.36" + } + }, + "n766": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1744.32-1744.36" + } + }, + "n767": { + "hide_name": 0, + "bits": [ 497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1745.32-1745.36" + } + }, + "n768": { + "hide_name": 0, + "bits": [ 498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1746.32-1746.36" + } + }, + "n769": { + "hide_name": 0, + "bits": [ 499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1747.32-1747.36" + } + }, + "n76a": { + "hide_name": 0, + "bits": [ 500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1748.32-1748.36" + } + }, + "n76b": { + "hide_name": 0, + "bits": [ 501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1749.32-1749.36" + } + }, + "n76c": { + "hide_name": 0, + "bits": [ 502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1750.32-1750.36" + } + }, + "n76d": { + "hide_name": 0, + "bits": [ 503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1751.32-1751.36" + } + }, + "n76e": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1752.32-1752.36" + } + }, + "n76f": { + "hide_name": 0, + "bits": [ 505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1753.32-1753.36" + } + }, + "n770": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1754.32-1754.36" + } + }, + "n771": { + "hide_name": 0, + "bits": [ 507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1755.32-1755.36" + } + }, + "n772": { + "hide_name": 0, + "bits": [ 2750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1756.32-1756.36" + } + }, + "n773": { + "hide_name": 0, + "bits": [ 3714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1757.32-1757.36" + } + }, + "n774": { + "hide_name": 0, + "bits": [ 3715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1758.32-1758.36" + } + }, + "n775": { + "hide_name": 0, + "bits": [ 3609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1759.32-1759.36" + } + }, + "n776": { + "hide_name": 0, + "bits": [ 3716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1760.32-1760.36" + } + }, + "n777": { + "hide_name": 0, + "bits": [ 3610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1761.32-1761.36" + } + }, + "n778": { + "hide_name": 0, + "bits": [ 2754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1762.32-1762.36" + } + }, + "n779": { + "hide_name": 0, + "bits": [ 2752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1763.32-1763.36" + } + }, + "n77a": { + "hide_name": 0, + "bits": [ 3717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1764.32-1764.36" + } + }, + "n77b": { + "hide_name": 0, + "bits": [ 3718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1765.32-1765.36" + } + }, + "n77c": { + "hide_name": 0, + "bits": [ 3125 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1766.32-1766.36" + } + }, + "n77d": { + "hide_name": 0, + "bits": [ 3126 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1767.32-1767.36" + } + }, + "n77e": { + "hide_name": 0, + "bits": [ 2751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1768.32-1768.36" + } + }, + "n77f": { + "hide_name": 0, + "bits": [ 2753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1769.32-1769.36" + } + }, + "n780": { + "hide_name": 0, + "bits": [ 2756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1770.32-1770.36" + } + }, + "n781": { + "hide_name": 0, + "bits": [ 3720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1771.32-1771.36" + } + }, + "n782": { + "hide_name": 0, + "bits": [ 3721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1772.32-1772.36" + } + }, + "n783": { + "hide_name": 0, + "bits": [ 2755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1773.32-1773.36" + } + }, + "n784": { + "hide_name": 0, + "bits": [ 2757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1774.32-1774.36" + } + }, + "n785": { + "hide_name": 0, + "bits": [ 3127 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1775.32-1775.36" + } + }, + "n786": { + "hide_name": 0, + "bits": [ 3128 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1776.32-1776.36" + } + }, + "n787": { + "hide_name": 0, + "bits": [ 3129 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1777.32-1777.36" + } + }, + "n788": { + "hide_name": 0, + "bits": [ 2758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1778.32-1778.36" + } + }, + "n789": { + "hide_name": 0, + "bits": [ 3722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1779.32-1779.36" + } + }, + "n78a": { + "hide_name": 0, + "bits": [ 3133 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1780.32-1780.36" + } + }, + "n78b": { + "hide_name": 0, + "bits": [ 3723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1781.32-1781.36" + } + }, + "n78c": { + "hide_name": 0, + "bits": [ 3724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1782.32-1782.36" + } + }, + "n78d": { + "hide_name": 0, + "bits": [ 3132 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1783.32-1783.36" + } + }, + "n78e": { + "hide_name": 0, + "bits": [ 2761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1784.32-1784.36" + } + }, + "n78f": { + "hide_name": 0, + "bits": [ 3725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1785.32-1785.36" + } + }, + "n790": { + "hide_name": 0, + "bits": [ 2759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1786.32-1786.36" + } + }, + "n791": { + "hide_name": 0, + "bits": [ 3130 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1787.32-1787.36" + } + }, + "n792": { + "hide_name": 0, + "bits": [ 3131 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1788.32-1788.36" + } + }, + "n793": { + "hide_name": 0, + "bits": [ 2760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1789.32-1789.36" + } + }, + "n794": { + "hide_name": 0, + "bits": [ 3392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1790.32-1790.36" + } + }, + "n795": { + "hide_name": 0, + "bits": [ 3393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1791.32-1791.36" + } + }, + "n796": { + "hide_name": 0, + "bits": [ 2762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1792.32-1792.36" + } + }, + "n797": { + "hide_name": 0, + "bits": [ 2764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1793.32-1793.36" + } + }, + "n798": { + "hide_name": 0, + "bits": [ 3611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1794.32-1794.36" + } + }, + "n799": { + "hide_name": 0, + "bits": [ 3134 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1795.32-1795.36" + } + }, + "n79a": { + "hide_name": 0, + "bits": [ 3612 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1796.32-1796.36" + } + }, + "n79b": { + "hide_name": 0, + "bits": [ 3135 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1797.32-1797.36" + } + }, + "n79c": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1798.32-1798.36" + } + }, + "n79d": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1799.32-1799.36" + } + }, + "n79e": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1800.32-1800.36" + } + }, + "n79f": { + "hide_name": 0, + "bits": [ 990 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1801.32-1801.36" + } + }, + "n7a0": { + "hide_name": 0, + "bits": [ 3394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1802.32-1802.36" + } + }, + "n7a1": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1803.32-1803.36" + } + }, + "n7a2": { + "hide_name": 0, + "bits": [ 483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1804.32-1804.36" + } + }, + "n7a3": { + "hide_name": 0, + "bits": [ 1269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1805.32-1805.36" + } + }, + "n7a4": { + "hide_name": 0, + "bits": [ 993 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1806.32-1806.36" + } + }, + "n7a5": { + "hide_name": 0, + "bits": [ 3726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1807.32-1807.36" + } + }, + "n7a6": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1808.32-1808.36" + } + }, + "n7a7": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1809.32-1809.36" + } + }, + "n7a8": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1810.32-1810.36" + } + }, + "n7a9": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1811.32-1811.36" + } + }, + "n7aa": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1812.32-1812.36" + } + }, + "n7ab": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1813.32-1813.36" + } + }, + "n7ac": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1814.32-1814.36" + } + }, + "n7ad": { + "hide_name": 0, + "bits": [ 3749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1815.32-1815.36" + } + }, + "n7ae": { + "hide_name": 0, + "bits": [ 1191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1816.32-1816.36" + } + }, + "n7af": { + "hide_name": 0, + "bits": [ 1162 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1817.32-1817.36" + } + }, + "n7b0": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1818.32-1818.36" + } + }, + "n7b1": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1819.32-1819.36" + } + }, + "n7b2": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1820.32-1820.36" + } + }, + "n7b3": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1821.32-1821.36" + } + }, + "n7b4": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1822.32-1822.36" + } + }, + "n7b5": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1823.32-1823.36" + } + }, + "n7b6": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1824.32-1824.36" + } + }, + "n7b7": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1825.32-1825.36" + } + }, + "n7b8": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1826.32-1826.36" + } + }, + "n7b9": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1827.32-1827.36" + } + }, + "n7ba": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1828.32-1828.36" + } + }, + "n7bb": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1829.32-1829.36" + } + }, + "n7bc": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1830.32-1830.36" + } + }, + "n7bd": { + "hide_name": 0, + "bits": [ 1137 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1831.32-1831.36" + } + }, + "n7be": { + "hide_name": 0, + "bits": [ 1179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1832.32-1832.36" + } + }, + "n7bf": { + "hide_name": 0, + "bits": [ 3613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1833.32-1833.36" + } + }, + "n7c0": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1834.32-1834.36" + } + }, + "n7c1": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1835.32-1835.36" + } + }, + "n7c2": { + "hide_name": 0, + "bits": [ 3615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1836.32-1836.36" + } + }, + "n7c3": { + "hide_name": 0, + "bits": [ 3614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1837.32-1837.36" + } + }, + "n7c4": { + "hide_name": 0, + "bits": [ 3616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1838.32-1838.36" + } + }, + "n7c5": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1839.32-1839.36" + } + }, + "n7c6": { + "hide_name": 0, + "bits": [ 1173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1840.32-1840.36" + } + }, + "n7c7": { + "hide_name": 0, + "bits": [ 1183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1841.32-1841.36" + } + }, + "n7c8": { + "hide_name": 0, + "bits": [ 1136 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1842.32-1842.36" + } + }, + "n7c9": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1843.32-1843.36" + } + }, + "n7ca": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1844.32-1844.36" + } + }, + "n7cb": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1845.32-1845.36" + } + }, + "n7cc": { + "hide_name": 0, + "bits": [ 513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1846.32-1846.36" + } + }, + "n7cd": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1847.32-1847.36" + } + }, + "n7ce": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1848.32-1848.36" + } + }, + "n7cf": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1849.32-1849.36" + } + }, + "n7d0": { + "hide_name": 0, + "bits": [ 517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1850.32-1850.36" + } + }, + "n7d1": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1851.32-1851.36" + } + }, + "n7d2": { + "hide_name": 0, + "bits": [ 519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1852.32-1852.36" + } + }, + "n7d3": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1853.32-1853.36" + } + }, + "n7d4": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1854.32-1854.36" + } + }, + "n7d5": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1855.32-1855.36" + } + }, + "n7d6": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1856.32-1856.36" + } + }, + "n7d7": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1857.32-1857.36" + } + }, + "n7d8": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1858.32-1858.36" + } + }, + "n7d9": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1859.32-1859.36" + } + }, + "n7da": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1860.32-1860.36" + } + }, + "n7db": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1861.32-1861.36" + } + }, + "n7dc": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1862.32-1862.36" + } + }, + "n7dd": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1863.32-1863.36" + } + }, + "n7de": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1864.32-1864.36" + } + }, + "n7df": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1865.32-1865.36" + } + }, + "n7e0": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1866.32-1866.36" + } + }, + "n7e1": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1867.32-1867.36" + } + }, + "n7e2": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1868.32-1868.36" + } + }, + "n7e3": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1869.32-1869.36" + } + }, + "n7e4": { + "hide_name": 0, + "bits": [ 571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1870.32-1870.36" + } + }, + "n7e5": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1871.32-1871.36" + } + }, + "n7e6": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1872.32-1872.36" + } + }, + "n7e7": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1873.32-1873.36" + } + }, + "n7e8": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1874.32-1874.36" + } + }, + "n7e9": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1875.32-1875.36" + } + }, + "n7ea": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1876.32-1876.36" + } + }, + "n7eb": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1877.32-1877.36" + } + }, + "n7ec": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1878.32-1878.36" + } + }, + "n7ed": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1879.32-1879.36" + } + }, + "n7ee": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1880.32-1880.36" + } + }, + "n7ef": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1881.32-1881.36" + } + }, + "n7f0": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1882.32-1882.36" + } + }, + "n7f1": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1883.32-1883.36" + } + }, + "n7f2": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1884.32-1884.36" + } + }, + "n7f3": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1885.32-1885.36" + } + }, + "n7f4": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1886.32-1886.36" + } + }, + "n7f5": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1887.32-1887.36" + } + }, + "n7f6": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1888.32-1888.36" + } + }, + "n7f7": { + "hide_name": 0, + "bits": [ 1127 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1889.32-1889.36" + } + }, + "n7f8": { + "hide_name": 0, + "bits": [ 1131 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1890.32-1890.36" + } + }, + "n7f9": { + "hide_name": 0, + "bits": [ 3728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1891.32-1891.36" + } + }, + "n7fa": { + "hide_name": 0, + "bits": [ 3729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1892.32-1892.36" + } + }, + "n7fb": { + "hide_name": 0, + "bits": [ 2767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1893.32-1893.36" + } + }, + "n7fc": { + "hide_name": 0, + "bits": [ 3397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1894.32-1894.36" + } + }, + "n7fd": { + "hide_name": 0, + "bits": [ 3395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1895.32-1895.36" + } + }, + "n7fe": { + "hide_name": 0, + "bits": [ 3138 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1896.32-1896.36" + } + }, + "n7ff": { + "hide_name": 0, + "bits": [ 3396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1897.32-1897.36" + } + }, + "n800": { + "hide_name": 0, + "bits": [ 1126 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1898.32-1898.36" + } + }, + "n801": { + "hide_name": 0, + "bits": [ 2766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1899.32-1899.36" + } + }, + "n802": { + "hide_name": 0, + "bits": [ 1132 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1900.32-1900.36" + } + }, + "n803": { + "hide_name": 0, + "bits": [ 1134 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1901.32-1901.36" + } + }, + "n804": { + "hide_name": 0, + "bits": [ 1133 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1902.32-1902.36" + } + }, + "n805": { + "hide_name": 0, + "bits": [ 1129 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1903.32-1903.36" + } + }, + "n806": { + "hide_name": 0, + "bits": [ 3617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1904.32-1904.36" + } + }, + "n807": { + "hide_name": 0, + "bits": [ 3139 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1905.32-1905.36" + } + }, + "n808": { + "hide_name": 0, + "bits": [ 2768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1906.32-1906.36" + } + }, + "n809": { + "hide_name": 0, + "bits": [ 3398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1907.32-1907.36" + } + }, + "n80a": { + "hide_name": 0, + "bits": [ 3142 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1908.32-1908.36" + } + }, + "n80b": { + "hide_name": 0, + "bits": [ 3140 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1909.32-1909.36" + } + }, + "n80c": { + "hide_name": 0, + "bits": [ 3619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1910.32-1910.36" + } + }, + "n80d": { + "hide_name": 0, + "bits": [ 3618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1911.32-1911.36" + } + }, + "n80e": { + "hide_name": 0, + "bits": [ 2769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1912.32-1912.36" + } + }, + "n80f": { + "hide_name": 0, + "bits": [ 3141 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1913.32-1913.36" + } + }, + "n810": { + "hide_name": 0, + "bits": [ 2770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1914.32-1914.36" + } + }, + "n811": { + "hide_name": 0, + "bits": [ 3399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1915.32-1915.36" + } + }, + "n812": { + "hide_name": 0, + "bits": [ 2771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1916.32-1916.36" + } + }, + "n813": { + "hide_name": 0, + "bits": [ 3400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1917.32-1917.36" + } + }, + "n814": { + "hide_name": 0, + "bits": [ 1128 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1918.32-1918.36" + } + }, + "n815": { + "hide_name": 0, + "bits": [ 1130 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1919.32-1919.36" + } + }, + "n816": { + "hide_name": 0, + "bits": [ 1138 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1920.32-1920.36" + } + }, + "n817": { + "hide_name": 0, + "bits": [ 1139 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1921.32-1921.36" + } + }, + "n818": { + "hide_name": 0, + "bits": [ 1140 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1922.32-1922.36" + } + }, + "n819": { + "hide_name": 0, + "bits": [ 1141 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1923.32-1923.36" + } + }, + "n81a": { + "hide_name": 0, + "bits": [ 1142 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1924.32-1924.36" + } + }, + "n81b": { + "hide_name": 0, + "bits": [ 1143 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1925.32-1925.36" + } + }, + "n81c": { + "hide_name": 0, + "bits": [ 1144 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1926.32-1926.36" + } + }, + "n81d": { + "hide_name": 0, + "bits": [ 1145 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1927.32-1927.36" + } + }, + "n81e": { + "hide_name": 0, + "bits": [ 1146 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1928.32-1928.36" + } + }, + "n81f": { + "hide_name": 0, + "bits": [ 1147 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1929.32-1929.36" + } + }, + "n820": { + "hide_name": 0, + "bits": [ 1148 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1930.32-1930.36" + } + }, + "n821": { + "hide_name": 0, + "bits": [ 1149 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1931.32-1931.36" + } + }, + "n822": { + "hide_name": 0, + "bits": [ 1150 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1932.32-1932.36" + } + }, + "n823": { + "hide_name": 0, + "bits": [ 1151 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1933.32-1933.36" + } + }, + "n824": { + "hide_name": 0, + "bits": [ 1152 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1934.32-1934.36" + } + }, + "n825": { + "hide_name": 0, + "bits": [ 1153 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1935.32-1935.36" + } + }, + "n826": { + "hide_name": 0, + "bits": [ 1154 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1936.32-1936.36" + } + }, + "n827": { + "hide_name": 0, + "bits": [ 1155 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1937.32-1937.36" + } + }, + "n828": { + "hide_name": 0, + "bits": [ 1156 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1938.32-1938.36" + } + }, + "n829": { + "hide_name": 0, + "bits": [ 1157 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1939.32-1939.36" + } + }, + "n82a": { + "hide_name": 0, + "bits": [ 3730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1940.32-1940.36" + } + }, + "n82b": { + "hide_name": 0, + "bits": [ 3143 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1941.32-1941.36" + } + }, + "n82c": { + "hide_name": 0, + "bits": [ 2772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1942.32-1942.36" + } + }, + "n82d": { + "hide_name": 0, + "bits": [ 3401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1943.32-1943.36" + } + }, + "n82e": { + "hide_name": 0, + "bits": [ 2781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1944.32-1944.36" + } + }, + "n82f": { + "hide_name": 0, + "bits": [ 2774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1945.32-1945.36" + } + }, + "n830": { + "hide_name": 0, + "bits": [ 3145 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1946.32-1946.36" + } + }, + "n831": { + "hide_name": 0, + "bits": [ 2773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1947.32-1947.36" + } + }, + "n832": { + "hide_name": 0, + "bits": [ 2779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1948.32-1948.36" + } + }, + "n833": { + "hide_name": 0, + "bits": [ 2778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1949.32-1949.36" + } + }, + "n834": { + "hide_name": 0, + "bits": [ 2775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1950.32-1950.36" + } + }, + "n835": { + "hide_name": 0, + "bits": [ 3144 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1951.32-1951.36" + } + }, + "n836": { + "hide_name": 0, + "bits": [ 2777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1952.32-1952.36" + } + }, + "n837": { + "hide_name": 0, + "bits": [ 2776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1953.32-1953.36" + } + }, + "n838": { + "hide_name": 0, + "bits": [ 2780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1954.32-1954.36" + } + }, + "n839": { + "hide_name": 0, + "bits": [ 2784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1955.32-1955.36" + } + }, + "n83a": { + "hide_name": 0, + "bits": [ 2789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1956.32-1956.36" + } + }, + "n83b": { + "hide_name": 0, + "bits": [ 3402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1957.32-1957.36" + } + }, + "n83c": { + "hide_name": 0, + "bits": [ 2782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1958.32-1958.36" + } + }, + "n83d": { + "hide_name": 0, + "bits": [ 2783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1959.32-1959.36" + } + }, + "n83e": { + "hide_name": 0, + "bits": [ 2785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1960.32-1960.36" + } + }, + "n83f": { + "hide_name": 0, + "bits": [ 3148 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1961.32-1961.36" + } + }, + "n840": { + "hide_name": 0, + "bits": [ 3146 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1962.32-1962.36" + } + }, + "n841": { + "hide_name": 0, + "bits": [ 3731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1963.32-1963.36" + } + }, + "n842": { + "hide_name": 0, + "bits": [ 3732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1964.32-1964.36" + } + }, + "n843": { + "hide_name": 0, + "bits": [ 3147 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1965.32-1965.36" + } + }, + "n844": { + "hide_name": 0, + "bits": [ 2788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1966.32-1966.36" + } + }, + "n845": { + "hide_name": 0, + "bits": [ 3149 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1967.32-1967.36" + } + }, + "n846": { + "hide_name": 0, + "bits": [ 3150 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1968.32-1968.36" + } + }, + "n847": { + "hide_name": 0, + "bits": [ 2787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1969.32-1969.36" + } + }, + "n848": { + "hide_name": 0, + "bits": [ 3733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1970.32-1970.36" + } + }, + "n849": { + "hide_name": 0, + "bits": [ 3734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1971.32-1971.36" + } + }, + "n84a": { + "hide_name": 0, + "bits": [ 3151 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1972.32-1972.36" + } + }, + "n84b": { + "hide_name": 0, + "bits": [ 2790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1973.32-1973.36" + } + }, + "n84c": { + "hide_name": 0, + "bits": [ 3403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1974.32-1974.36" + } + }, + "n84d": { + "hide_name": 0, + "bits": [ 2791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1975.32-1975.36" + } + }, + "n84e": { + "hide_name": 0, + "bits": [ 3152 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1976.32-1976.36" + } + }, + "n84f": { + "hide_name": 0, + "bits": [ 3620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1977.32-1977.36" + } + }, + "n850": { + "hide_name": 0, + "bits": [ 2793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1978.32-1978.36" + } + }, + "n851": { + "hide_name": 0, + "bits": [ 2797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1979.32-1979.36" + } + }, + "n852": { + "hide_name": 0, + "bits": [ 3735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1980.32-1980.36" + } + }, + "n853": { + "hide_name": 0, + "bits": [ 3621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1981.32-1981.36" + } + }, + "n854": { + "hide_name": 0, + "bits": [ 2792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1982.32-1982.36" + } + }, + "n855": { + "hide_name": 0, + "bits": [ 3153 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1983.32-1983.36" + } + }, + "n856": { + "hide_name": 0, + "bits": [ 2794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1984.32-1984.36" + } + }, + "n857": { + "hide_name": 0, + "bits": [ 3736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1985.32-1985.36" + } + }, + "n858": { + "hide_name": 0, + "bits": [ 2795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1986.32-1986.36" + } + }, + "n859": { + "hide_name": 0, + "bits": [ 3623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1987.32-1987.36" + } + }, + "n85a": { + "hide_name": 0, + "bits": [ 3737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1988.32-1988.36" + } + }, + "n85b": { + "hide_name": 0, + "bits": [ 2796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1989.32-1989.36" + } + }, + "n85c": { + "hide_name": 0, + "bits": [ 1135 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1990.32-1990.36" + } + }, + "n85d": { + "hide_name": 0, + "bits": [ 3738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1991.32-1991.36" + } + }, + "n85e": { + "hide_name": 0, + "bits": [ 1163 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1992.32-1992.36" + } + }, + "n85f": { + "hide_name": 0, + "bits": [ 1178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1993.32-1993.36" + } + }, + "n860": { + "hide_name": 0, + "bits": [ 1185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1994.32-1994.36" + } + }, + "n861": { + "hide_name": 0, + "bits": [ 1271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1995.32-1995.36" + } + }, + "n862": { + "hide_name": 0, + "bits": [ 1161 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1996.32-1996.36" + } + }, + "n863": { + "hide_name": 0, + "bits": [ 2801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1997.32-1997.36" + } + }, + "n864": { + "hide_name": 0, + "bits": [ 3745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1998.32-1998.36" + } + }, + "n865": { + "hide_name": 0, + "bits": [ 1189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:1999.32-1999.36" + } + }, + "n866": { + "hide_name": 0, + "bits": [ 1187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2000.32-2000.36" + } + }, + "n867": { + "hide_name": 0, + "bits": [ 3744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2001.32-2001.36" + } + }, + "n868": { + "hide_name": 0, + "bits": [ 3743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2002.32-2002.36" + } + }, + "n869": { + "hide_name": 0, + "bits": [ 1175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2003.32-2003.36" + } + }, + "n86a": { + "hide_name": 0, + "bits": [ 3739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2004.32-2004.36" + } + }, + "n86b": { + "hide_name": 0, + "bits": [ 1177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2005.32-2005.36" + } + }, + "n86c": { + "hide_name": 0, + "bits": [ 3740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2006.32-2006.36" + } + }, + "n86d": { + "hide_name": 0, + "bits": [ 3741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2007.32-2007.36" + } + }, + "n86e": { + "hide_name": 0, + "bits": [ 3747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2008.32-2008.36" + } + }, + "n86f": { + "hide_name": 0, + "bits": [ 2798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2009.32-2009.36" + } + }, + "n870": { + "hide_name": 0, + "bits": [ 2799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2010.32-2010.36" + } + }, + "n871": { + "hide_name": 0, + "bits": [ 3742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2011.32-2011.36" + } + }, + "n872": { + "hide_name": 0, + "bits": [ 1186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2012.32-2012.36" + } + }, + "n873": { + "hide_name": 0, + "bits": [ 3154 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2013.32-2013.36" + } + }, + "n874": { + "hide_name": 0, + "bits": [ 2800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2014.32-2014.36" + } + }, + "n875": { + "hide_name": 0, + "bits": [ 1176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2015.32-2015.36" + } + }, + "n876": { + "hide_name": 0, + "bits": [ 1174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2016.32-2016.36" + } + }, + "n877": { + "hide_name": 0, + "bits": [ 2803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2017.32-2017.36" + } + }, + "n878": { + "hide_name": 0, + "bits": [ 2802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2018.32-2018.36" + } + }, + "n879": { + "hide_name": 0, + "bits": [ 3155 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2019.32-2019.36" + } + }, + "n87a": { + "hide_name": 0, + "bits": [ 2804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2020.32-2020.36" + } + }, + "n87b": { + "hide_name": 0, + "bits": [ 2805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2021.32-2021.36" + } + }, + "n87c": { + "hide_name": 0, + "bits": [ 1172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2022.32-2022.36" + } + }, + "n87d": { + "hide_name": 0, + "bits": [ 1184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2023.32-2023.36" + } + }, + "n87e": { + "hide_name": 0, + "bits": [ 1190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2024.32-2024.36" + } + }, + "n87f": { + "hide_name": 0, + "bits": [ 3746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2025.32-2025.36" + } + }, + "n880": { + "hide_name": 0, + "bits": [ 3748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2026.32-2026.36" + } + }, + "n881": { + "hide_name": 0, + "bits": [ 1181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2027.32-2027.36" + } + }, + "n882": { + "hide_name": 0, + "bits": [ 1182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2028.32-2028.36" + } + }, + "n883": { + "hide_name": 0, + "bits": [ 3159 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2029.32-2029.36" + } + }, + "n884": { + "hide_name": 0, + "bits": [ 3160 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2030.32-2030.36" + } + }, + "n885": { + "hide_name": 0, + "bits": [ 3405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2031.32-2031.36" + } + }, + "n886": { + "hide_name": 0, + "bits": [ 3156 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2032.32-2032.36" + } + }, + "n887": { + "hide_name": 0, + "bits": [ 2807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2033.32-2033.36" + } + }, + "n888": { + "hide_name": 0, + "bits": [ 2806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2034.32-2034.36" + } + }, + "n889": { + "hide_name": 0, + "bits": [ 3404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2035.32-2035.36" + } + }, + "n88a": { + "hide_name": 0, + "bits": [ 1180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2036.32-2036.36" + } + }, + "n88b": { + "hide_name": 0, + "bits": [ 3157 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2037.32-2037.36" + } + }, + "n88c": { + "hide_name": 0, + "bits": [ 3158 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2038.32-2038.36" + } + }, + "n88d": { + "hide_name": 0, + "bits": [ 3406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2039.32-2039.36" + } + }, + "n88e": { + "hide_name": 0, + "bits": [ 1160 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2040.32-2040.36" + } + }, + "n88f": { + "hide_name": 0, + "bits": [ 2808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2041.32-2041.36" + } + }, + "n890": { + "hide_name": 0, + "bits": [ 2809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2042.32-2042.36" + } + }, + "n891": { + "hide_name": 0, + "bits": [ 3161 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2043.32-2043.36" + } + }, + "n892": { + "hide_name": 0, + "bits": [ 3407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2044.32-2044.36" + } + }, + "n893": { + "hide_name": 0, + "bits": [ 1188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2045.32-2045.36" + } + }, + "n894": { + "hide_name": 0, + "bits": [ 1164 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2046.32-2046.36" + } + }, + "n895": { + "hide_name": 0, + "bits": [ 1165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2047.32-2047.36" + } + }, + "n896": { + "hide_name": 0, + "bits": [ 1167 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2048.32-2048.36" + } + }, + "n897": { + "hide_name": 0, + "bits": [ 1272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2049.32-2049.36" + } + }, + "n898": { + "hide_name": 0, + "bits": [ 1166 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2050.32-2050.36" + } + }, + "n899": { + "hide_name": 0, + "bits": [ 1168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2051.32-2051.36" + } + }, + "n89a": { + "hide_name": 0, + "bits": [ 1169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2052.32-2052.36" + } + }, + "n89b": { + "hide_name": 0, + "bits": [ 1270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2053.32-2053.36" + } + }, + "n89c": { + "hide_name": 0, + "bits": [ 1268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2054.32-2054.36" + } + }, + "n89d": { + "hide_name": 0, + "bits": [ 1170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2055.32-2055.36" + } + }, + "n89e": { + "hide_name": 0, + "bits": [ 1171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2056.32-2056.36" + } + }, + "n89f": { + "hide_name": 0, + "bits": [ 1206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2057.32-2057.36" + } + }, + "n8a0": { + "hide_name": 0, + "bits": [ 1207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2058.32-2058.36" + } + }, + "n8a1": { + "hide_name": 0, + "bits": [ 1208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2059.32-2059.36" + } + }, + "n8a2": { + "hide_name": 0, + "bits": [ 1209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2060.32-2060.36" + } + }, + "n8a3": { + "hide_name": 0, + "bits": [ 1210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2061.32-2061.36" + } + }, + "n8a4": { + "hide_name": 0, + "bits": [ 1211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2062.32-2062.36" + } + }, + "n8a5": { + "hide_name": 0, + "bits": [ 1212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2063.32-2063.36" + } + }, + "n8a6": { + "hide_name": 0, + "bits": [ 1213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2064.32-2064.36" + } + }, + "n8a7": { + "hide_name": 0, + "bits": [ 1214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2065.32-2065.36" + } + }, + "n8a8": { + "hide_name": 0, + "bits": [ 1215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2066.32-2066.36" + } + }, + "n8a9": { + "hide_name": 0, + "bits": [ 1216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2067.32-2067.36" + } + }, + "n8aa": { + "hide_name": 0, + "bits": [ 1217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2068.32-2068.36" + } + }, + "n8ab": { + "hide_name": 0, + "bits": [ 1218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2069.32-2069.36" + } + }, + "n8ac": { + "hide_name": 0, + "bits": [ 1219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2070.32-2070.36" + } + }, + "n8ad": { + "hide_name": 0, + "bits": [ 1220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2071.32-2071.36" + } + }, + "n8ae": { + "hide_name": 0, + "bits": [ 1221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2072.32-2072.36" + } + }, + "n8af": { + "hide_name": 0, + "bits": [ 1222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2073.32-2073.36" + } + }, + "n8b0": { + "hide_name": 0, + "bits": [ 1223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2074.32-2074.36" + } + }, + "n8b1": { + "hide_name": 0, + "bits": [ 1224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2075.32-2075.36" + } + }, + "n8b2": { + "hide_name": 0, + "bits": [ 1225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2076.32-2076.36" + } + }, + "n8b3": { + "hide_name": 0, + "bits": [ 1194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2077.32-2077.36" + } + }, + "n8b4": { + "hide_name": 0, + "bits": [ 1195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2078.32-2078.36" + } + }, + "n8b5": { + "hide_name": 0, + "bits": [ 1196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2079.32-2079.36" + } + }, + "n8b6": { + "hide_name": 0, + "bits": [ 1197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2080.32-2080.36" + } + }, + "n8b7": { + "hide_name": 0, + "bits": [ 1198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2081.32-2081.36" + } + }, + "n8b8": { + "hide_name": 0, + "bits": [ 1199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2082.32-2082.36" + } + }, + "n8b9": { + "hide_name": 0, + "bits": [ 1200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2083.32-2083.36" + } + }, + "n8ba": { + "hide_name": 0, + "bits": [ 1201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2084.32-2084.36" + } + }, + "n8bb": { + "hide_name": 0, + "bits": [ 1202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2085.32-2085.36" + } + }, + "n8bc": { + "hide_name": 0, + "bits": [ 1203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2086.32-2086.36" + } + }, + "n8bd": { + "hide_name": 0, + "bits": [ 1204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2087.32-2087.36" + } + }, + "n8be": { + "hide_name": 0, + "bits": [ 1205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2088.32-2088.36" + } + }, + "n8bf": { + "hide_name": 0, + "bits": [ 1240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2089.32-2089.36" + } + }, + "n8c0": { + "hide_name": 0, + "bits": [ 1241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2090.32-2090.36" + } + }, + "n8c1": { + "hide_name": 0, + "bits": [ 1242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2091.32-2091.36" + } + }, + "n8c2": { + "hide_name": 0, + "bits": [ 1243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2092.32-2092.36" + } + }, + "n8c3": { + "hide_name": 0, + "bits": [ 1244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2093.32-2093.36" + } + }, + "n8c4": { + "hide_name": 0, + "bits": [ 1245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2094.32-2094.36" + } + }, + "n8c5": { + "hide_name": 0, + "bits": [ 1246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2095.32-2095.36" + } + }, + "n8c6": { + "hide_name": 0, + "bits": [ 1247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2096.32-2096.36" + } + }, + "n8c7": { + "hide_name": 0, + "bits": [ 1248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2097.32-2097.36" + } + }, + "n8c8": { + "hide_name": 0, + "bits": [ 1249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2098.32-2098.36" + } + }, + "n8c9": { + "hide_name": 0, + "bits": [ 1250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2099.32-2099.36" + } + }, + "n8ca": { + "hide_name": 0, + "bits": [ 1251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2100.32-2100.36" + } + }, + "n8cb": { + "hide_name": 0, + "bits": [ 1252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2101.32-2101.36" + } + }, + "n8cc": { + "hide_name": 0, + "bits": [ 1253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2102.32-2102.36" + } + }, + "n8cd": { + "hide_name": 0, + "bits": [ 1285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2103.32-2103.36" + } + }, + "n8ce": { + "hide_name": 0, + "bits": [ 3750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2104.32-2104.36" + } + }, + "n8cf": { + "hide_name": 0, + "bits": [ 1289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2105.32-2105.36" + } + }, + "n8d0": { + "hide_name": 0, + "bits": [ 2812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2106.32-2106.36" + } + }, + "n8d1": { + "hide_name": 0, + "bits": [ 3168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2107.32-2107.36" + } + }, + "n8d2": { + "hide_name": 0, + "bits": [ 3751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2108.32-2108.36" + } + }, + "n8d3": { + "hide_name": 0, + "bits": [ 3408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2109.32-2109.36" + } + }, + "n8d4": { + "hide_name": 0, + "bits": [ 2813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2110.32-2110.36" + } + }, + "n8d5": { + "hide_name": 0, + "bits": [ 3162 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2111.32-2111.36" + } + }, + "n8d6": { + "hide_name": 0, + "bits": [ 3752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2112.32-2112.36" + } + }, + "n8d7": { + "hide_name": 0, + "bits": [ 3753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2113.32-2113.36" + } + }, + "n8d8": { + "hide_name": 0, + "bits": [ 3163 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2114.32-2114.36" + } + }, + "n8d9": { + "hide_name": 0, + "bits": [ 2814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2115.32-2115.36" + } + }, + "n8da": { + "hide_name": 0, + "bits": [ 3165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2116.32-2116.36" + } + }, + "n8db": { + "hide_name": 0, + "bits": [ 3164 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2117.32-2117.36" + } + }, + "n8dc": { + "hide_name": 0, + "bits": [ 1286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2118.32-2118.36" + } + }, + "n8dd": { + "hide_name": 0, + "bits": [ 3409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2119.32-2119.36" + } + }, + "n8de": { + "hide_name": 0, + "bits": [ 3167 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2120.32-2120.36" + } + }, + "n8df": { + "hide_name": 0, + "bits": [ 3624 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2121.32-2121.36" + } + }, + "n8e0": { + "hide_name": 0, + "bits": [ 3166 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2122.32-2122.36" + } + }, + "n8e1": { + "hide_name": 0, + "bits": [ 1254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2123.32-2123.36" + } + }, + "n8e2": { + "hide_name": 0, + "bits": [ 1255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2124.32-2124.36" + } + }, + "n8e3": { + "hide_name": 0, + "bits": [ 1256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2125.32-2125.36" + } + }, + "n8e4": { + "hide_name": 0, + "bits": [ 1257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2126.32-2126.36" + } + }, + "n8e5": { + "hide_name": 0, + "bits": [ 1258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2127.32-2127.36" + } + }, + "n8e6": { + "hide_name": 0, + "bits": [ 1259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2128.32-2128.36" + } + }, + "n8e7": { + "hide_name": 0, + "bits": [ 1228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2129.32-2129.36" + } + }, + "n8e8": { + "hide_name": 0, + "bits": [ 1229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2130.32-2130.36" + } + }, + "n8e9": { + "hide_name": 0, + "bits": [ 1230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2131.32-2131.36" + } + }, + "n8ea": { + "hide_name": 0, + "bits": [ 1231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2132.32-2132.36" + } + }, + "n8eb": { + "hide_name": 0, + "bits": [ 3626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2133.32-2133.36" + } + }, + "n8ec": { + "hide_name": 0, + "bits": [ 1232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2134.32-2134.36" + } + }, + "n8ed": { + "hide_name": 0, + "bits": [ 1233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2135.32-2135.36" + } + }, + "n8ee": { + "hide_name": 0, + "bits": [ 1234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2136.32-2136.36" + } + }, + "n8ef": { + "hide_name": 0, + "bits": [ 1235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2137.32-2137.36" + } + }, + "n8f0": { + "hide_name": 0, + "bits": [ 1236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2138.32-2138.36" + } + }, + "n8f1": { + "hide_name": 0, + "bits": [ 1237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2139.32-2139.36" + } + }, + "n8f2": { + "hide_name": 0, + "bits": [ 1238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2140.32-2140.36" + } + }, + "n8f3": { + "hide_name": 0, + "bits": [ 1239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2141.32-2141.36" + } + }, + "n8f4": { + "hide_name": 0, + "bits": [ 1274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2142.32-2142.36" + } + }, + "n8f5": { + "hide_name": 0, + "bits": [ 1275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2143.32-2143.36" + } + }, + "n8f6": { + "hide_name": 0, + "bits": [ 1276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2144.32-2144.36" + } + }, + "n8f7": { + "hide_name": 0, + "bits": [ 1277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2145.32-2145.36" + } + }, + "n8f8": { + "hide_name": 0, + "bits": [ 1278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2146.32-2146.36" + } + }, + "n8f9": { + "hide_name": 0, + "bits": [ 1279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2147.32-2147.36" + } + }, + "n8fa": { + "hide_name": 0, + "bits": [ 1280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2148.32-2148.36" + } + }, + "n8fb": { + "hide_name": 0, + "bits": [ 1281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2149.32-2149.36" + } + }, + "n8fc": { + "hide_name": 0, + "bits": [ 1282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2150.32-2150.36" + } + }, + "n8fd": { + "hide_name": 0, + "bits": [ 1283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2151.32-2151.36" + } + }, + "n8fe": { + "hide_name": 0, + "bits": [ 3630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2152.32-2152.36" + } + }, + "n8ff": { + "hide_name": 0, + "bits": [ 3755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2153.32-2153.36" + } + }, + "n900": { + "hide_name": 0, + "bits": [ 3625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2154.32-2154.36" + } + }, + "n901": { + "hide_name": 0, + "bits": [ 2815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2155.32-2155.36" + } + }, + "n902": { + "hide_name": 0, + "bits": [ 3410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2156.32-2156.36" + } + }, + "n903": { + "hide_name": 0, + "bits": [ 3172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2157.32-2157.36" + } + }, + "n904": { + "hide_name": 0, + "bits": [ 3628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2158.32-2158.36" + } + }, + "n905": { + "hide_name": 0, + "bits": [ 3627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2159.32-2159.36" + } + }, + "n906": { + "hide_name": 0, + "bits": [ 2816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2160.32-2160.36" + } + }, + "n907": { + "hide_name": 0, + "bits": [ 3170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2161.32-2161.36" + } + }, + "n908": { + "hide_name": 0, + "bits": [ 2817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2162.32-2162.36" + } + }, + "n909": { + "hide_name": 0, + "bits": [ 2818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2163.32-2163.36" + } + }, + "n90a": { + "hide_name": 0, + "bits": [ 1287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2164.32-2164.36" + } + }, + "n90b": { + "hide_name": 0, + "bits": [ 3756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2165.32-2165.36" + } + }, + "n90c": { + "hide_name": 0, + "bits": [ 3757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2166.32-2166.36" + } + }, + "n90d": { + "hide_name": 0, + "bits": [ 3629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2167.32-2167.36" + } + }, + "n90e": { + "hide_name": 0, + "bits": [ 3758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2168.32-2168.36" + } + }, + "n90f": { + "hide_name": 0, + "bits": [ 3171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2169.32-2169.36" + } + }, + "n910": { + "hide_name": 0, + "bits": [ 2819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2170.32-2170.36" + } + }, + "n911": { + "hide_name": 0, + "bits": [ 3173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2171.32-2171.36" + } + }, + "n912": { + "hide_name": 0, + "bits": [ 1284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2172.32-2172.36" + } + }, + "n913": { + "hide_name": 0, + "bits": [ 3631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2173.32-2173.36" + } + }, + "n914": { + "hide_name": 0, + "bits": [ 2821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2174.32-2174.36" + } + }, + "n915": { + "hide_name": 0, + "bits": [ 3759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2175.32-2175.36" + } + }, + "n916": { + "hide_name": 0, + "bits": [ 2820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2176.32-2176.36" + } + }, + "n917": { + "hide_name": 0, + "bits": [ 3174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2177.32-2177.36" + } + }, + "n918": { + "hide_name": 0, + "bits": [ 2823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2178.32-2178.36" + } + }, + "n919": { + "hide_name": 0, + "bits": [ 3175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2179.32-2179.36" + } + }, + "n91a": { + "hide_name": 0, + "bits": [ 2822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2180.32-2180.36" + } + }, + "n91b": { + "hide_name": 0, + "bits": [ 1288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2181.32-2181.36" + } + }, + "n91c": { + "hide_name": 0, + "bits": [ 1293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2182.32-2182.36" + } + }, + "n91d": { + "hide_name": 0, + "bits": [ 1265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2183.32-2183.36" + } + }, + "n91e": { + "hide_name": 0, + "bits": [ 2824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2184.32-2184.36" + } + }, + "n91f": { + "hide_name": 0, + "bits": [ 1267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2185.32-2185.36" + } + }, + "n920": { + "hide_name": 0, + "bits": [ 3411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2186.32-2186.36" + } + }, + "n921": { + "hide_name": 0, + "bits": [ 1263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2187.32-2187.36" + } + }, + "n922": { + "hide_name": 0, + "bits": [ 3760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2188.32-2188.36" + } + }, + "n923": { + "hide_name": 0, + "bits": [ 3632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2189.32-2189.36" + } + }, + "n924": { + "hide_name": 0, + "bits": [ 3412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2190.32-2190.36" + } + }, + "n925": { + "hide_name": 0, + "bits": [ 3413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2191.32-2191.36" + } + }, + "n926": { + "hide_name": 0, + "bits": [ 1262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2192.32-2192.36" + } + }, + "n927": { + "hide_name": 0, + "bits": [ 3633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2193.32-2193.36" + } + }, + "n928": { + "hide_name": 0, + "bits": [ 1266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2194.32-2194.36" + } + }, + "n929": { + "hide_name": 0, + "bits": [ 3176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2195.32-2195.36" + } + }, + "n92a": { + "hide_name": 0, + "bits": [ 2825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2196.32-2196.36" + } + }, + "n92b": { + "hide_name": 0, + "bits": [ 2826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2197.32-2197.36" + } + }, + "n92c": { + "hide_name": 0, + "bits": [ 3177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2198.32-2198.36" + } + }, + "n92d": { + "hide_name": 0, + "bits": [ 1291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2199.32-2199.36" + } + }, + "n92e": { + "hide_name": 0, + "bits": [ 3634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2200.32-2200.36" + } + }, + "n92f": { + "hide_name": 0, + "bits": [ 3635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2201.32-2201.36" + } + }, + "n930": { + "hide_name": 0, + "bits": [ 1290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2202.32-2202.36" + } + }, + "n931": { + "hide_name": 0, + "bits": [ 3636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2203.32-2203.36" + } + }, + "n932": { + "hide_name": 0, + "bits": [ 2828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2204.32-2204.36" + } + }, + "n933": { + "hide_name": 0, + "bits": [ 3761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2205.32-2205.36" + } + }, + "n934": { + "hide_name": 0, + "bits": [ 2827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2206.32-2206.36" + } + }, + "n935": { + "hide_name": 0, + "bits": [ 1264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2207.32-2207.36" + } + }, + "n936": { + "hide_name": 0, + "bits": [ 1292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2208.32-2208.36" + } + }, + "n937": { + "hide_name": 0, + "bits": [ 1323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2209.32-2209.36" + } + }, + "n938": { + "hide_name": 0, + "bits": [ 1308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2210.32-2210.36" + } + }, + "n939": { + "hide_name": 0, + "bits": [ 1309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2211.32-2211.36" + } + }, + "n93a": { + "hide_name": 0, + "bits": [ 1310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2212.32-2212.36" + } + }, + "n93b": { + "hide_name": 0, + "bits": [ 1311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2213.32-2213.36" + } + }, + "n93c": { + "hide_name": 0, + "bits": [ 1312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2214.32-2214.36" + } + }, + "n93d": { + "hide_name": 0, + "bits": [ 1313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2215.32-2215.36" + } + }, + "n93e": { + "hide_name": 0, + "bits": [ 1314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2216.32-2216.36" + } + }, + "n93f": { + "hide_name": 0, + "bits": [ 1316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2217.32-2217.36" + } + }, + "n940": { + "hide_name": 0, + "bits": [ 1317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2218.32-2218.36" + } + }, + "n941": { + "hide_name": 0, + "bits": [ 3215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2219.32-2219.36" + } + }, + "n942": { + "hide_name": 0, + "bits": [ 992 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2220.32-2220.36" + } + }, + "n943": { + "hide_name": 0, + "bits": [ 2361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2221.32-2221.36" + } + }, + "n944": { + "hide_name": 0, + "bits": [ 1318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2222.32-2222.36" + } + }, + "n945": { + "hide_name": 0, + "bits": [ 1319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2223.32-2223.36" + } + }, + "n946": { + "hide_name": 0, + "bits": [ 3178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2224.32-2224.36" + } + }, + "n947": { + "hide_name": 0, + "bits": [ 991 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2225.32-2225.36" + } + }, + "n948": { + "hide_name": 0, + "bits": [ 3432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2226.32-2226.36" + } + }, + "n949": { + "hide_name": 0, + "bits": [ 1320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2227.32-2227.36" + } + }, + "n94a": { + "hide_name": 0, + "bits": [ 1322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2228.32-2228.36" + } + }, + "n94b": { + "hide_name": 0, + "bits": [ 1324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2229.32-2229.36" + } + }, + "n94c": { + "hide_name": 0, + "bits": [ 1325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2230.32-2230.36" + } + }, + "n94d": { + "hide_name": 0, + "bits": [ 1326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2231.32-2231.36" + } + }, + "n94e": { + "hide_name": 0, + "bits": [ 1327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2232.32-2232.36" + } + }, + "n94f": { + "hide_name": 0, + "bits": [ 1296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2233.32-2233.36" + } + }, + "n950": { + "hide_name": 0, + "bits": [ 1297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2234.32-2234.36" + } + }, + "n951": { + "hide_name": 0, + "bits": [ 1298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2235.32-2235.36" + } + }, + "n952": { + "hide_name": 0, + "bits": [ 1299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2236.32-2236.36" + } + }, + "n953": { + "hide_name": 0, + "bits": [ 1300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2237.32-2237.36" + } + }, + "n954": { + "hide_name": 0, + "bits": [ 1301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2238.32-2238.36" + } + }, + "n955": { + "hide_name": 0, + "bits": [ 1302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2239.32-2239.36" + } + }, + "n956": { + "hide_name": 0, + "bits": [ 1303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2240.32-2240.36" + } + }, + "n957": { + "hide_name": 0, + "bits": [ 1304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2241.32-2241.36" + } + }, + "n958": { + "hide_name": 0, + "bits": [ 1305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2242.32-2242.36" + } + }, + "n959": { + "hide_name": 0, + "bits": [ 1306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2243.32-2243.36" + } + }, + "n95a": { + "hide_name": 0, + "bits": [ 1307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2244.32-2244.36" + } + }, + "n95b": { + "hide_name": 0, + "bits": [ 1342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2245.32-2245.36" + } + }, + "n95c": { + "hide_name": 0, + "bits": [ 1343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2246.32-2246.36" + } + }, + "n95d": { + "hide_name": 0, + "bits": [ 3640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2247.32-2247.36" + } + }, + "n95e": { + "hide_name": 0, + "bits": [ 1017 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2248.32-2248.36" + } + }, + "n95f": { + "hide_name": 0, + "bits": [ 1013 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2249.32-2249.36" + } + }, + "n960": { + "hide_name": 0, + "bits": [ 3639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2250.32-2250.36" + } + }, + "n961": { + "hide_name": 0, + "bits": [ 3179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2251.32-2251.36" + } + }, + "n962": { + "hide_name": 0, + "bits": [ 3638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2252.32-2252.36" + } + }, + "n963": { + "hide_name": 0, + "bits": [ 3641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2253.32-2253.36" + } + }, + "n964": { + "hide_name": 0, + "bits": [ 3767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2254.32-2254.36" + } + }, + "n965": { + "hide_name": 0, + "bits": [ 3642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2255.32-2255.36" + } + }, + "n966": { + "hide_name": 0, + "bits": [ 3643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2256.32-2256.36" + } + }, + "n967": { + "hide_name": 0, + "bits": [ 2830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2257.32-2257.36" + } + }, + "n968": { + "hide_name": 0, + "bits": [ 2831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2258.32-2258.36" + } + }, + "n969": { + "hide_name": 0, + "bits": [ 3414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2259.32-2259.36" + } + }, + "n96a": { + "hide_name": 0, + "bits": [ 3415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2260.32-2260.36" + } + }, + "n96b": { + "hide_name": 0, + "bits": [ 3180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2261.32-2261.36" + } + }, + "n96c": { + "hide_name": 0, + "bits": [ 3417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2262.32-2262.36" + } + }, + "n96d": { + "hide_name": 0, + "bits": [ 2833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2263.32-2263.36" + } + }, + "n96e": { + "hide_name": 0, + "bits": [ 3416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2264.32-2264.36" + } + }, + "n96f": { + "hide_name": 0, + "bits": [ 3418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2265.32-2265.36" + } + }, + "n970": { + "hide_name": 0, + "bits": [ 2834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2266.32-2266.36" + } + }, + "n971": { + "hide_name": 0, + "bits": [ 2832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2267.32-2267.36" + } + }, + "n972": { + "hide_name": 0, + "bits": [ 1344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2268.32-2268.36" + } + }, + "n973": { + "hide_name": 0, + "bits": [ 1345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2269.32-2269.36" + } + }, + "n974": { + "hide_name": 0, + "bits": [ 1346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2270.32-2270.36" + } + }, + "n975": { + "hide_name": 0, + "bits": [ 1347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2271.32-2271.36" + } + }, + "n976": { + "hide_name": 0, + "bits": [ 1348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2272.32-2272.36" + } + }, + "n977": { + "hide_name": 0, + "bits": [ 1349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2273.32-2273.36" + } + }, + "n978": { + "hide_name": 0, + "bits": [ 1019 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2274.32-2274.36" + } + }, + "n979": { + "hide_name": 0, + "bits": [ 2837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2275.32-2275.36" + } + }, + "n97a": { + "hide_name": 0, + "bits": [ 1350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2276.32-2276.36" + } + }, + "n97b": { + "hide_name": 0, + "bits": [ 1351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2277.32-2277.36" + } + }, + "n97c": { + "hide_name": 0, + "bits": [ 1352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2278.32-2278.36" + } + }, + "n97d": { + "hide_name": 0, + "bits": [ 1353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2279.32-2279.36" + } + }, + "n97e": { + "hide_name": 0, + "bits": [ 1354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2280.32-2280.36" + } + }, + "n97f": { + "hide_name": 0, + "bits": [ 1355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2281.32-2281.36" + } + }, + "n980": { + "hide_name": 0, + "bits": [ 1356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2282.32-2282.36" + } + }, + "n981": { + "hide_name": 0, + "bits": [ 1357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2283.32-2283.36" + } + }, + "n982": { + "hide_name": 0, + "bits": [ 1358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2284.32-2284.36" + } + }, + "n983": { + "hide_name": 0, + "bits": [ 1359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2285.32-2285.36" + } + }, + "n984": { + "hide_name": 0, + "bits": [ 1360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2286.32-2286.36" + } + }, + "n985": { + "hide_name": 0, + "bits": [ 1361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2287.32-2287.36" + } + }, + "n986": { + "hide_name": 0, + "bits": [ 1330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2288.32-2288.36" + } + }, + "n987": { + "hide_name": 0, + "bits": [ 1331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2289.32-2289.36" + } + }, + "n988": { + "hide_name": 0, + "bits": [ 1332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2290.32-2290.36" + } + }, + "n989": { + "hide_name": 0, + "bits": [ 1333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2291.32-2291.36" + } + }, + "n98a": { + "hide_name": 0, + "bits": [ 1334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2292.32-2292.36" + } + }, + "n98b": { + "hide_name": 0, + "bits": [ 1335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2293.32-2293.36" + } + }, + "n98c": { + "hide_name": 0, + "bits": [ 1336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2294.32-2294.36" + } + }, + "n98d": { + "hide_name": 0, + "bits": [ 1337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2295.32-2295.36" + } + }, + "n98e": { + "hide_name": 0, + "bits": [ 1338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2296.32-2296.36" + } + }, + "n98f": { + "hide_name": 0, + "bits": [ 1339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2297.32-2297.36" + } + }, + "n990": { + "hide_name": 0, + "bits": [ 1340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2298.32-2298.36" + } + }, + "n991": { + "hide_name": 0, + "bits": [ 1341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2299.32-2299.36" + } + }, + "n992": { + "hide_name": 0, + "bits": [ 1376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2300.32-2300.36" + } + }, + "n993": { + "hide_name": 0, + "bits": [ 1377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2301.32-2301.36" + } + }, + "n994": { + "hide_name": 0, + "bits": [ 1378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2302.32-2302.36" + } + }, + "n995": { + "hide_name": 0, + "bits": [ 1379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2303.32-2303.36" + } + }, + "n996": { + "hide_name": 0, + "bits": [ 1380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2304.32-2304.36" + } + }, + "n997": { + "hide_name": 0, + "bits": [ 1381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2305.32-2305.36" + } + }, + "n998": { + "hide_name": 0, + "bits": [ 1382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2306.32-2306.36" + } + }, + "n999": { + "hide_name": 0, + "bits": [ 1383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2307.32-2307.36" + } + }, + "n99a": { + "hide_name": 0, + "bits": [ 1384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2308.32-2308.36" + } + }, + "n99b": { + "hide_name": 0, + "bits": [ 1385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2309.32-2309.36" + } + }, + "n99c": { + "hide_name": 0, + "bits": [ 1386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2310.32-2310.36" + } + }, + "n99d": { + "hide_name": 0, + "bits": [ 1387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2311.32-2311.36" + } + }, + "n99e": { + "hide_name": 0, + "bits": [ 1388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2312.32-2312.36" + } + }, + "n99f": { + "hide_name": 0, + "bits": [ 1389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2313.32-2313.36" + } + }, + "n9a0": { + "hide_name": 0, + "bits": [ 1390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2314.32-2314.36" + } + }, + "n9a1": { + "hide_name": 0, + "bits": [ 1391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2315.32-2315.36" + } + }, + "n9a2": { + "hide_name": 0, + "bits": [ 1392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2316.32-2316.36" + } + }, + "n9a3": { + "hide_name": 0, + "bits": [ 1393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2317.32-2317.36" + } + }, + "n9a4": { + "hide_name": 0, + "bits": [ 1394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2318.32-2318.36" + } + }, + "n9a5": { + "hide_name": 0, + "bits": [ 1395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2319.32-2319.36" + } + }, + "n9a6": { + "hide_name": 0, + "bits": [ 1364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2320.32-2320.36" + } + }, + "n9a7": { + "hide_name": 0, + "bits": [ 1365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2321.32-2321.36" + } + }, + "n9a8": { + "hide_name": 0, + "bits": [ 1366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2322.32-2322.36" + } + }, + "n9a9": { + "hide_name": 0, + "bits": [ 1367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2323.32-2323.36" + } + }, + "n9aa": { + "hide_name": 0, + "bits": [ 1368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2324.32-2324.36" + } + }, + "n9ab": { + "hide_name": 0, + "bits": [ 1369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2325.32-2325.36" + } + }, + "n9ac": { + "hide_name": 0, + "bits": [ 1370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2326.32-2326.36" + } + }, + "n9ad": { + "hide_name": 0, + "bits": [ 1371 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2327.32-2327.36" + } + }, + "n9ae": { + "hide_name": 0, + "bits": [ 1372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2328.32-2328.36" + } + }, + "n9af": { + "hide_name": 0, + "bits": [ 1373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2329.32-2329.36" + } + }, + "n9b0": { + "hide_name": 0, + "bits": [ 1374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2330.32-2330.36" + } + }, + "n9b1": { + "hide_name": 0, + "bits": [ 1375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2331.32-2331.36" + } + }, + "n9b2": { + "hide_name": 0, + "bits": [ 1410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2332.32-2332.36" + } + }, + "n9b3": { + "hide_name": 0, + "bits": [ 1411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2333.32-2333.36" + } + }, + "n9b4": { + "hide_name": 0, + "bits": [ 1412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2334.32-2334.36" + } + }, + "n9b5": { + "hide_name": 0, + "bits": [ 1413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2335.32-2335.36" + } + }, + "n9b6": { + "hide_name": 0, + "bits": [ 1414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2336.32-2336.36" + } + }, + "n9b7": { + "hide_name": 0, + "bits": [ 1415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2337.32-2337.36" + } + }, + "n9b8": { + "hide_name": 0, + "bits": [ 1416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2338.32-2338.36" + } + }, + "n9b9": { + "hide_name": 0, + "bits": [ 1417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2339.32-2339.36" + } + }, + "n9ba": { + "hide_name": 0, + "bits": [ 2835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2340.32-2340.36" + } + }, + "n9bb": { + "hide_name": 0, + "bits": [ 2836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2341.32-2341.36" + } + }, + "n9bc": { + "hide_name": 0, + "bits": [ 3182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2342.32-2342.36" + } + }, + "n9bd": { + "hide_name": 0, + "bits": [ 3762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2343.32-2343.36" + } + }, + "n9be": { + "hide_name": 0, + "bits": [ 2838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2344.32-2344.36" + } + }, + "n9bf": { + "hide_name": 0, + "bits": [ 3644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2345.32-2345.36" + } + }, + "n9c0": { + "hide_name": 0, + "bits": [ 3183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2346.32-2346.36" + } + }, + "n9c1": { + "hide_name": 0, + "bits": [ 3764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2347.32-2347.36" + } + }, + "n9c2": { + "hide_name": 0, + "bits": [ 2839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2348.32-2348.36" + } + }, + "n9c3": { + "hide_name": 0, + "bits": [ 3765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2349.32-2349.36" + } + }, + "n9c4": { + "hide_name": 0, + "bits": [ 3184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2350.32-2350.36" + } + }, + "n9c5": { + "hide_name": 0, + "bits": [ 2840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2351.32-2351.36" + } + }, + "n9c6": { + "hide_name": 0, + "bits": [ 3645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2352.32-2352.36" + } + }, + "n9c7": { + "hide_name": 0, + "bits": [ 3419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2353.32-2353.36" + } + }, + "n9c8": { + "hide_name": 0, + "bits": [ 2841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2354.32-2354.36" + } + }, + "n9c9": { + "hide_name": 0, + "bits": [ 3185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2355.32-2355.36" + } + }, + "n9ca": { + "hide_name": 0, + "bits": [ 3766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2356.32-2356.36" + } + }, + "n9cb": { + "hide_name": 0, + "bits": [ 3196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2357.32-2357.36" + } + }, + "n9cc": { + "hide_name": 0, + "bits": [ 3186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2358.32-2358.36" + } + }, + "n9cd": { + "hide_name": 0, + "bits": [ 2843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2359.32-2359.36" + } + }, + "n9ce": { + "hide_name": 0, + "bits": [ 1419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2360.32-2360.36" + } + }, + "n9cf": { + "hide_name": 0, + "bits": [ 1015 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2361.32-2361.36" + } + }, + "n9d0": { + "hide_name": 0, + "bits": [ 2844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2362.32-2362.36" + } + }, + "n9d1": { + "hide_name": 0, + "bits": [ 2842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2363.32-2363.36" + } + }, + "n9d2": { + "hide_name": 0, + "bits": [ 3420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2364.32-2364.36" + } + }, + "n9d3": { + "hide_name": 0, + "bits": [ 3768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2365.32-2365.36" + } + }, + "n9d4": { + "hide_name": 0, + "bits": [ 3769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2366.32-2366.36" + } + }, + "n9d5": { + "hide_name": 0, + "bits": [ 3646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2367.32-2367.36" + } + }, + "n9d6": { + "hide_name": 0, + "bits": [ 3187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2368.32-2368.36" + } + }, + "n9d7": { + "hide_name": 0, + "bits": [ 3188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2369.32-2369.36" + } + }, + "n9d8": { + "hide_name": 0, + "bits": [ 2845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2370.32-2370.36" + } + }, + "n9d9": { + "hide_name": 0, + "bits": [ 3421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2371.32-2371.36" + } + }, + "n9da": { + "hide_name": 0, + "bits": [ 1418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2372.32-2372.36" + } + }, + "n9db": { + "hide_name": 0, + "bits": [ 3189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2373.32-2373.36" + } + }, + "n9dc": { + "hide_name": 0, + "bits": [ 3423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2374.32-2374.36" + } + }, + "n9dd": { + "hide_name": 0, + "bits": [ 3190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2375.32-2375.36" + } + }, + "n9de": { + "hide_name": 0, + "bits": [ 3770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2376.32-2376.36" + } + }, + "n9df": { + "hide_name": 0, + "bits": [ 2847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2377.32-2377.36" + } + }, + "n9e0": { + "hide_name": 0, + "bits": [ 2846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2378.32-2378.36" + } + }, + "n9e1": { + "hide_name": 0, + "bits": [ 3422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2379.32-2379.36" + } + }, + "n9e2": { + "hide_name": 0, + "bits": [ 3424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2380.32-2380.36" + } + }, + "n9e3": { + "hide_name": 0, + "bits": [ 3191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2381.32-2381.36" + } + }, + "n9e4": { + "hide_name": 0, + "bits": [ 3771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2382.32-2382.36" + } + }, + "n9e5": { + "hide_name": 0, + "bits": [ 2848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2383.32-2383.36" + } + }, + "n9e6": { + "hide_name": 0, + "bits": [ 3192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2384.32-2384.36" + } + }, + "n9e7": { + "hide_name": 0, + "bits": [ 3193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2385.32-2385.36" + } + }, + "n9e8": { + "hide_name": 0, + "bits": [ 3428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2386.32-2386.36" + } + }, + "n9e9": { + "hide_name": 0, + "bits": [ 3431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2387.32-2387.36" + } + }, + "n9ea": { + "hide_name": 0, + "bits": [ 3772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2388.32-2388.36" + } + }, + "n9eb": { + "hide_name": 0, + "bits": [ 3773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2389.32-2389.36" + } + }, + "n9ec": { + "hide_name": 0, + "bits": [ 3774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2390.32-2390.36" + } + }, + "n9ed": { + "hide_name": 0, + "bits": [ 2849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2391.32-2391.36" + } + }, + "n9ee": { + "hide_name": 0, + "bits": [ 3194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2392.32-2392.36" + } + }, + "n9ef": { + "hide_name": 0, + "bits": [ 3425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2393.32-2393.36" + } + }, + "n9f0": { + "hide_name": 0, + "bits": [ 3426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2394.32-2394.36" + } + }, + "n9f1": { + "hide_name": 0, + "bits": [ 3427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2395.32-2395.36" + } + }, + "n9f2": { + "hide_name": 0, + "bits": [ 3195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2396.32-2396.36" + } + }, + "n9f3": { + "hide_name": 0, + "bits": [ 2851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2397.32-2397.36" + } + }, + "n9f4": { + "hide_name": 0, + "bits": [ 2850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2398.32-2398.36" + } + }, + "n9f5": { + "hide_name": 0, + "bits": [ 1420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2399.32-2399.36" + } + }, + "n9f6": { + "hide_name": 0, + "bits": [ 1421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2400.32-2400.36" + } + }, + "n9f7": { + "hide_name": 0, + "bits": [ 1422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2401.32-2401.36" + } + }, + "n9f8": { + "hide_name": 0, + "bits": [ 1423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2402.32-2402.36" + } + }, + "n9f9": { + "hide_name": 0, + "bits": [ 1424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2403.32-2403.36" + } + }, + "n9fa": { + "hide_name": 0, + "bits": [ 1425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2404.32-2404.36" + } + }, + "n9fb": { + "hide_name": 0, + "bits": [ 1426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2405.32-2405.36" + } + }, + "n9fc": { + "hide_name": 0, + "bits": [ 1427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2406.32-2406.36" + } + }, + "n9fd": { + "hide_name": 0, + "bits": [ 1428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2407.32-2407.36" + } + }, + "n9fe": { + "hide_name": 0, + "bits": [ 1429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2408.32-2408.36" + } + }, + "n9ff": { + "hide_name": 0, + "bits": [ 1398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2409.32-2409.36" + } + }, + "na0": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2410.32-2410.35" + } + }, + "na00": { + "hide_name": 0, + "bits": [ 1399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2411.32-2411.36" + } + }, + "na01": { + "hide_name": 0, + "bits": [ 1400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2412.32-2412.36" + } + }, + "na02": { + "hide_name": 0, + "bits": [ 1401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2413.32-2413.36" + } + }, + "na03": { + "hide_name": 0, + "bits": [ 1402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2414.32-2414.36" + } + }, + "na04": { + "hide_name": 0, + "bits": [ 1403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2415.32-2415.36" + } + }, + "na05": { + "hide_name": 0, + "bits": [ 1404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2416.32-2416.36" + } + }, + "na06": { + "hide_name": 0, + "bits": [ 1405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2417.32-2417.36" + } + }, + "na07": { + "hide_name": 0, + "bits": [ 1406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2418.32-2418.36" + } + }, + "na08": { + "hide_name": 0, + "bits": [ 1407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2419.32-2419.36" + } + }, + "na09": { + "hide_name": 0, + "bits": [ 1408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2420.32-2420.36" + } + }, + "na0a": { + "hide_name": 0, + "bits": [ 1409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2421.32-2421.36" + } + }, + "na0b": { + "hide_name": 0, + "bits": [ 1011 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2422.32-2422.36" + } + }, + "na0c": { + "hide_name": 0, + "bits": [ 1021 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2423.32-2423.36" + } + }, + "na0d": { + "hide_name": 0, + "bits": [ 3776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2424.32-2424.36" + } + }, + "na0e": { + "hide_name": 0, + "bits": [ 3205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2425.32-2425.36" + } + }, + "na0f": { + "hide_name": 0, + "bits": [ 3830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2426.32-2426.36" + } + }, + "na1": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2427.32-2427.35" + } + }, + "na10": { + "hide_name": 0, + "bits": [ 3831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2428.32-2428.36" + } + }, + "na11": { + "hide_name": 0, + "bits": [ 3433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2429.32-2429.36" + } + }, + "na12": { + "hide_name": 0, + "bits": [ 2852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2430.32-2430.36" + } + }, + "na13": { + "hide_name": 0, + "bits": [ 3430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2431.32-2431.36" + } + }, + "na14": { + "hide_name": 0, + "bits": [ 3197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2432.32-2432.36" + } + }, + "na15": { + "hide_name": 0, + "bits": [ 1016 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2433.32-2433.36" + } + }, + "na16": { + "hide_name": 0, + "bits": [ 3429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2434.32-2434.36" + } + }, + "na17": { + "hide_name": 0, + "bits": [ 1020 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2435.32-2435.36" + } + }, + "na18": { + "hide_name": 0, + "bits": [ 1014 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2436.32-2436.36" + } + }, + "na19": { + "hide_name": 0, + "bits": [ 1018 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2437.32-2437.36" + } + }, + "na1a": { + "hide_name": 0, + "bits": [ 2854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2438.32-2438.36" + } + }, + "na1b": { + "hide_name": 0, + "bits": [ 3434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2439.32-2439.36" + } + }, + "na1c": { + "hide_name": 0, + "bits": [ 3199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2440.32-2440.36" + } + }, + "na1d": { + "hide_name": 0, + "bits": [ 1009 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2441.32-2441.36" + } + }, + "na1e": { + "hide_name": 0, + "bits": [ 1010 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2442.32-2442.36" + } + }, + "na1f": { + "hide_name": 0, + "bits": [ 3777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2443.32-2443.36" + } + }, + "na2": { + "hide_name": 0, + "bits": [ 918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2444.32-2444.35" + } + }, + "na20": { + "hide_name": 0, + "bits": [ 3778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2445.32-2445.36" + } + }, + "na21": { + "hide_name": 0, + "bits": [ 3832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2446.32-2446.36" + } + }, + "na22": { + "hide_name": 0, + "bits": [ 2853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2447.32-2447.36" + } + }, + "na23": { + "hide_name": 0, + "bits": [ 3198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2448.32-2448.36" + } + }, + "na24": { + "hide_name": 0, + "bits": [ 2855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2449.32-2449.36" + } + }, + "na25": { + "hide_name": 0, + "bits": [ 3200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2450.32-2450.36" + } + }, + "na26": { + "hide_name": 0, + "bits": [ 2856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2451.32-2451.36" + } + }, + "na27": { + "hide_name": 0, + "bits": [ 2857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2452.32-2452.36" + } + }, + "na28": { + "hide_name": 0, + "bits": [ 1008 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2453.32-2453.36" + } + }, + "na29": { + "hide_name": 0, + "bits": [ 1012 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2454.32-2454.36" + } + }, + "na2a": { + "hide_name": 0, + "bits": [ 1444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2455.32-2455.36" + } + }, + "na2b": { + "hide_name": 0, + "bits": [ 1445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2456.32-2456.36" + } + }, + "na2c": { + "hide_name": 0, + "bits": [ 2860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2457.32-2457.36" + } + }, + "na2d": { + "hide_name": 0, + "bits": [ 1446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2458.32-2458.36" + } + }, + "na2e": { + "hide_name": 0, + "bits": [ 1447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2459.32-2459.36" + } + }, + "na2f": { + "hide_name": 0, + "bits": [ 1448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2460.32-2460.36" + } + }, + "na3": { + "hide_name": 0, + "bits": [ 1464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2461.32-2461.35" + } + }, + "na30": { + "hide_name": 0, + "bits": [ 1449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2462.32-2462.36" + } + }, + "na31": { + "hide_name": 0, + "bits": [ 1450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2463.32-2463.36" + } + }, + "na32": { + "hide_name": 0, + "bits": [ 1451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2464.32-2464.36" + } + }, + "na33": { + "hide_name": 0, + "bits": [ 1005 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2465.32-2465.36" + } + }, + "na34": { + "hide_name": 0, + "bits": [ 2334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2466.32-2466.36" + } + }, + "na35": { + "hide_name": 0, + "bits": [ 2335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2467.32-2467.36" + } + }, + "na36": { + "hide_name": 0, + "bits": [ 3435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2468.32-2468.36" + } + }, + "na37": { + "hide_name": 0, + "bits": [ 1004 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2469.32-2469.36" + } + }, + "na38": { + "hide_name": 0, + "bits": [ 3436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2470.32-2470.36" + } + }, + "na39": { + "hide_name": 0, + "bits": [ 3437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2471.32-2471.36" + } + }, + "na3a": { + "hide_name": 0, + "bits": [ 3779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2472.32-2472.36" + } + }, + "na3b": { + "hide_name": 0, + "bits": [ 3780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2473.32-2473.36" + } + }, + "na3c": { + "hide_name": 0, + "bits": [ 1006 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2474.32-2474.36" + } + }, + "na3d": { + "hide_name": 0, + "bits": [ 2336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2475.32-2475.36" + } + }, + "na3e": { + "hide_name": 0, + "bits": [ 1452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2476.32-2476.36" + } + }, + "na3f": { + "hide_name": 0, + "bits": [ 1453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2477.32-2477.36" + } + }, + "na4": { + "hide_name": 0, + "bits": [ 1975 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2478.32-2478.35" + } + }, + "na40": { + "hide_name": 0, + "bits": [ 1454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2479.32-2479.36" + } + }, + "na41": { + "hide_name": 0, + "bits": [ 1455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2480.32-2480.36" + } + }, + "na42": { + "hide_name": 0, + "bits": [ 1456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2481.32-2481.36" + } + }, + "na43": { + "hide_name": 0, + "bits": [ 1457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2482.32-2482.36" + } + }, + "na44": { + "hide_name": 0, + "bits": [ 1007 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2483.32-2483.36" + } + }, + "na45": { + "hide_name": 0, + "bits": [ 3447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2484.32-2484.36" + } + }, + "na46": { + "hide_name": 0, + "bits": [ 1458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2485.32-2485.36" + } + }, + "na47": { + "hide_name": 0, + "bits": [ 1459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2486.32-2486.36" + } + }, + "na48": { + "hide_name": 0, + "bits": [ 1460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2487.32-2487.36" + } + }, + "na49": { + "hide_name": 0, + "bits": [ 1461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2488.32-2488.36" + } + }, + "na4a": { + "hide_name": 0, + "bits": [ 1462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2489.32-2489.36" + } + }, + "na4b": { + "hide_name": 0, + "bits": [ 1463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2490.32-2490.36" + } + }, + "na4c": { + "hide_name": 0, + "bits": [ 1432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2491.32-2491.36" + } + }, + "na4d": { + "hide_name": 0, + "bits": [ 1433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2492.32-2492.36" + } + }, + "na4e": { + "hide_name": 0, + "bits": [ 1434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2493.32-2493.36" + } + }, + "na4f": { + "hide_name": 0, + "bits": [ 1435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2494.32-2494.36" + } + }, + "na5": { + "hide_name": 0, + "bits": [ 1976 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2495.32-2495.35" + } + }, + "na50": { + "hide_name": 0, + "bits": [ 1436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2496.32-2496.36" + } + }, + "na51": { + "hide_name": 0, + "bits": [ 1437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2497.32-2497.36" + } + }, + "na52": { + "hide_name": 0, + "bits": [ 1438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2498.32-2498.36" + } + }, + "na53": { + "hide_name": 0, + "bits": [ 1439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2499.32-2499.36" + } + }, + "na54": { + "hide_name": 0, + "bits": [ 1440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2500.32-2500.36" + } + }, + "na55": { + "hide_name": 0, + "bits": [ 1441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2501.32-2501.36" + } + }, + "na56": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2502.32-2502.36" + } + }, + "na57": { + "hide_name": 0, + "bits": [ 1443 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2503.32-2503.36" + } + }, + "na58": { + "hide_name": 0, + "bits": [ 934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2504.32-2504.36" + } + }, + "na59": { + "hide_name": 0, + "bits": [ 935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2505.32-2505.36" + } + }, + "na5a": { + "hide_name": 0, + "bits": [ 936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2506.32-2506.36" + } + }, + "na5b": { + "hide_name": 0, + "bits": [ 937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2507.32-2507.36" + } + }, + "na5c": { + "hide_name": 0, + "bits": [ 938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2508.32-2508.36" + } + }, + "na5d": { + "hide_name": 0, + "bits": [ 939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2509.32-2509.36" + } + }, + "na5e": { + "hide_name": 0, + "bits": [ 940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2510.32-2510.36" + } + }, + "na5f": { + "hide_name": 0, + "bits": [ 941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2511.32-2511.36" + } + }, + "na6": { + "hide_name": 0, + "bits": [ 2165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2512.32-2512.35" + } + }, + "na60": { + "hide_name": 0, + "bits": [ 942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2513.32-2513.36" + } + }, + "na61": { + "hide_name": 0, + "bits": [ 943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2514.32-2514.36" + } + }, + "na62": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2515.32-2515.36" + } + }, + "na63": { + "hide_name": 0, + "bits": [ 945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2516.32-2516.36" + } + }, + "na64": { + "hide_name": 0, + "bits": [ 946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2517.32-2517.36" + } + }, + "na65": { + "hide_name": 0, + "bits": [ 947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2518.32-2518.36" + } + }, + "na66": { + "hide_name": 0, + "bits": [ 948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2519.32-2519.36" + } + }, + "na67": { + "hide_name": 0, + "bits": [ 949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2520.32-2520.36" + } + }, + "na68": { + "hide_name": 0, + "bits": [ 950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2521.32-2521.36" + } + }, + "na69": { + "hide_name": 0, + "bits": [ 951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2522.32-2522.36" + } + }, + "na6a": { + "hide_name": 0, + "bits": [ 952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2523.32-2523.36" + } + }, + "na6b": { + "hide_name": 0, + "bits": [ 953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2524.32-2524.36" + } + }, + "na6c": { + "hide_name": 0, + "bits": [ 922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2525.32-2525.36" + } + }, + "na6d": { + "hide_name": 0, + "bits": [ 923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2526.32-2526.36" + } + }, + "na6e": { + "hide_name": 0, + "bits": [ 924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2527.32-2527.36" + } + }, + "na6f": { + "hide_name": 0, + "bits": [ 925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2528.32-2528.36" + } + }, + "na7": { + "hide_name": 0, + "bits": [ 2198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2529.32-2529.35" + } + }, + "na70": { + "hide_name": 0, + "bits": [ 926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2530.32-2530.36" + } + }, + "na71": { + "hide_name": 0, + "bits": [ 927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2531.32-2531.36" + } + }, + "na72": { + "hide_name": 0, + "bits": [ 928 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2532.32-2532.36" + } + }, + "na73": { + "hide_name": 0, + "bits": [ 929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2533.32-2533.36" + } + }, + "na74": { + "hide_name": 0, + "bits": [ 930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2534.32-2534.36" + } + }, + "na75": { + "hide_name": 0, + "bits": [ 931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2535.32-2535.36" + } + }, + "na76": { + "hide_name": 0, + "bits": [ 932 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2536.32-2536.36" + } + }, + "na77": { + "hide_name": 0, + "bits": [ 933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2537.32-2537.36" + } + }, + "na78": { + "hide_name": 0, + "bits": [ 968 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2538.32-2538.36" + } + }, + "na79": { + "hide_name": 0, + "bits": [ 969 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2539.32-2539.36" + } + }, + "na7a": { + "hide_name": 0, + "bits": [ 970 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2540.32-2540.36" + } + }, + "na7b": { + "hide_name": 0, + "bits": [ 971 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2541.32-2541.36" + } + }, + "na7c": { + "hide_name": 0, + "bits": [ 972 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2542.32-2542.36" + } + }, + "na7d": { + "hide_name": 0, + "bits": [ 973 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2543.32-2543.36" + } + }, + "na7e": { + "hide_name": 0, + "bits": [ 974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2544.32-2544.36" + } + }, + "na7f": { + "hide_name": 0, + "bits": [ 975 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2545.32-2545.36" + } + }, + "na8": { + "hide_name": 0, + "bits": [ 2231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2546.32-2546.35" + } + }, + "na80": { + "hide_name": 0, + "bits": [ 976 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2547.32-2547.36" + } + }, + "na81": { + "hide_name": 0, + "bits": [ 977 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2548.32-2548.36" + } + }, + "na82": { + "hide_name": 0, + "bits": [ 978 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2549.32-2549.36" + } + }, + "na83": { + "hide_name": 0, + "bits": [ 979 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2550.32-2550.36" + } + }, + "na84": { + "hide_name": 0, + "bits": [ 980 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2551.32-2551.36" + } + }, + "na85": { + "hide_name": 0, + "bits": [ 981 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2552.32-2552.36" + } + }, + "na86": { + "hide_name": 0, + "bits": [ 982 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2553.32-2553.36" + } + }, + "na87": { + "hide_name": 0, + "bits": [ 983 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2554.32-2554.36" + } + }, + "na88": { + "hide_name": 0, + "bits": [ 984 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2555.32-2555.36" + } + }, + "na89": { + "hide_name": 0, + "bits": [ 985 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2556.32-2556.36" + } + }, + "na8a": { + "hide_name": 0, + "bits": [ 961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2557.32-2557.36" + } + }, + "na8b": { + "hide_name": 0, + "bits": [ 2337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2558.32-2558.36" + } + }, + "na8c": { + "hide_name": 0, + "bits": [ 3211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2559.32-2559.36" + } + }, + "na8d": { + "hide_name": 0, + "bits": [ 3212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2560.32-2560.36" + } + }, + "na8e": { + "hide_name": 0, + "bits": [ 3781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2561.32-2561.36" + } + }, + "na8f": { + "hide_name": 0, + "bits": [ 2861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2562.32-2562.36" + } + }, + "na9": { + "hide_name": 0, + "bits": [ 2264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2563.32-2563.35" + } + }, + "na90": { + "hide_name": 0, + "bits": [ 2862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2564.32-2564.36" + } + }, + "na91": { + "hide_name": 0, + "bits": [ 3782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2565.32-2565.36" + } + }, + "na92": { + "hide_name": 0, + "bits": [ 3783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2566.32-2566.36" + } + }, + "na93": { + "hide_name": 0, + "bits": [ 3445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2567.32-2567.36" + } + }, + "na94": { + "hide_name": 0, + "bits": [ 2865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2568.32-2568.36" + } + }, + "na95": { + "hide_name": 0, + "bits": [ 2863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2569.32-2569.36" + } + }, + "na96": { + "hide_name": 0, + "bits": [ 2864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2570.32-2570.36" + } + }, + "na97": { + "hide_name": 0, + "bits": [ 3206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2571.32-2571.36" + } + }, + "na98": { + "hide_name": 0, + "bits": [ 2338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2572.32-2572.36" + } + }, + "na99": { + "hide_name": 0, + "bits": [ 986 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2573.32-2573.36" + } + }, + "na9a": { + "hide_name": 0, + "bits": [ 956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2574.32-2574.36" + } + }, + "na9b": { + "hide_name": 0, + "bits": [ 957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2575.32-2575.36" + } + }, + "na9c": { + "hide_name": 0, + "bits": [ 3440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2576.32-2576.36" + } + }, + "na9d": { + "hide_name": 0, + "bits": [ 3207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2577.32-2577.36" + } + }, + "na9e": { + "hide_name": 0, + "bits": [ 3438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2578.32-2578.36" + } + }, + "na9f": { + "hide_name": 0, + "bits": [ 3439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2579.32-2579.36" + } + }, + "naa": { + "hide_name": 0, + "bits": [ 2297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2580.32-2580.35" + } + }, + "naa0": { + "hide_name": 0, + "bits": [ 959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2581.32-2581.36" + } + }, + "naa1": { + "hide_name": 0, + "bits": [ 2866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2582.32-2582.36" + } + }, + "naa2": { + "hide_name": 0, + "bits": [ 3441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2583.32-2583.36" + } + }, + "naa3": { + "hide_name": 0, + "bits": [ 2339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2584.32-2584.36" + } + }, + "naa4": { + "hide_name": 0, + "bits": [ 3784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2585.32-2585.36" + } + }, + "naa5": { + "hide_name": 0, + "bits": [ 2340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2586.32-2586.36" + } + }, + "naa6": { + "hide_name": 0, + "bits": [ 3208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2587.32-2587.36" + } + }, + "naa7": { + "hide_name": 0, + "bits": [ 3209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2588.32-2588.36" + } + }, + "naa8": { + "hide_name": 0, + "bits": [ 3785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2589.32-2589.36" + } + }, + "naa9": { + "hide_name": 0, + "bits": [ 2341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2590.32-2590.36" + } + }, + "naaa": { + "hide_name": 0, + "bits": [ 987 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2591.32-2591.36" + } + }, + "naab": { + "hide_name": 0, + "bits": [ 2342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2592.32-2592.36" + } + }, + "naac": { + "hide_name": 0, + "bits": [ 2867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2593.32-2593.36" + } + }, + "naad": { + "hide_name": 0, + "bits": [ 2343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2594.32-2594.36" + } + }, + "naae": { + "hide_name": 0, + "bits": [ 3443 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2595.32-2595.36" + } + }, + "naaf": { + "hide_name": 0, + "bits": [ 2868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2596.32-2596.36" + } + }, + "nab": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2597.32-2597.35" + } + }, + "nab0": { + "hide_name": 0, + "bits": [ 3210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2598.32-2598.36" + } + }, + "nab1": { + "hide_name": 0, + "bits": [ 3786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2599.32-2599.36" + } + }, + "nab2": { + "hide_name": 0, + "bits": [ 3787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2600.32-2600.36" + } + }, + "nab3": { + "hide_name": 0, + "bits": [ 2344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2601.32-2601.36" + } + }, + "nab4": { + "hide_name": 0, + "bits": [ 2869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2602.32-2602.36" + } + }, + "nab5": { + "hide_name": 0, + "bits": [ 2345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2603.32-2603.36" + } + }, + "nab6": { + "hide_name": 0, + "bits": [ 2346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2604.32-2604.36" + } + }, + "nab7": { + "hide_name": 0, + "bits": [ 964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2605.32-2605.36" + } + }, + "nab8": { + "hide_name": 0, + "bits": [ 967 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2606.32-2606.36" + } + }, + "nab9": { + "hide_name": 0, + "bits": [ 2347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2607.32-2607.36" + } + }, + "naba": { + "hide_name": 0, + "bits": [ 2348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2608.32-2608.36" + } + }, + "nabb": { + "hide_name": 0, + "bits": [ 3444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2609.32-2609.36" + } + }, + "nabc": { + "hide_name": 0, + "bits": [ 3788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2610.32-2610.36" + } + }, + "nabd": { + "hide_name": 0, + "bits": [ 2349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2611.32-2611.36" + } + }, + "nabe": { + "hide_name": 0, + "bits": [ 960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2612.32-2612.36" + } + }, + "nabf": { + "hide_name": 0, + "bits": [ 2352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2613.32-2613.36" + } + }, + "nac": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2614.32-2614.35" + } + }, + "nac0": { + "hide_name": 0, + "bits": [ 2351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2615.32-2615.36" + } + }, + "nac1": { + "hide_name": 0, + "bits": [ 3213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2616.32-2616.36" + } + }, + "nac2": { + "hide_name": 0, + "bits": [ 958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2617.32-2617.36" + } + }, + "nac3": { + "hide_name": 0, + "bits": [ 1003 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2618.32-2618.36" + } + }, + "nac4": { + "hide_name": 0, + "bits": [ 3789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2619.32-2619.36" + } + }, + "nac5": { + "hide_name": 0, + "bits": [ 2353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2620.32-2620.36" + } + }, + "nac6": { + "hide_name": 0, + "bits": [ 962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2621.32-2621.36" + } + }, + "nac7": { + "hide_name": 0, + "bits": [ 2354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2622.32-2622.36" + } + }, + "nac8": { + "hide_name": 0, + "bits": [ 2871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2623.32-2623.36" + } + }, + "nac9": { + "hide_name": 0, + "bits": [ 3790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2624.32-2624.36" + } + }, + "naca": { + "hide_name": 0, + "bits": [ 3791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2625.32-2625.36" + } + }, + "nacb": { + "hide_name": 0, + "bits": [ 963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2626.32-2626.36" + } + }, + "nacc": { + "hide_name": 0, + "bits": [ 965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2627.32-2627.36" + } + }, + "nacd": { + "hide_name": 0, + "bits": [ 3792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2628.32-2628.36" + } + }, + "nace": { + "hide_name": 0, + "bits": [ 2355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2629.32-2629.36" + } + }, + "nacf": { + "hide_name": 0, + "bits": [ 3793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2630.32-2630.36" + } + }, + "nad": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2631.32-2631.35" + } + }, + "nad0": { + "hide_name": 0, + "bits": [ 1002 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2632.32-2632.36" + } + }, + "nad1": { + "hide_name": 0, + "bits": [ 2356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2633.32-2633.36" + } + }, + "nad2": { + "hide_name": 0, + "bits": [ 2872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2634.32-2634.36" + } + }, + "nad3": { + "hide_name": 0, + "bits": [ 2357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2635.32-2635.36" + } + }, + "nad4": { + "hide_name": 0, + "bits": [ 2873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2636.32-2636.36" + } + }, + "nad5": { + "hide_name": 0, + "bits": [ 3794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2637.32-2637.36" + } + }, + "nad6": { + "hide_name": 0, + "bits": [ 3446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2638.32-2638.36" + } + }, + "nad7": { + "hide_name": 0, + "bits": [ 2358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2639.32-2639.36" + } + }, + "nad8": { + "hide_name": 0, + "bits": [ 2360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2640.32-2640.36" + } + }, + "nad9": { + "hide_name": 0, + "bits": [ 2359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2641.32-2641.36" + } + }, + "nada": { + "hide_name": 0, + "bits": [ 966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2642.32-2642.36" + } + }, + "nadb": { + "hide_name": 0, + "bits": [ 2874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2643.32-2643.36" + } + }, + "nadc": { + "hide_name": 0, + "bits": [ 2362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2644.32-2644.36" + } + }, + "nadd": { + "hide_name": 0, + "bits": [ 994 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2645.32-2645.36" + } + }, + "nade": { + "hide_name": 0, + "bits": [ 1048 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2646.32-2646.36" + } + }, + "nadf": { + "hide_name": 0, + "bits": [ 1052 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2647.32-2647.36" + } + }, + "nae": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2648.32-2648.35" + } + }, + "nae0": { + "hide_name": 0, + "bits": [ 1035 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2649.32-2649.36" + } + }, + "nae1": { + "hide_name": 0, + "bits": [ 1024 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2650.32-2650.36" + } + }, + "nae2": { + "hide_name": 0, + "bits": [ 1474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2651.32-2651.36" + } + }, + "nae3": { + "hide_name": 0, + "bits": [ 1026 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2652.32-2652.36" + } + }, + "nae4": { + "hide_name": 0, + "bits": [ 1028 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2653.32-2653.36" + } + }, + "nae5": { + "hide_name": 0, + "bits": [ 1030 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2654.32-2654.36" + } + }, + "nae6": { + "hide_name": 0, + "bits": [ 1031 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2655.32-2655.36" + } + }, + "nae7": { + "hide_name": 0, + "bits": [ 1032 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2656.32-2656.36" + } + }, + "nae8": { + "hide_name": 0, + "bits": [ 2427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2657.32-2657.36" + } + }, + "nae9": { + "hide_name": 0, + "bits": [ 1085 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2658.32-2658.36" + } + }, + "naea": { + "hide_name": 0, + "bits": [ 1089 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2659.32-2659.36" + } + }, + "naeb": { + "hide_name": 0, + "bits": [ 1470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2660.32-2660.36" + } + }, + "naec": { + "hide_name": 0, + "bits": [ 1034 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2661.32-2661.36" + } + }, + "naed": { + "hide_name": 0, + "bits": [ 1070 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2662.32-2662.36" + } + }, + "naee": { + "hide_name": 0, + "bits": [ 1079 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2663.32-2663.36" + } + }, + "naef": { + "hide_name": 0, + "bits": [ 2914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2664.32-2664.36" + } + }, + "naf": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2665.32-2665.35" + } + }, + "naf0": { + "hide_name": 0, + "bits": [ 1072 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2666.32-2666.36" + } + }, + "naf1": { + "hide_name": 0, + "bits": [ 1073 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2667.32-2667.36" + } + }, + "naf2": { + "hide_name": 0, + "bits": [ 1074 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2668.32-2668.36" + } + }, + "naf3": { + "hide_name": 0, + "bits": [ 1075 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2669.32-2669.36" + } + }, + "naf4": { + "hide_name": 0, + "bits": [ 1076 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2670.32-2670.36" + } + }, + "naf5": { + "hide_name": 0, + "bits": [ 1077 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2671.32-2671.36" + } + }, + "naf6": { + "hide_name": 0, + "bits": [ 1078 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2672.32-2672.36" + } + }, + "naf7": { + "hide_name": 0, + "bits": [ 1080 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2673.32-2673.36" + } + }, + "naf8": { + "hide_name": 0, + "bits": [ 1081 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2674.32-2674.36" + } + }, + "naf9": { + "hide_name": 0, + "bits": [ 3216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2675.32-2675.36" + } + }, + "nafa": { + "hide_name": 0, + "bits": [ 1083 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2676.32-2676.36" + } + }, + "nafb": { + "hide_name": 0, + "bits": [ 2363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2677.32-2677.36" + } + }, + "nafc": { + "hide_name": 0, + "bits": [ 2364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2678.32-2678.36" + } + }, + "nafd": { + "hide_name": 0, + "bits": [ 2370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2679.32-2679.36" + } + }, + "nafe": { + "hide_name": 0, + "bits": [ 1468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2680.32-2680.36" + } + }, + "naff": { + "hide_name": 0, + "bits": [ 3217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2681.32-2681.36" + } + }, + "nb0": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2682.32-2682.35" + } + }, + "nb00": { + "hide_name": 0, + "bits": [ 2371 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2683.32-2683.36" + } + }, + "nb01": { + "hide_name": 0, + "bits": [ 2365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2684.32-2684.36" + } + }, + "nb02": { + "hide_name": 0, + "bits": [ 2366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2685.32-2685.36" + } + }, + "nb03": { + "hide_name": 0, + "bits": [ 2368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2686.32-2686.36" + } + }, + "nb04": { + "hide_name": 0, + "bits": [ 2369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2687.32-2687.36" + } + }, + "nb05": { + "hide_name": 0, + "bits": [ 2367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2688.32-2688.36" + } + }, + "nb06": { + "hide_name": 0, + "bits": [ 2875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2689.32-2689.36" + } + }, + "nb07": { + "hide_name": 0, + "bits": [ 1087 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2690.32-2690.36" + } + }, + "nb08": { + "hide_name": 0, + "bits": [ 1082 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2691.32-2691.36" + } + }, + "nb09": { + "hide_name": 0, + "bits": [ 1084 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2692.32-2692.36" + } + }, + "nb0a": { + "hide_name": 0, + "bits": [ 1059 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2693.32-2693.36" + } + }, + "nb0b": { + "hide_name": 0, + "bits": [ 3796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2694.32-2694.36" + } + }, + "nb0c": { + "hide_name": 0, + "bits": [ 1086 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2695.32-2695.36" + } + }, + "nb0d": { + "hide_name": 0, + "bits": [ 1088 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2696.32-2696.36" + } + }, + "nb0e": { + "hide_name": 0, + "bits": [ 1058 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2697.32-2697.36" + } + }, + "nb0f": { + "hide_name": 0, + "bits": [ 1060 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2698.32-2698.36" + } + }, + "nb1": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2699.32-2699.35" + } + }, + "nb10": { + "hide_name": 0, + "bits": [ 1061 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2700.32-2700.36" + } + }, + "nb11": { + "hide_name": 0, + "bits": [ 1062 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2701.32-2701.36" + } + }, + "nb12": { + "hide_name": 0, + "bits": [ 1063 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2702.32-2702.36" + } + }, + "nb13": { + "hide_name": 0, + "bits": [ 1064 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2703.32-2703.36" + } + }, + "nb14": { + "hide_name": 0, + "bits": [ 1065 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2704.32-2704.36" + } + }, + "nb15": { + "hide_name": 0, + "bits": [ 1066 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2705.32-2705.36" + } + }, + "nb16": { + "hide_name": 0, + "bits": [ 1067 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2706.32-2706.36" + } + }, + "nb17": { + "hide_name": 0, + "bits": [ 1068 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2707.32-2707.36" + } + }, + "nb18": { + "hide_name": 0, + "bits": [ 1069 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2708.32-2708.36" + } + }, + "nb19": { + "hide_name": 0, + "bits": [ 1104 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2709.32-2709.36" + } + }, + "nb1a": { + "hide_name": 0, + "bits": [ 1105 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2710.32-2710.36" + } + }, + "nb1b": { + "hide_name": 0, + "bits": [ 1106 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2711.32-2711.36" + } + }, + "nb1c": { + "hide_name": 0, + "bits": [ 1107 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2712.32-2712.36" + } + }, + "nb1d": { + "hide_name": 0, + "bits": [ 1108 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2713.32-2713.36" + } + }, + "nb1e": { + "hide_name": 0, + "bits": [ 1109 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2714.32-2714.36" + } + }, + "nb1f": { + "hide_name": 0, + "bits": [ 1110 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2715.32-2715.36" + } + }, + "nb2": { + "hide_name": 0, + "bits": [ 302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2716.32-2716.35" + } + }, + "nb20": { + "hide_name": 0, + "bits": [ 1111 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2717.32-2717.36" + } + }, + "nb21": { + "hide_name": 0, + "bits": [ 1112 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2718.32-2718.36" + } + }, + "nb22": { + "hide_name": 0, + "bits": [ 1113 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2719.32-2719.36" + } + }, + "nb23": { + "hide_name": 0, + "bits": [ 1114 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2720.32-2720.36" + } + }, + "nb24": { + "hide_name": 0, + "bits": [ 1115 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2721.32-2721.36" + } + }, + "nb25": { + "hide_name": 0, + "bits": [ 1116 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2722.32-2722.36" + } + }, + "nb26": { + "hide_name": 0, + "bits": [ 1117 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2723.32-2723.36" + } + }, + "nb27": { + "hide_name": 0, + "bits": [ 1118 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2724.32-2724.36" + } + }, + "nb28": { + "hide_name": 0, + "bits": [ 1119 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2725.32-2725.36" + } + }, + "nb29": { + "hide_name": 0, + "bits": [ 1120 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2726.32-2726.36" + } + }, + "nb2a": { + "hide_name": 0, + "bits": [ 1121 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2727.32-2727.36" + } + }, + "nb2b": { + "hide_name": 0, + "bits": [ 1122 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2728.32-2728.36" + } + }, + "nb2c": { + "hide_name": 0, + "bits": [ 1123 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2729.32-2729.36" + } + }, + "nb2d": { + "hide_name": 0, + "bits": [ 1092 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2730.32-2730.36" + } + }, + "nb2e": { + "hide_name": 0, + "bits": [ 1093 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2731.32-2731.36" + } + }, + "nb2f": { + "hide_name": 0, + "bits": [ 1094 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2732.32-2732.36" + } + }, + "nb3": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2733.32-2733.35" + } + }, + "nb30": { + "hide_name": 0, + "bits": [ 1095 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2734.32-2734.36" + } + }, + "nb31": { + "hide_name": 0, + "bits": [ 1096 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2735.32-2735.36" + } + }, + "nb32": { + "hide_name": 0, + "bits": [ 1097 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2736.32-2736.36" + } + }, + "nb33": { + "hide_name": 0, + "bits": [ 1098 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2737.32-2737.36" + } + }, + "nb34": { + "hide_name": 0, + "bits": [ 1099 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2738.32-2738.36" + } + }, + "nb35": { + "hide_name": 0, + "bits": [ 1100 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2739.32-2739.36" + } + }, + "nb36": { + "hide_name": 0, + "bits": [ 1101 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2740.32-2740.36" + } + }, + "nb37": { + "hide_name": 0, + "bits": [ 1648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2741.32-2741.36" + } + }, + "nb38": { + "hide_name": 0, + "bits": [ 1694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2742.32-2742.36" + } + }, + "nb39": { + "hide_name": 0, + "bits": [ 2374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2743.32-2743.36" + } + }, + "nb3a": { + "hide_name": 0, + "bits": [ 1102 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2744.32-2744.36" + } + }, + "nb3b": { + "hide_name": 0, + "bits": [ 1103 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2745.32-2745.36" + } + }, + "nb3c": { + "hide_name": 0, + "bits": [ 1649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2746.32-2746.36" + } + }, + "nb3d": { + "hide_name": 0, + "bits": [ 1650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2747.32-2747.36" + } + }, + "nb3e": { + "hide_name": 0, + "bits": [ 1651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2748.32-2748.36" + } + }, + "nb3f": { + "hide_name": 0, + "bits": [ 1652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2749.32-2749.36" + } + }, + "nb4": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2750.32-2750.35" + } + }, + "nb40": { + "hide_name": 0, + "bits": [ 1653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2751.32-2751.36" + } + }, + "nb41": { + "hide_name": 0, + "bits": [ 1654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2752.32-2752.36" + } + }, + "nb42": { + "hide_name": 0, + "bits": [ 1655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2753.32-2753.36" + } + }, + "nb43": { + "hide_name": 0, + "bits": [ 1656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2754.32-2754.36" + } + }, + "nb44": { + "hide_name": 0, + "bits": [ 1657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2755.32-2755.36" + } + }, + "nb45": { + "hide_name": 0, + "bits": [ 1658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2756.32-2756.36" + } + }, + "nb46": { + "hide_name": 0, + "bits": [ 1659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2757.32-2757.36" + } + }, + "nb47": { + "hide_name": 0, + "bits": [ 1660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2758.32-2758.36" + } + }, + "nb48": { + "hide_name": 0, + "bits": [ 1661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2759.32-2759.36" + } + }, + "nb49": { + "hide_name": 0, + "bits": [ 1662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2760.32-2760.36" + } + }, + "nb4a": { + "hide_name": 0, + "bits": [ 1663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2761.32-2761.36" + } + }, + "nb4b": { + "hide_name": 0, + "bits": [ 1664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2762.32-2762.36" + } + }, + "nb4c": { + "hide_name": 0, + "bits": [ 1665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2763.32-2763.36" + } + }, + "nb4d": { + "hide_name": 0, + "bits": [ 1666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2764.32-2764.36" + } + }, + "nb4e": { + "hide_name": 0, + "bits": [ 1667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2765.32-2765.36" + } + }, + "nb4f": { + "hide_name": 0, + "bits": [ 1668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2766.32-2766.36" + } + }, + "nb5": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2767.32-2767.35" + } + }, + "nb50": { + "hide_name": 0, + "bits": [ 1637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2768.32-2768.36" + } + }, + "nb51": { + "hide_name": 0, + "bits": [ 1638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2769.32-2769.36" + } + }, + "nb52": { + "hide_name": 0, + "bits": [ 1639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2770.32-2770.36" + } + }, + "nb53": { + "hide_name": 0, + "bits": [ 1640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2771.32-2771.36" + } + }, + "nb54": { + "hide_name": 0, + "bits": [ 1641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2772.32-2772.36" + } + }, + "nb55": { + "hide_name": 0, + "bits": [ 1642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2773.32-2773.36" + } + }, + "nb56": { + "hide_name": 0, + "bits": [ 1643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2774.32-2774.36" + } + }, + "nb57": { + "hide_name": 0, + "bits": [ 1644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2775.32-2775.36" + } + }, + "nb58": { + "hide_name": 0, + "bits": [ 1645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2776.32-2776.36" + } + }, + "nb59": { + "hide_name": 0, + "bits": [ 1646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2777.32-2777.36" + } + }, + "nb5a": { + "hide_name": 0, + "bits": [ 1647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2778.32-2778.36" + } + }, + "nb5b": { + "hide_name": 0, + "bits": [ 1683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2779.32-2779.36" + } + }, + "nb5c": { + "hide_name": 0, + "bits": [ 1684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2780.32-2780.36" + } + }, + "nb5d": { + "hide_name": 0, + "bits": [ 1685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2781.32-2781.36" + } + }, + "nb5e": { + "hide_name": 0, + "bits": [ 1686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2782.32-2782.36" + } + }, + "nb5f": { + "hide_name": 0, + "bits": [ 1687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2783.32-2783.36" + } + }, + "nb6": { + "hide_name": 0, + "bits": [ 579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2784.32-2784.35" + } + }, + "nb60": { + "hide_name": 0, + "bits": [ 1688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2785.32-2785.36" + } + }, + "nb61": { + "hide_name": 0, + "bits": [ 1689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2786.32-2786.36" + } + }, + "nb62": { + "hide_name": 0, + "bits": [ 1690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2787.32-2787.36" + } + }, + "nb63": { + "hide_name": 0, + "bits": [ 1691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2788.32-2788.36" + } + }, + "nb64": { + "hide_name": 0, + "bits": [ 1692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2789.32-2789.36" + } + }, + "nb65": { + "hide_name": 0, + "bits": [ 3448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2790.32-2790.36" + } + }, + "nb66": { + "hide_name": 0, + "bits": [ 3219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2791.32-2791.36" + } + }, + "nb67": { + "hide_name": 0, + "bits": [ 3798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2792.32-2792.36" + } + }, + "nb68": { + "hide_name": 0, + "bits": [ 3797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2793.32-2793.36" + } + }, + "nb69": { + "hide_name": 0, + "bits": [ 1695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2794.32-2794.36" + } + }, + "nb6a": { + "hide_name": 0, + "bits": [ 3799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2795.32-2795.36" + } + }, + "nb6b": { + "hide_name": 0, + "bits": [ 2878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2796.32-2796.36" + } + }, + "nb6c": { + "hide_name": 0, + "bits": [ 3449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2797.32-2797.36" + } + }, + "nb6d": { + "hide_name": 0, + "bits": [ 3218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2798.32-2798.36" + } + }, + "nb6e": { + "hide_name": 0, + "bits": [ 2877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2799.32-2799.36" + } + }, + "nb6f": { + "hide_name": 0, + "bits": [ 2876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2800.32-2800.36" + } + }, + "nb7": { + "hide_name": 0, + "bits": [ 612 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2801.32-2801.35" + } + }, + "nb70": { + "hide_name": 0, + "bits": [ 2885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2802.32-2802.36" + } + }, + "nb71": { + "hide_name": 0, + "bits": [ 2880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2803.32-2803.36" + } + }, + "nb72": { + "hide_name": 0, + "bits": [ 2881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2804.32-2804.36" + } + }, + "nb73": { + "hide_name": 0, + "bits": [ 3800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2805.32-2805.36" + } + }, + "nb74": { + "hide_name": 0, + "bits": [ 3220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2806.32-2806.36" + } + }, + "nb75": { + "hide_name": 0, + "bits": [ 3223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2807.32-2807.36" + } + }, + "nb76": { + "hide_name": 0, + "bits": [ 3222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2808.32-2808.36" + } + }, + "nb77": { + "hide_name": 0, + "bits": [ 3221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2809.32-2809.36" + } + }, + "nb78": { + "hide_name": 0, + "bits": [ 2883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2810.32-2810.36" + } + }, + "nb79": { + "hide_name": 0, + "bits": [ 2879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2811.32-2811.36" + } + }, + "nb7a": { + "hide_name": 0, + "bits": [ 2882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2812.32-2812.36" + } + }, + "nb7b": { + "hide_name": 0, + "bits": [ 2884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2813.32-2813.36" + } + }, + "nb7c": { + "hide_name": 0, + "bits": [ 3224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2814.32-2814.36" + } + }, + "nb7d": { + "hide_name": 0, + "bits": [ 3801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2815.32-2815.36" + } + }, + "nb7e": { + "hide_name": 0, + "bits": [ 3802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2816.32-2816.36" + } + }, + "nb7f": { + "hide_name": 0, + "bits": [ 3229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2817.32-2817.36" + } + }, + "nb8": { + "hide_name": 0, + "bits": [ 613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2818.32-2818.35" + } + }, + "nb80": { + "hide_name": 0, + "bits": [ 2373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2819.32-2819.36" + } + }, + "nb81": { + "hide_name": 0, + "bits": [ 1698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2820.32-2820.36" + } + }, + "nb82": { + "hide_name": 0, + "bits": [ 3450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2821.32-2821.36" + } + }, + "nb83": { + "hide_name": 0, + "bits": [ 2372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2822.32-2822.36" + } + }, + "nb84": { + "hide_name": 0, + "bits": [ 3451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2823.32-2823.36" + } + }, + "nb85": { + "hide_name": 0, + "bits": [ 3452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2824.32-2824.36" + } + }, + "nb86": { + "hide_name": 0, + "bits": [ 3803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2825.32-2825.36" + } + }, + "nb87": { + "hide_name": 0, + "bits": [ 3804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2826.32-2826.36" + } + }, + "nb88": { + "hide_name": 0, + "bits": [ 2886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2827.32-2827.36" + } + }, + "nb89": { + "hide_name": 0, + "bits": [ 2375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2828.32-2828.36" + } + }, + "nb8a": { + "hide_name": 0, + "bits": [ 2887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2829.32-2829.36" + } + }, + "nb8b": { + "hide_name": 0, + "bits": [ 3835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2830.32-2830.36" + } + }, + "nb8c": { + "hide_name": 0, + "bits": [ 2377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2831.32-2831.36" + } + }, + "nb8d": { + "hide_name": 0, + "bits": [ 3836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2832.32-2832.36" + } + }, + "nb8e": { + "hide_name": 0, + "bits": [ 3837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2833.32-2833.36" + } + }, + "nb8f": { + "hide_name": 0, + "bits": [ 2888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2834.32-2834.36" + } + }, + "nb9": { + "hide_name": 0, + "bits": [ 646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2835.32-2835.35" + } + }, + "nb90": { + "hide_name": 0, + "bits": [ 1696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2836.32-2836.36" + } + }, + "nb91": { + "hide_name": 0, + "bits": [ 3453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2837.32-2837.36" + } + }, + "nb92": { + "hide_name": 0, + "bits": [ 3227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2838.32-2838.36" + } + }, + "nb93": { + "hide_name": 0, + "bits": [ 3228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2839.32-2839.36" + } + }, + "nb94": { + "hide_name": 0, + "bits": [ 3226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2840.32-2840.36" + } + }, + "nb95": { + "hide_name": 0, + "bits": [ 3838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2841.32-2841.36" + } + }, + "nb96": { + "hide_name": 0, + "bits": [ 3454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2842.32-2842.36" + } + }, + "nb97": { + "hide_name": 0, + "bits": [ 2889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2843.32-2843.36" + } + }, + "nb98": { + "hide_name": 0, + "bits": [ 3862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2844.32-2844.36" + } + }, + "nb99": { + "hide_name": 0, + "bits": [ 3455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2845.32-2845.36" + } + }, + "nb9a": { + "hide_name": 0, + "bits": [ 2376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2846.32-2846.36" + } + }, + "nb9b": { + "hide_name": 0, + "bits": [ 1693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2847.32-2847.36" + } + }, + "nb9c": { + "hide_name": 0, + "bits": [ 3230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2848.32-2848.36" + } + }, + "nb9d": { + "hide_name": 0, + "bits": [ 2383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2849.32-2849.36" + } + }, + "nb9e": { + "hide_name": 0, + "bits": [ 2382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2850.32-2850.36" + } + }, + "nb9f": { + "hide_name": 0, + "bits": [ 2378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2851.32-2851.36" + } + }, + "nba": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2852.32-2852.35" + } + }, + "nba0": { + "hide_name": 0, + "bits": [ 2379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2853.32-2853.36" + } + }, + "nba1": { + "hide_name": 0, + "bits": [ 1697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2854.32-2854.36" + } + }, + "nba2": { + "hide_name": 0, + "bits": [ 3231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2855.32-2855.36" + } + }, + "nba3": { + "hide_name": 0, + "bits": [ 3232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2856.32-2856.36" + } + }, + "nba4": { + "hide_name": 0, + "bits": [ 2384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2857.32-2857.36" + } + }, + "nba5": { + "hide_name": 0, + "bits": [ 3863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2858.32-2858.36" + } + }, + "nba6": { + "hide_name": 0, + "bits": [ 3864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2859.32-2859.36" + } + }, + "nba7": { + "hide_name": 0, + "bits": [ 3456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2860.32-2860.36" + } + }, + "nba8": { + "hide_name": 0, + "bits": [ 3457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2861.32-2861.36" + } + }, + "nba9": { + "hide_name": 0, + "bits": [ 3233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2862.32-2862.36" + } + }, + "nbaa": { + "hide_name": 0, + "bits": [ 3458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2863.32-2863.36" + } + }, + "nbab": { + "hide_name": 0, + "bits": [ 2890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2864.32-2864.36" + } + }, + "nbac": { + "hide_name": 0, + "bits": [ 1699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2865.32-2865.36" + } + }, + "nbad": { + "hide_name": 0, + "bits": [ 1700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2866.32-2866.36" + } + }, + "nbae": { + "hide_name": 0, + "bits": [ 1701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2867.32-2867.36" + } + }, + "nbaf": { + "hide_name": 0, + "bits": [ 1702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2868.32-2868.36" + } + }, + "nbb": { + "hide_name": 0, + "bits": [ 680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2869.32-2869.35" + } + }, + "nbb0": { + "hide_name": 0, + "bits": [ 1671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2870.32-2870.36" + } + }, + "nbb1": { + "hide_name": 0, + "bits": [ 1672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2871.32-2871.36" + } + }, + "nbb2": { + "hide_name": 0, + "bits": [ 1673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2872.32-2872.36" + } + }, + "nbb3": { + "hide_name": 0, + "bits": [ 1674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2873.32-2873.36" + } + }, + "nbb4": { + "hide_name": 0, + "bits": [ 1675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2874.32-2874.36" + } + }, + "nbb5": { + "hide_name": 0, + "bits": [ 1676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2875.32-2875.36" + } + }, + "nbb6": { + "hide_name": 0, + "bits": [ 1677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2876.32-2876.36" + } + }, + "nbb7": { + "hide_name": 0, + "bits": [ 1678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2877.32-2877.36" + } + }, + "nbb8": { + "hide_name": 0, + "bits": [ 1679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2878.32-2878.36" + } + }, + "nbb9": { + "hide_name": 0, + "bits": [ 1680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2879.32-2879.36" + } + }, + "nbba": { + "hide_name": 0, + "bits": [ 1681 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2880.32-2880.36" + } + }, + "nbbb": { + "hide_name": 0, + "bits": [ 1682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2881.32-2881.36" + } + }, + "nbbc": { + "hide_name": 0, + "bits": [ 1717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2882.32-2882.36" + } + }, + "nbbd": { + "hide_name": 0, + "bits": [ 1718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2883.32-2883.36" + } + }, + "nbbe": { + "hide_name": 0, + "bits": [ 1719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2884.32-2884.36" + } + }, + "nbbf": { + "hide_name": 0, + "bits": [ 1720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2885.32-2885.36" + } + }, + "nbc": { + "hide_name": 0, + "bits": [ 714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2886.32-2886.35" + } + }, + "nbc0": { + "hide_name": 0, + "bits": [ 1721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2887.32-2887.36" + } + }, + "nbc1": { + "hide_name": 0, + "bits": [ 1722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2888.32-2888.36" + } + }, + "nbc2": { + "hide_name": 0, + "bits": [ 1723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2889.32-2889.36" + } + }, + "nbc3": { + "hide_name": 0, + "bits": [ 1724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2890.32-2890.36" + } + }, + "nbc4": { + "hide_name": 0, + "bits": [ 1725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2891.32-2891.36" + } + }, + "nbc5": { + "hide_name": 0, + "bits": [ 1726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2892.32-2892.36" + } + }, + "nbc6": { + "hide_name": 0, + "bits": [ 1727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2893.32-2893.36" + } + }, + "nbc7": { + "hide_name": 0, + "bits": [ 1728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2894.32-2894.36" + } + }, + "nbc8": { + "hide_name": 0, + "bits": [ 1729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2895.32-2895.36" + } + }, + "nbc9": { + "hide_name": 0, + "bits": [ 1730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2896.32-2896.36" + } + }, + "nbca": { + "hide_name": 0, + "bits": [ 3865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2897.32-2897.36" + } + }, + "nbcb": { + "hide_name": 0, + "bits": [ 3240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2898.32-2898.36" + } + }, + "nbcc": { + "hide_name": 0, + "bits": [ 3468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2899.32-2899.36" + } + }, + "nbcd": { + "hide_name": 0, + "bits": [ 3841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2900.32-2900.36" + } + }, + "nbce": { + "hide_name": 0, + "bits": [ 1731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2901.32-2901.36" + } + }, + "nbcf": { + "hide_name": 0, + "bits": [ 1732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2902.32-2902.36" + } + }, + "nbd": { + "hide_name": 0, + "bits": [ 715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2903.32-2903.35" + } + }, + "nbd0": { + "hide_name": 0, + "bits": [ 1733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2904.32-2904.36" + } + }, + "nbd1": { + "hide_name": 0, + "bits": [ 1734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2905.32-2905.36" + } + }, + "nbd2": { + "hide_name": 0, + "bits": [ 1735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2906.32-2906.36" + } + }, + "nbd3": { + "hide_name": 0, + "bits": [ 1736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2907.32-2907.36" + } + }, + "nbd4": { + "hide_name": 0, + "bits": [ 1705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2908.32-2908.36" + } + }, + "nbd5": { + "hide_name": 0, + "bits": [ 1706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2909.32-2909.36" + } + }, + "nbd6": { + "hide_name": 0, + "bits": [ 1707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2910.32-2910.36" + } + }, + "nbd7": { + "hide_name": 0, + "bits": [ 1708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2911.32-2911.36" + } + }, + "nbd8": { + "hide_name": 0, + "bits": [ 1709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2912.32-2912.36" + } + }, + "nbd9": { + "hide_name": 0, + "bits": [ 1710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2913.32-2913.36" + } + }, + "nbda": { + "hide_name": 0, + "bits": [ 1711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2914.32-2914.36" + } + }, + "nbdb": { + "hide_name": 0, + "bits": [ 1712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2915.32-2915.36" + } + }, + "nbdc": { + "hide_name": 0, + "bits": [ 1713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2916.32-2916.36" + } + }, + "nbdd": { + "hide_name": 0, + "bits": [ 1714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2917.32-2917.36" + } + }, + "nbde": { + "hide_name": 0, + "bits": [ 1715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2918.32-2918.36" + } + }, + "nbdf": { + "hide_name": 0, + "bits": [ 1716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2919.32-2919.36" + } + }, + "nbe": { + "hide_name": 0, + "bits": [ 748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2920.32-2920.35" + } + }, + "nbe0": { + "hide_name": 0, + "bits": [ 1751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2921.32-2921.36" + } + }, + "nbe1": { + "hide_name": 0, + "bits": [ 1752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2922.32-2922.36" + } + }, + "nbe2": { + "hide_name": 0, + "bits": [ 1753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2923.32-2923.36" + } + }, + "nbe3": { + "hide_name": 0, + "bits": [ 1754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2924.32-2924.36" + } + }, + "nbe4": { + "hide_name": 0, + "bits": [ 1755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2925.32-2925.36" + } + }, + "nbe5": { + "hide_name": 0, + "bits": [ 1756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2926.32-2926.36" + } + }, + "nbe6": { + "hide_name": 0, + "bits": [ 1757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2927.32-2927.36" + } + }, + "nbe7": { + "hide_name": 0, + "bits": [ 1758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2928.32-2928.36" + } + }, + "nbe8": { + "hide_name": 0, + "bits": [ 1759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2929.32-2929.36" + } + }, + "nbe9": { + "hide_name": 0, + "bits": [ 1760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2930.32-2930.36" + } + }, + "nbea": { + "hide_name": 0, + "bits": [ 1761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2931.32-2931.36" + } + }, + "nbeb": { + "hide_name": 0, + "bits": [ 1762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2932.32-2932.36" + } + }, + "nbec": { + "hide_name": 0, + "bits": [ 1763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2933.32-2933.36" + } + }, + "nbed": { + "hide_name": 0, + "bits": [ 1764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2934.32-2934.36" + } + }, + "nbee": { + "hide_name": 0, + "bits": [ 1765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2935.32-2935.36" + } + }, + "nbef": { + "hide_name": 0, + "bits": [ 1766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2936.32-2936.36" + } + }, + "nbf": { + "hide_name": 0, + "bits": [ 782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2937.32-2937.35" + } + }, + "nbf0": { + "hide_name": 0, + "bits": [ 1748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2938.32-2938.36" + } + }, + "nbf1": { + "hide_name": 0, + "bits": [ 1742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2939.32-2939.36" + } + }, + "nbf2": { + "hide_name": 0, + "bits": [ 2385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2940.32-2940.36" + } + }, + "nbf3": { + "hide_name": 0, + "bits": [ 3234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2941.32-2941.36" + } + }, + "nbf4": { + "hide_name": 0, + "bits": [ 1740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2942.32-2942.36" + } + }, + "nbf5": { + "hide_name": 0, + "bits": [ 3459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2943.32-2943.36" + } + }, + "nbf6": { + "hide_name": 0, + "bits": [ 3460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2944.32-2944.36" + } + }, + "nbf7": { + "hide_name": 0, + "bits": [ 2891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2945.32-2945.36" + } + }, + "nbf8": { + "hide_name": 0, + "bits": [ 2386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2946.32-2946.36" + } + }, + "nbf9": { + "hide_name": 0, + "bits": [ 2892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2947.32-2947.36" + } + }, + "nbfa": { + "hide_name": 0, + "bits": [ 3866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2948.32-2948.36" + } + }, + "nbfb": { + "hide_name": 0, + "bits": [ 1770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2949.32-2949.36" + } + }, + "nbfc": { + "hide_name": 0, + "bits": [ 1749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2950.32-2950.36" + } + }, + "nbfd": { + "hide_name": 0, + "bits": [ 3867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2951.32-2951.36" + } + }, + "nbfe": { + "hide_name": 0, + "bits": [ 3461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2952.32-2952.36" + } + }, + "nbff": { + "hide_name": 0, + "bits": [ 3235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2953.32-2953.36" + } + }, + "nc0": { + "hide_name": 0, + "bits": [ 783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2954.32-2954.35" + } + }, + "nc00": { + "hide_name": 0, + "bits": [ 2387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2955.32-2955.36" + } + }, + "nc01": { + "hide_name": 0, + "bits": [ 2388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2956.32-2956.36" + } + }, + "nc02": { + "hide_name": 0, + "bits": [ 2390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2957.32-2957.36" + } + }, + "nc03": { + "hide_name": 0, + "bits": [ 2389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2958.32-2958.36" + } + }, + "nc04": { + "hide_name": 0, + "bits": [ 1741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2959.32-2959.36" + } + }, + "nc05": { + "hide_name": 0, + "bits": [ 1739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2960.32-2960.36" + } + }, + "nc06": { + "hide_name": 0, + "bits": [ 1768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2961.32-2961.36" + } + }, + "nc07": { + "hide_name": 0, + "bits": [ 1744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2962.32-2962.36" + } + }, + "nc08": { + "hide_name": 0, + "bits": [ 2391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2963.32-2963.36" + } + }, + "nc09": { + "hide_name": 0, + "bits": [ 3868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2964.32-2964.36" + } + }, + "nc0a": { + "hide_name": 0, + "bits": [ 2392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2965.32-2965.36" + } + }, + "nc0b": { + "hide_name": 0, + "bits": [ 2393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2966.32-2966.36" + } + }, + "nc0c": { + "hide_name": 0, + "bits": [ 3462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2967.32-2967.36" + } + }, + "nc0d": { + "hide_name": 0, + "bits": [ 2394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2968.32-2968.36" + } + }, + "nc0e": { + "hide_name": 0, + "bits": [ 2893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2969.32-2969.36" + } + }, + "nc0f": { + "hide_name": 0, + "bits": [ 2895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2970.32-2970.36" + } + }, + "nc1": { + "hide_name": 0, + "bits": [ 816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2971.32-2971.35" + } + }, + "nc10": { + "hide_name": 0, + "bits": [ 2396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2972.32-2972.36" + } + }, + "nc11": { + "hide_name": 0, + "bits": [ 2395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2973.32-2973.36" + } + }, + "nc12": { + "hide_name": 0, + "bits": [ 1767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2974.32-2974.36" + } + }, + "nc13": { + "hide_name": 0, + "bits": [ 3869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2975.32-2975.36" + } + }, + "nc14": { + "hide_name": 0, + "bits": [ 3870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2976.32-2976.36" + } + }, + "nc15": { + "hide_name": 0, + "bits": [ 3871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2977.32-2977.36" + } + }, + "nc16": { + "hide_name": 0, + "bits": [ 3872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2978.32-2978.36" + } + }, + "nc17": { + "hide_name": 0, + "bits": [ 3873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2979.32-2979.36" + } + }, + "nc18": { + "hide_name": 0, + "bits": [ 2397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2980.32-2980.36" + } + }, + "nc19": { + "hide_name": 0, + "bits": [ 3236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2981.32-2981.36" + } + }, + "nc1a": { + "hide_name": 0, + "bits": [ 2402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2982.32-2982.36" + } + }, + "nc1b": { + "hide_name": 0, + "bits": [ 3237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2983.32-2983.36" + } + }, + "nc1c": { + "hide_name": 0, + "bits": [ 2398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2984.32-2984.36" + } + }, + "nc1d": { + "hide_name": 0, + "bits": [ 2399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2985.32-2985.36" + } + }, + "nc1e": { + "hide_name": 0, + "bits": [ 2403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2986.32-2986.36" + } + }, + "nc1f": { + "hide_name": 0, + "bits": [ 2404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2987.32-2987.36" + } + }, + "nc2": { + "hide_name": 0, + "bits": [ 817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2988.32-2988.35" + } + }, + "nc20": { + "hide_name": 0, + "bits": [ 3238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2989.32-2989.36" + } + }, + "nc21": { + "hide_name": 0, + "bits": [ 2896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2990.32-2990.36" + } + }, + "nc22": { + "hide_name": 0, + "bits": [ 2406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2991.32-2991.36" + } + }, + "nc23": { + "hide_name": 0, + "bits": [ 2405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2992.32-2992.36" + } + }, + "nc24": { + "hide_name": 0, + "bits": [ 2897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2993.32-2993.36" + } + }, + "nc25": { + "hide_name": 0, + "bits": [ 3839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2994.32-2994.36" + } + }, + "nc26": { + "hide_name": 0, + "bits": [ 3840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2995.32-2995.36" + } + }, + "nc27": { + "hide_name": 0, + "bits": [ 3463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2996.32-2996.36" + } + }, + "nc28": { + "hide_name": 0, + "bits": [ 3464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2997.32-2997.36" + } + }, + "nc29": { + "hide_name": 0, + "bits": [ 3465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2998.32-2998.36" + } + }, + "nc2a": { + "hide_name": 0, + "bits": [ 2898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:2999.32-2999.36" + } + }, + "nc2b": { + "hide_name": 0, + "bits": [ 2407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3000.32-3000.36" + } + }, + "nc2c": { + "hide_name": 0, + "bits": [ 1769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3001.32-3001.36" + } + }, + "nc2d": { + "hide_name": 0, + "bits": [ 1750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3002.32-3002.36" + } + }, + "nc2e": { + "hide_name": 0, + "bits": [ 3874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3003.32-3003.36" + } + }, + "nc2f": { + "hide_name": 0, + "bits": [ 3875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3004.32-3004.36" + } + }, + "nc3": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3005.32-3005.35" + } + }, + "nc30": { + "hide_name": 0, + "bits": [ 1746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3006.32-3006.36" + } + }, + "nc31": { + "hide_name": 0, + "bits": [ 3876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3007.32-3007.36" + } + }, + "nc32": { + "hide_name": 0, + "bits": [ 3243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3008.32-3008.36" + } + }, + "nc33": { + "hide_name": 0, + "bits": [ 2417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3009.32-3009.36" + } + }, + "nc34": { + "hide_name": 0, + "bits": [ 2416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3010.32-3010.36" + } + }, + "nc35": { + "hide_name": 0, + "bits": [ 3877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3011.32-3011.36" + } + }, + "nc36": { + "hide_name": 0, + "bits": [ 3466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3012.32-3012.36" + } + }, + "nc37": { + "hide_name": 0, + "bits": [ 2408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3013.32-3013.36" + } + }, + "nc38": { + "hide_name": 0, + "bits": [ 2899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3014.32-3014.36" + } + }, + "nc39": { + "hide_name": 0, + "bits": [ 2900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3015.32-3015.36" + } + }, + "nc3a": { + "hide_name": 0, + "bits": [ 1785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3016.32-3016.36" + } + }, + "nc3b": { + "hide_name": 0, + "bits": [ 1745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3017.32-3017.36" + } + }, + "nc3c": { + "hide_name": 0, + "bits": [ 3878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3018.32-3018.36" + } + }, + "nc3d": { + "hide_name": 0, + "bits": [ 3879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3019.32-3019.36" + } + }, + "nc3e": { + "hide_name": 0, + "bits": [ 2901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3020.32-3020.36" + } + }, + "nc3f": { + "hide_name": 0, + "bits": [ 2902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3021.32-3021.36" + } + }, + "nc4": { + "hide_name": 0, + "bits": [ 2547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3022.32-3022.35" + } + }, + "nc40": { + "hide_name": 0, + "bits": [ 2903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3023.32-3023.36" + } + }, + "nc41": { + "hide_name": 0, + "bits": [ 3467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3024.32-3024.36" + } + }, + "nc42": { + "hide_name": 0, + "bits": [ 3241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3025.32-3025.36" + } + }, + "nc43": { + "hide_name": 0, + "bits": [ 2409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3026.32-3026.36" + } + }, + "nc44": { + "hide_name": 0, + "bits": [ 2410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3027.32-3027.36" + } + }, + "nc45": { + "hide_name": 0, + "bits": [ 3880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3028.32-3028.36" + } + }, + "nc46": { + "hide_name": 0, + "bits": [ 3242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3029.32-3029.36" + } + }, + "nc47": { + "hide_name": 0, + "bits": [ 3469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3030.32-3030.36" + } + }, + "nc48": { + "hide_name": 0, + "bits": [ 2904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3031.32-3031.36" + } + }, + "nc49": { + "hide_name": 0, + "bits": [ 2412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3032.32-3032.36" + } + }, + "nc4a": { + "hide_name": 0, + "bits": [ 2413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3033.32-3033.36" + } + }, + "nc4b": { + "hide_name": 0, + "bits": [ 2411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3034.32-3034.36" + } + }, + "nc4c": { + "hide_name": 0, + "bits": [ 1747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3035.32-3035.36" + } + }, + "nc4d": { + "hide_name": 0, + "bits": [ 1743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3036.32-3036.36" + } + }, + "nc4e": { + "hide_name": 0, + "bits": [ 1786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3037.32-3037.36" + } + }, + "nc4f": { + "hide_name": 0, + "bits": [ 2415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3038.32-3038.36" + } + }, + "nc5": { + "hide_name": 0, + "bits": [ 3647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3039.32-3039.35" + } + }, + "nc50": { + "hide_name": 0, + "bits": [ 2414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3040.32-3040.36" + } + }, + "nc51": { + "hide_name": 0, + "bits": [ 1800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3041.32-3041.36" + } + }, + "nc52": { + "hide_name": 0, + "bits": [ 3881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3042.32-3042.36" + } + }, + "nc53": { + "hide_name": 0, + "bits": [ 2418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3043.32-3043.36" + } + }, + "nc54": { + "hide_name": 0, + "bits": [ 3470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3044.32-3044.36" + } + }, + "nc55": { + "hide_name": 0, + "bits": [ 2419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3045.32-3045.36" + } + }, + "nc56": { + "hide_name": 0, + "bits": [ 2905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3046.32-3046.36" + } + }, + "nc57": { + "hide_name": 0, + "bits": [ 3244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3047.32-3047.36" + } + }, + "nc58": { + "hide_name": 0, + "bits": [ 2420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3048.32-3048.36" + } + }, + "nc59": { + "hide_name": 0, + "bits": [ 1787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3049.32-3049.36" + } + }, + "nc5a": { + "hide_name": 0, + "bits": [ 1788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3050.32-3050.36" + } + }, + "nc5b": { + "hide_name": 0, + "bits": [ 1789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3051.32-3051.36" + } + }, + "nc5c": { + "hide_name": 0, + "bits": [ 1790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3052.32-3052.36" + } + }, + "nc5d": { + "hide_name": 0, + "bits": [ 1791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3053.32-3053.36" + } + }, + "nc5e": { + "hide_name": 0, + "bits": [ 1792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3054.32-3054.36" + } + }, + "nc5f": { + "hide_name": 0, + "bits": [ 1793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3055.32-3055.36" + } + }, + "nc6": { + "hide_name": 0, + "bits": [ 3560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3056.32-3056.35" + } + }, + "nc60": { + "hide_name": 0, + "bits": [ 1794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3057.32-3057.36" + } + }, + "nc61": { + "hide_name": 0, + "bits": [ 1795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3058.32-3058.36" + } + }, + "nc62": { + "hide_name": 0, + "bits": [ 1796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3059.32-3059.36" + } + }, + "nc63": { + "hide_name": 0, + "bits": [ 2906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3060.32-3060.36" + } + }, + "nc64": { + "hide_name": 0, + "bits": [ 3475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3061.32-3061.36" + } + }, + "nc65": { + "hide_name": 0, + "bits": [ 2909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3062.32-3062.36" + } + }, + "nc66": { + "hide_name": 0, + "bits": [ 3246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3063.32-3063.36" + } + }, + "nc67": { + "hide_name": 0, + "bits": [ 3248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3064.32-3064.36" + } + }, + "nc68": { + "hide_name": 0, + "bits": [ 3473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3065.32-3065.36" + } + }, + "nc69": { + "hide_name": 0, + "bits": [ 2910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3066.32-3066.36" + } + }, + "nc6a": { + "hide_name": 0, + "bits": [ 2912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3067.32-3067.36" + } + }, + "nc6b": { + "hide_name": 0, + "bits": [ 3474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3068.32-3068.36" + } + }, + "nc6c": { + "hide_name": 0, + "bits": [ 3471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3069.32-3069.36" + } + }, + "nc6d": { + "hide_name": 0, + "bits": [ 2907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3070.32-3070.36" + } + }, + "nc6e": { + "hide_name": 0, + "bits": [ 2421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3071.32-3071.36" + } + }, + "nc6f": { + "hide_name": 0, + "bits": [ 2422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3072.32-3072.36" + } + }, + "nc7": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3073.32-3073.35" + } + }, + "nc70": { + "hide_name": 0, + "bits": [ 2911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3074.32-3074.36" + } + }, + "nc71": { + "hide_name": 0, + "bits": [ 3472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3075.32-3075.36" + } + }, + "nc72": { + "hide_name": 0, + "bits": [ 2908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3076.32-3076.36" + } + }, + "nc73": { + "hide_name": 0, + "bits": [ 2913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3077.32-3077.36" + } + }, + "nc74": { + "hide_name": 0, + "bits": [ 1799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3078.32-3078.36" + } + }, + "nc75": { + "hide_name": 0, + "bits": [ 2424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3079.32-3079.36" + } + }, + "nc76": { + "hide_name": 0, + "bits": [ 2423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3080.32-3080.36" + } + }, + "nc77": { + "hide_name": 0, + "bits": [ 3247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3081.32-3081.36" + } + }, + "nc78": { + "hide_name": 0, + "bits": [ 3882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3082.32-3082.36" + } + }, + "nc79": { + "hide_name": 0, + "bits": [ 1798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3083.32-3083.36" + } + }, + "nc7a": { + "hide_name": 0, + "bits": [ 3883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3084.32-3084.36" + } + }, + "nc7b": { + "hide_name": 0, + "bits": [ 3476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3085.32-3085.36" + } + }, + "nc7c": { + "hide_name": 0, + "bits": [ 3843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3086.32-3086.36" + } + }, + "nc7d": { + "hide_name": 0, + "bits": [ 3844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3087.32-3087.36" + } + }, + "nc7e": { + "hide_name": 0, + "bits": [ 3845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3088.32-3088.36" + } + }, + "nc7f": { + "hide_name": 0, + "bits": [ 3846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3089.32-3089.36" + } + }, + "nc8": { + "hide_name": 0, + "bits": [ 477 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3090.32-3090.35" + } + }, + "nc80": { + "hide_name": 0, + "bits": [ 2916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3091.32-3091.36" + } + }, + "nc81": { + "hide_name": 0, + "bits": [ 3250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3092.32-3092.36" + } + }, + "nc82": { + "hide_name": 0, + "bits": [ 2426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3093.32-3093.36" + } + }, + "nc83": { + "hide_name": 0, + "bits": [ 3884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3094.32-3094.36" + } + }, + "nc84": { + "hide_name": 0, + "bits": [ 2425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3095.32-3095.36" + } + }, + "nc85": { + "hide_name": 0, + "bits": [ 1797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3096.32-3096.36" + } + }, + "nc86": { + "hide_name": 0, + "bits": [ 2915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3097.32-3097.36" + } + }, + "nc87": { + "hide_name": 0, + "bits": [ 3249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3098.32-3098.36" + } + }, + "nc88": { + "hide_name": 0, + "bits": [ 2917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3099.32-3099.36" + } + }, + "nc89": { + "hide_name": 0, + "bits": [ 2428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3100.32-3100.36" + } + }, + "nc8a": { + "hide_name": 0, + "bits": [ 2431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3101.32-3101.36" + } + }, + "nc8b": { + "hide_name": 0, + "bits": [ 1776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3102.32-3102.36" + } + }, + "nc8c": { + "hide_name": 0, + "bits": [ 3251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3103.32-3103.36" + } + }, + "nc8d": { + "hide_name": 0, + "bits": [ 2919 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3104.32-3104.36" + } + }, + "nc8e": { + "hide_name": 0, + "bits": [ 1802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3105.32-3105.36" + } + }, + "nc8f": { + "hide_name": 0, + "bits": [ 1804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3106.32-3106.36" + } + }, + "nc9": { + "hide_name": 0, + "bits": [ 885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3107.32-3107.35" + } + }, + "nc90": { + "hide_name": 0, + "bits": [ 1472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3108.32-3108.36" + } + }, + "nc91": { + "hide_name": 0, + "bits": [ 1801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3109.32-3109.36" + } + }, + "nc92": { + "hide_name": 0, + "bits": [ 1803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3110.32-3110.36" + } + }, + "nc93": { + "hide_name": 0, + "bits": [ 2922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3111.32-3111.36" + } + }, + "nc94": { + "hide_name": 0, + "bits": [ 1773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3112.32-3112.36" + } + }, + "nc95": { + "hide_name": 0, + "bits": [ 1775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3113.32-3113.36" + } + }, + "nc96": { + "hide_name": 0, + "bits": [ 1777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3114.32-3114.36" + } + }, + "nc97": { + "hide_name": 0, + "bits": [ 1778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3115.32-3115.36" + } + }, + "nc98": { + "hide_name": 0, + "bits": [ 1780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3116.32-3116.36" + } + }, + "nc99": { + "hide_name": 0, + "bits": [ 1779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3117.32-3117.36" + } + }, + "nc9a": { + "hide_name": 0, + "bits": [ 1471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3118.32-3118.36" + } + }, + "nc9b": { + "hide_name": 0, + "bits": [ 1781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3119.32-3119.36" + } + }, + "nc9c": { + "hide_name": 0, + "bits": [ 1782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3120.32-3120.36" + } + }, + "nc9d": { + "hide_name": 0, + "bits": [ 1783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3121.32-3121.36" + } + }, + "nc9e": { + "hide_name": 0, + "bits": [ 1784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3122.32-3122.36" + } + }, + "nc9f": { + "hide_name": 0, + "bits": [ 1819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3123.32-3123.36" + } + }, + "nca": { + "hide_name": 0, + "bits": [ 2274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3124.32-3124.35" + } + }, + "nca0": { + "hide_name": 0, + "bits": [ 1820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3125.32-3125.36" + } + }, + "nca1": { + "hide_name": 0, + "bits": [ 1821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3126.32-3126.36" + } + }, + "nca2": { + "hide_name": 0, + "bits": [ 1822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3127.32-3127.36" + } + }, + "nca3": { + "hide_name": 0, + "bits": [ 1823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3128.32-3128.36" + } + }, + "nca4": { + "hide_name": 0, + "bits": [ 1824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3129.32-3129.36" + } + }, + "nca5": { + "hide_name": 0, + "bits": [ 1825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3130.32-3130.36" + } + }, + "nca6": { + "hide_name": 0, + "bits": [ 1826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3131.32-3131.36" + } + }, + "nca7": { + "hide_name": 0, + "bits": [ 2918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3132.32-3132.36" + } + }, + "nca8": { + "hide_name": 0, + "bits": [ 2429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3133.32-3133.36" + } + }, + "nca9": { + "hide_name": 0, + "bits": [ 2430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3134.32-3134.36" + } + }, + "ncaa": { + "hide_name": 0, + "bits": [ 3886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3135.32-3135.36" + } + }, + "ncab": { + "hide_name": 0, + "bits": [ 3253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3136.32-3136.36" + } + }, + "ncac": { + "hide_name": 0, + "bits": [ 2921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3137.32-3137.36" + } + }, + "ncad": { + "hide_name": 0, + "bits": [ 2432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3138.32-3138.36" + } + }, + "ncae": { + "hide_name": 0, + "bits": [ 2920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3139.32-3139.36" + } + }, + "ncaf": { + "hide_name": 0, + "bits": [ 3477 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3140.32-3140.36" + } + }, + "ncb": { + "hide_name": 0, + "bits": [ 3648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3141.32-3141.35" + } + }, + "ncb0": { + "hide_name": 0, + "bits": [ 2923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3142.32-3142.36" + } + }, + "ncb1": { + "hide_name": 0, + "bits": [ 3478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3143.32-3143.36" + } + }, + "ncb2": { + "hide_name": 0, + "bits": [ 2433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3144.32-3144.36" + } + }, + "ncb3": { + "hide_name": 0, + "bits": [ 3252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3145.32-3145.36" + } + }, + "ncb4": { + "hide_name": 0, + "bits": [ 3479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3146.32-3146.36" + } + }, + "ncb5": { + "hide_name": 0, + "bits": [ 2925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3147.32-3147.36" + } + }, + "ncb6": { + "hide_name": 0, + "bits": [ 3480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3148.32-3148.36" + } + }, + "ncb7": { + "hide_name": 0, + "bits": [ 2924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3149.32-3149.36" + } + }, + "ncb8": { + "hide_name": 0, + "bits": [ 1827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3150.32-3150.36" + } + }, + "ncb9": { + "hide_name": 0, + "bits": [ 1828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3151.32-3151.36" + } + }, + "ncba": { + "hide_name": 0, + "bits": [ 1829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3152.32-3152.36" + } + }, + "ncbb": { + "hide_name": 0, + "bits": [ 1830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3153.32-3153.36" + } + }, + "ncbc": { + "hide_name": 0, + "bits": [ 1831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3154.32-3154.36" + } + }, + "ncbd": { + "hide_name": 0, + "bits": [ 1832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3155.32-3155.36" + } + }, + "ncbe": { + "hide_name": 0, + "bits": [ 1833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3156.32-3156.36" + } + }, + "ncbf": { + "hide_name": 0, + "bits": [ 1834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3157.32-3157.36" + } + }, + "ncc": { + "hide_name": 0, + "bits": [ 850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3158.32-3158.35" + } + }, + "ncc0": { + "hide_name": 0, + "bits": [ 1835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3159.32-3159.36" + } + }, + "ncc1": { + "hide_name": 0, + "bits": [ 1836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3160.32-3160.36" + } + }, + "ncc2": { + "hide_name": 0, + "bits": [ 1837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3161.32-3161.36" + } + }, + "ncc3": { + "hide_name": 0, + "bits": [ 1838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3162.32-3162.36" + } + }, + "ncc4": { + "hide_name": 0, + "bits": [ 1807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3163.32-3163.36" + } + }, + "ncc5": { + "hide_name": 0, + "bits": [ 1808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3164.32-3164.36" + } + }, + "ncc6": { + "hide_name": 0, + "bits": [ 1809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3165.32-3165.36" + } + }, + "ncc7": { + "hide_name": 0, + "bits": [ 1810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3166.32-3166.36" + } + }, + "ncc8": { + "hide_name": 0, + "bits": [ 1811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3167.32-3167.36" + } + }, + "ncc9": { + "hide_name": 0, + "bits": [ 1812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3168.32-3168.36" + } + }, + "ncca": { + "hide_name": 0, + "bits": [ 1813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3169.32-3169.36" + } + }, + "nccb": { + "hide_name": 0, + "bits": [ 1814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3170.32-3170.36" + } + }, + "nccc": { + "hide_name": 0, + "bits": [ 1815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3171.32-3171.36" + } + }, + "nccd": { + "hide_name": 0, + "bits": [ 1816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3172.32-3172.36" + } + }, + "ncce": { + "hide_name": 0, + "bits": [ 1817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3173.32-3173.36" + } + }, + "nccf": { + "hide_name": 0, + "bits": [ 1818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3174.32-3174.36" + } + }, + "ncd": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3175.32-3175.35" + } + }, + "ncd0": { + "hide_name": 0, + "bits": [ 1493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3176.32-3176.36" + } + }, + "ncd1": { + "hide_name": 0, + "bits": [ 1497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3177.32-3177.36" + } + }, + "ncd2": { + "hide_name": 0, + "bits": [ 2443 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3178.32-3178.36" + } + }, + "ncd3": { + "hide_name": 0, + "bits": [ 3887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3179.32-3179.36" + } + }, + "ncd4": { + "hide_name": 0, + "bits": [ 1860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3180.32-3180.36" + } + }, + "ncd5": { + "hide_name": 0, + "bits": [ 1858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3181.32-3181.36" + } + }, + "ncd6": { + "hide_name": 0, + "bits": [ 3848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3182.32-3182.36" + } + }, + "ncd7": { + "hide_name": 0, + "bits": [ 1495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3183.32-3183.36" + } + }, + "ncd8": { + "hide_name": 0, + "bits": [ 2931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3184.32-3184.36" + } + }, + "ncd9": { + "hide_name": 0, + "bits": [ 3256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3185.32-3185.36" + } + }, + "ncda": { + "hide_name": 0, + "bits": [ 3847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3186.32-3186.36" + } + }, + "ncdb": { + "hide_name": 0, + "bits": [ 3485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3187.32-3187.36" + } + }, + "ncdc": { + "hide_name": 0, + "bits": [ 3255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3188.32-3188.36" + } + }, + "ncdd": { + "hide_name": 0, + "bits": [ 3888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3189.32-3189.36" + } + }, + "ncde": { + "hide_name": 0, + "bits": [ 3483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3190.32-3190.36" + } + }, + "ncdf": { + "hide_name": 0, + "bits": [ 3482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3191.32-3191.36" + } + }, + "nce": { + "hide_name": 0, + "bits": [ 2543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3192.32-3192.35" + } + }, + "nce0": { + "hide_name": 0, + "bits": [ 2928 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3193.32-3193.36" + } + }, + "nce1": { + "hide_name": 0, + "bits": [ 2929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3194.32-3194.36" + } + }, + "nce2": { + "hide_name": 0, + "bits": [ 2436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3195.32-3195.36" + } + }, + "nce3": { + "hide_name": 0, + "bits": [ 3889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3196.32-3196.36" + } + }, + "nce4": { + "hide_name": 0, + "bits": [ 2434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3197.32-3197.36" + } + }, + "nce5": { + "hide_name": 0, + "bits": [ 3484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3198.32-3198.36" + } + }, + "nce6": { + "hide_name": 0, + "bits": [ 3254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3199.32-3199.36" + } + }, + "nce7": { + "hide_name": 0, + "bits": [ 2930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3200.32-3200.36" + } + }, + "nce8": { + "hide_name": 0, + "bits": [ 2435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3201.32-3201.36" + } + }, + "nce9": { + "hide_name": 0, + "bits": [ 1494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3202.32-3202.36" + } + }, + "ncea": { + "hide_name": 0, + "bits": [ 3890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3203.32-3203.36" + } + }, + "nceb": { + "hide_name": 0, + "bits": [ 2438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3204.32-3204.36" + } + }, + "ncec": { + "hide_name": 0, + "bits": [ 3486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3205.32-3205.36" + } + }, + "nced": { + "hide_name": 0, + "bits": [ 2437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3206.32-3206.36" + } + }, + "ncee": { + "hide_name": 0, + "bits": [ 2439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3207.32-3207.36" + } + }, + "ncef": { + "hide_name": 0, + "bits": [ 1898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3208.32-3208.36" + } + }, + "ncf": { + "hide_name": 0, + "bits": [ 3204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3209.32-3209.35" + } + }, + "ncf0": { + "hide_name": 0, + "bits": [ 3849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3210.32-3210.36" + } + }, + "ncf1": { + "hide_name": 0, + "bits": [ 2446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3211.32-3211.36" + } + }, + "ncf2": { + "hide_name": 0, + "bits": [ 1492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3212.32-3212.36" + } + }, + "ncf3": { + "hide_name": 0, + "bits": [ 1490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3213.32-3213.36" + } + }, + "ncf4": { + "hide_name": 0, + "bits": [ 2440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3214.32-3214.36" + } + }, + "ncf5": { + "hide_name": 0, + "bits": [ 3487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3215.32-3215.36" + } + }, + "ncf6": { + "hide_name": 0, + "bits": [ 2442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3216.32-3216.36" + } + }, + "ncf7": { + "hide_name": 0, + "bits": [ 2441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3217.32-3217.36" + } + }, + "ncf8": { + "hide_name": 0, + "bits": [ 2932 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3218.32-3218.36" + } + }, + "ncf9": { + "hide_name": 0, + "bits": [ 2445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3219.32-3219.36" + } + }, + "ncfa": { + "hide_name": 0, + "bits": [ 1496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3220.32-3220.36" + } + }, + "ncfb": { + "hide_name": 0, + "bits": [ 1853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3221.32-3221.36" + } + }, + "ncfc": { + "hide_name": 0, + "bits": [ 1854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3222.32-3222.36" + } + }, + "ncfd": { + "hide_name": 0, + "bits": [ 1855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3223.32-3223.36" + } + }, + "ncfe": { + "hide_name": 0, + "bits": [ 1856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3224.32-3224.36" + } + }, + "ncff": { + "hide_name": 0, + "bits": [ 1857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3225.32-3225.36" + } + }, + "nd0": { + "hide_name": 0, + "bits": [ 592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3226.32-3226.35" + } + }, + "nd00": { + "hide_name": 0, + "bits": [ 1859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3227.32-3227.36" + } + }, + "nd01": { + "hide_name": 0, + "bits": [ 1491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3228.32-3228.36" + } + }, + "nd02": { + "hide_name": 0, + "bits": [ 2937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3229.32-3229.36" + } + }, + "nd03": { + "hide_name": 0, + "bits": [ 1861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3230.32-3230.36" + } + }, + "nd04": { + "hide_name": 0, + "bits": [ 1862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3231.32-3231.36" + } + }, + "nd05": { + "hide_name": 0, + "bits": [ 2458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3232.32-3232.36" + } + }, + "nd06": { + "hide_name": 0, + "bits": [ 1863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3233.32-3233.36" + } + }, + "nd07": { + "hide_name": 0, + "bits": [ 1864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3234.32-3234.36" + } + }, + "nd08": { + "hide_name": 0, + "bits": [ 1865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3235.32-3235.36" + } + }, + "nd09": { + "hide_name": 0, + "bits": [ 1866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3236.32-3236.36" + } + }, + "nd0a": { + "hide_name": 0, + "bits": [ 1867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3237.32-3237.36" + } + }, + "nd0b": { + "hide_name": 0, + "bits": [ 1868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3238.32-3238.36" + } + }, + "nd0c": { + "hide_name": 0, + "bits": [ 1869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3239.32-3239.36" + } + }, + "nd0d": { + "hide_name": 0, + "bits": [ 1870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3240.32-3240.36" + } + }, + "nd0e": { + "hide_name": 0, + "bits": [ 3261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3241.32-3241.36" + } + }, + "nd0f": { + "hide_name": 0, + "bits": [ 3891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3242.32-3242.36" + } + }, + "nd1": { + "hide_name": 0, + "bits": [ 2546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3243.32-3243.35" + } + }, + "nd10": { + "hide_name": 0, + "bits": [ 3267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3244.32-3244.36" + } + }, + "nd11": { + "hide_name": 0, + "bits": [ 3260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3245.32-3245.36" + } + }, + "nd12": { + "hide_name": 0, + "bits": [ 1871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3246.32-3246.36" + } + }, + "nd13": { + "hide_name": 0, + "bits": [ 1872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3247.32-3247.36" + } + }, + "nd14": { + "hide_name": 0, + "bits": [ 1841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3248.32-3248.36" + } + }, + "nd15": { + "hide_name": 0, + "bits": [ 1842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3249.32-3249.36" + } + }, + "nd16": { + "hide_name": 0, + "bits": [ 2935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3250.32-3250.36" + } + }, + "nd17": { + "hide_name": 0, + "bits": [ 1843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3251.32-3251.36" + } + }, + "nd18": { + "hide_name": 0, + "bits": [ 1844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3252.32-3252.36" + } + }, + "nd19": { + "hide_name": 0, + "bits": [ 1845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3253.32-3253.36" + } + }, + "nd1a": { + "hide_name": 0, + "bits": [ 1846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3254.32-3254.36" + } + }, + "nd1b": { + "hide_name": 0, + "bits": [ 1847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3255.32-3255.36" + } + }, + "nd1c": { + "hide_name": 0, + "bits": [ 1848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3256.32-3256.36" + } + }, + "nd1d": { + "hide_name": 0, + "bits": [ 1849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3257.32-3257.36" + } + }, + "nd1e": { + "hide_name": 0, + "bits": [ 1850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3258.32-3258.36" + } + }, + "nd1f": { + "hide_name": 0, + "bits": [ 1851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3259.32-3259.36" + } + }, + "nd2": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3260.32-3260.35" + } + }, + "nd20": { + "hide_name": 0, + "bits": [ 1852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3261.32-3261.36" + } + }, + "nd21": { + "hide_name": 0, + "bits": [ 1887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3262.32-3262.36" + } + }, + "nd22": { + "hide_name": 0, + "bits": [ 1888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3263.32-3263.36" + } + }, + "nd23": { + "hide_name": 0, + "bits": [ 1889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3264.32-3264.36" + } + }, + "nd24": { + "hide_name": 0, + "bits": [ 1890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3265.32-3265.36" + } + }, + "nd25": { + "hide_name": 0, + "bits": [ 1891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3266.32-3266.36" + } + }, + "nd26": { + "hide_name": 0, + "bits": [ 1892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3267.32-3267.36" + } + }, + "nd27": { + "hide_name": 0, + "bits": [ 1893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3268.32-3268.36" + } + }, + "nd28": { + "hide_name": 0, + "bits": [ 1894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3269.32-3269.36" + } + }, + "nd29": { + "hide_name": 0, + "bits": [ 1895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3270.32-3270.36" + } + }, + "nd2a": { + "hide_name": 0, + "bits": [ 1896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3271.32-3271.36" + } + }, + "nd2b": { + "hide_name": 0, + "bits": [ 2455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3272.32-3272.36" + } + }, + "nd2c": { + "hide_name": 0, + "bits": [ 2939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3273.32-3273.36" + } + }, + "nd2d": { + "hide_name": 0, + "bits": [ 3892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3274.32-3274.36" + } + }, + "nd2e": { + "hide_name": 0, + "bits": [ 2933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3275.32-3275.36" + } + }, + "nd2f": { + "hide_name": 0, + "bits": [ 3488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3276.32-3276.36" + } + }, + "nd3": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3277.32-3277.35" + } + }, + "nd30": { + "hide_name": 0, + "bits": [ 2934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3278.32-3278.36" + } + }, + "nd31": { + "hide_name": 0, + "bits": [ 3257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3279.32-3279.36" + } + }, + "nd32": { + "hide_name": 0, + "bits": [ 2459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3280.32-3280.36" + } + }, + "nd33": { + "hide_name": 0, + "bits": [ 2936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3281.32-3281.36" + } + }, + "nd34": { + "hide_name": 0, + "bits": [ 2447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3282.32-3282.36" + } + }, + "nd35": { + "hide_name": 0, + "bits": [ 3262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3283.32-3283.36" + } + }, + "nd36": { + "hide_name": 0, + "bits": [ 2453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3284.32-3284.36" + } + }, + "nd37": { + "hide_name": 0, + "bits": [ 3263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3285.32-3285.36" + } + }, + "nd38": { + "hide_name": 0, + "bits": [ 2452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3286.32-3286.36" + } + }, + "nd39": { + "hide_name": 0, + "bits": [ 2448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3287.32-3287.36" + } + }, + "nd3a": { + "hide_name": 0, + "bits": [ 2449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3288.32-3288.36" + } + }, + "nd3b": { + "hide_name": 0, + "bits": [ 3259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3289.32-3289.36" + } + }, + "nd3c": { + "hide_name": 0, + "bits": [ 2451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3290.32-3290.36" + } + }, + "nd3d": { + "hide_name": 0, + "bits": [ 2450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3291.32-3291.36" + } + }, + "nd3e": { + "hide_name": 0, + "bits": [ 3489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3292.32-3292.36" + } + }, + "nd3f": { + "hide_name": 0, + "bits": [ 3264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3293.32-3293.36" + } + }, + "nd4": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3294.32-3294.35" + } + }, + "nd40": { + "hide_name": 0, + "bits": [ 2461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3295.32-3295.36" + } + }, + "nd41": { + "hide_name": 0, + "bits": [ 2938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3296.32-3296.36" + } + }, + "nd42": { + "hide_name": 0, + "bits": [ 3893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3297.32-3297.36" + } + }, + "nd43": { + "hide_name": 0, + "bits": [ 3265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3298.32-3298.36" + } + }, + "nd44": { + "hide_name": 0, + "bits": [ 3266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3299.32-3299.36" + } + }, + "nd45": { + "hide_name": 0, + "bits": [ 2940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3300.32-3300.36" + } + }, + "nd46": { + "hide_name": 0, + "bits": [ 2941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3301.32-3301.36" + } + }, + "nd47": { + "hide_name": 0, + "bits": [ 2460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3302.32-3302.36" + } + }, + "nd48": { + "hide_name": 0, + "bits": [ 3851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3303.32-3303.36" + } + }, + "nd49": { + "hide_name": 0, + "bits": [ 3850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3304.32-3304.36" + } + }, + "nd4a": { + "hide_name": 0, + "bits": [ 3894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3305.32-3305.36" + } + }, + "nd4b": { + "hide_name": 0, + "bits": [ 2454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3306.32-3306.36" + } + }, + "nd4c": { + "hide_name": 0, + "bits": [ 2457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3307.32-3307.36" + } + }, + "nd4d": { + "hide_name": 0, + "bits": [ 2942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3308.32-3308.36" + } + }, + "nd4e": { + "hide_name": 0, + "bits": [ 2943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3309.32-3309.36" + } + }, + "nd4f": { + "hide_name": 0, + "bits": [ 2456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3310.32-3310.36" + } + }, + "nd5": { + "hide_name": 0, + "bits": [ 2517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3311.32-3311.35" + } + }, + "nd50": { + "hide_name": 0, + "bits": [ 1897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3312.32-3312.36" + } + }, + "nd51": { + "hide_name": 0, + "bits": [ 1899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3313.32-3313.36" + } + }, + "nd52": { + "hide_name": 0, + "bits": [ 1900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3314.32-3314.36" + } + }, + "nd53": { + "hide_name": 0, + "bits": [ 3271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3315.32-3315.36" + } + }, + "nd54": { + "hide_name": 0, + "bits": [ 3501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3316.32-3316.36" + } + }, + "nd55": { + "hide_name": 0, + "bits": [ 2948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3317.32-3317.36" + } + }, + "nd56": { + "hide_name": 0, + "bits": [ 3491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3318.32-3318.36" + } + }, + "nd57": { + "hide_name": 0, + "bits": [ 2947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3319.32-3319.36" + } + }, + "nd58": { + "hide_name": 0, + "bits": [ 1901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3320.32-3320.36" + } + }, + "nd59": { + "hide_name": 0, + "bits": [ 1902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3321.32-3321.36" + } + }, + "nd5a": { + "hide_name": 0, + "bits": [ 1903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3322.32-3322.36" + } + }, + "nd5b": { + "hide_name": 0, + "bits": [ 1904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3323.32-3323.36" + } + }, + "nd5c": { + "hide_name": 0, + "bits": [ 1905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3324.32-3324.36" + } + }, + "nd5d": { + "hide_name": 0, + "bits": [ 1906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3325.32-3325.36" + } + }, + "nd5e": { + "hide_name": 0, + "bits": [ 1875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3326.32-3326.36" + } + }, + "nd5f": { + "hide_name": 0, + "bits": [ 1876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3327.32-3327.36" + } + }, + "nd6": { + "hide_name": 0, + "bits": [ 2330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3328.32-3328.35" + } + }, + "nd60": { + "hide_name": 0, + "bits": [ 1877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3329.32-3329.36" + } + }, + "nd61": { + "hide_name": 0, + "bits": [ 1878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3330.32-3330.36" + } + }, + "nd62": { + "hide_name": 0, + "bits": [ 1880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3331.32-3331.36" + } + }, + "nd63": { + "hide_name": 0, + "bits": [ 3895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3332.32-3332.36" + } + }, + "nd64": { + "hide_name": 0, + "bits": [ 3896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3333.32-3333.36" + } + }, + "nd65": { + "hide_name": 0, + "bits": [ 2950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3334.32-3334.36" + } + }, + "nd66": { + "hide_name": 0, + "bits": [ 3897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3335.32-3335.36" + } + }, + "nd67": { + "hide_name": 0, + "bits": [ 2949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3336.32-3336.36" + } + }, + "nd68": { + "hide_name": 0, + "bits": [ 2946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3337.32-3337.36" + } + }, + "nd69": { + "hide_name": 0, + "bits": [ 3268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3338.32-3338.36" + } + }, + "nd6a": { + "hide_name": 0, + "bits": [ 3272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3339.32-3339.36" + } + }, + "nd6b": { + "hide_name": 0, + "bits": [ 3898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3340.32-3340.36" + } + }, + "nd6c": { + "hide_name": 0, + "bits": [ 3269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3341.32-3341.36" + } + }, + "nd6d": { + "hide_name": 0, + "bits": [ 2462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3342.32-3342.36" + } + }, + "nd6e": { + "hide_name": 0, + "bits": [ 2944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3343.32-3343.36" + } + }, + "nd6f": { + "hide_name": 0, + "bits": [ 3270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3344.32-3344.36" + } + }, + "nd7": { + "hide_name": 0, + "bits": [ 3201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3345.32-3345.35" + } + }, + "nd70": { + "hide_name": 0, + "bits": [ 2945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3346.32-3346.36" + } + }, + "nd71": { + "hide_name": 0, + "bits": [ 1879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3347.32-3347.36" + } + }, + "nd72": { + "hide_name": 0, + "bits": [ 1881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3348.32-3348.36" + } + }, + "nd73": { + "hide_name": 0, + "bits": [ 1882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3349.32-3349.36" + } + }, + "nd74": { + "hide_name": 0, + "bits": [ 1883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3350.32-3350.36" + } + }, + "nd75": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3351.32-3351.36" + } + }, + "nd76": { + "hide_name": 0, + "bits": [ 1885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3352.32-3352.36" + } + }, + "nd77": { + "hide_name": 0, + "bits": [ 1886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3353.32-3353.36" + } + }, + "nd78": { + "hide_name": 0, + "bits": [ 1921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3354.32-3354.36" + } + }, + "nd79": { + "hide_name": 0, + "bits": [ 1922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3355.32-3355.36" + } + }, + "nd7a": { + "hide_name": 0, + "bits": [ 1923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3356.32-3356.36" + } + }, + "nd7b": { + "hide_name": 0, + "bits": [ 1924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3357.32-3357.36" + } + }, + "nd7c": { + "hide_name": 0, + "bits": [ 1925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3358.32-3358.36" + } + }, + "nd7d": { + "hide_name": 0, + "bits": [ 1926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3359.32-3359.36" + } + }, + "nd7e": { + "hide_name": 0, + "bits": [ 1927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3360.32-3360.36" + } + }, + "nd7f": { + "hide_name": 0, + "bits": [ 1928 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3361.32-3361.36" + } + }, + "nd8": { + "hide_name": 0, + "bits": [ 2518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3362.32-3362.35" + } + }, + "nd80": { + "hide_name": 0, + "bits": [ 1929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3363.32-3363.36" + } + }, + "nd81": { + "hide_name": 0, + "bits": [ 1930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3364.32-3364.36" + } + }, + "nd82": { + "hide_name": 0, + "bits": [ 1931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3365.32-3365.36" + } + }, + "nd83": { + "hide_name": 0, + "bits": [ 1932 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3366.32-3366.36" + } + }, + "nd84": { + "hide_name": 0, + "bits": [ 1933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3367.32-3367.36" + } + }, + "nd85": { + "hide_name": 0, + "bits": [ 1934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3368.32-3368.36" + } + }, + "nd86": { + "hide_name": 0, + "bits": [ 1935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3369.32-3369.36" + } + }, + "nd87": { + "hide_name": 0, + "bits": [ 1936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3370.32-3370.36" + } + }, + "nd88": { + "hide_name": 0, + "bits": [ 1937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3371.32-3371.36" + } + }, + "nd89": { + "hide_name": 0, + "bits": [ 1938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3372.32-3372.36" + } + }, + "nd8a": { + "hide_name": 0, + "bits": [ 1939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3373.32-3373.36" + } + }, + "nd8b": { + "hide_name": 0, + "bits": [ 1940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3374.32-3374.36" + } + }, + "nd8c": { + "hide_name": 0, + "bits": [ 1909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3375.32-3375.36" + } + }, + "nd8d": { + "hide_name": 0, + "bits": [ 1910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3376.32-3376.36" + } + }, + "nd8e": { + "hide_name": 0, + "bits": [ 1911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3377.32-3377.36" + } + }, + "nd8f": { + "hide_name": 0, + "bits": [ 1912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3378.32-3378.36" + } + }, + "nd9": { + "hide_name": 0, + "bits": [ 2331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3379.32-3379.35" + } + }, + "nd90": { + "hide_name": 0, + "bits": [ 1913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3380.32-3380.36" + } + }, + "nd91": { + "hide_name": 0, + "bits": [ 1914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3381.32-3381.36" + } + }, + "nd92": { + "hide_name": 0, + "bits": [ 1915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3382.32-3382.36" + } + }, + "nd93": { + "hide_name": 0, + "bits": [ 1916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3383.32-3383.36" + } + }, + "nd94": { + "hide_name": 0, + "bits": [ 1917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3384.32-3384.36" + } + }, + "nd95": { + "hide_name": 0, + "bits": [ 1918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3385.32-3385.36" + } + }, + "nd96": { + "hide_name": 0, + "bits": [ 1919 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3386.32-3386.36" + } + }, + "nd97": { + "hide_name": 0, + "bits": [ 1920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3387.32-3387.36" + } + }, + "nd98": { + "hide_name": 0, + "bits": [ 1955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3388.32-3388.36" + } + }, + "nd99": { + "hide_name": 0, + "bits": [ 1956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3389.32-3389.36" + } + }, + "nd9a": { + "hide_name": 0, + "bits": [ 1957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3390.32-3390.36" + } + }, + "nd9b": { + "hide_name": 0, + "bits": [ 1958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3391.32-3391.36" + } + }, + "nd9c": { + "hide_name": 0, + "bits": [ 1959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3392.32-3392.36" + } + }, + "nd9d": { + "hide_name": 0, + "bits": [ 1960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3393.32-3393.36" + } + }, + "nd9e": { + "hide_name": 0, + "bits": [ 1961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3394.32-3394.36" + } + }, + "nd9f": { + "hide_name": 0, + "bits": [ 1962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3395.32-3395.36" + } + }, + "nda": { + "hide_name": 0, + "bits": [ 3202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3396.32-3396.35" + } + }, + "nda0": { + "hide_name": 0, + "bits": [ 1963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3397.32-3397.36" + } + }, + "nda1": { + "hide_name": 0, + "bits": [ 1964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3398.32-3398.36" + } + }, + "nda2": { + "hide_name": 0, + "bits": [ 1965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3399.32-3399.36" + } + }, + "nda3": { + "hide_name": 0, + "bits": [ 1966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3400.32-3400.36" + } + }, + "nda4": { + "hide_name": 0, + "bits": [ 1967 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3401.32-3401.36" + } + }, + "nda5": { + "hide_name": 0, + "bits": [ 1968 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3402.32-3402.36" + } + }, + "nda6": { + "hide_name": 0, + "bits": [ 1969 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3403.32-3403.36" + } + }, + "nda7": { + "hide_name": 0, + "bits": [ 1970 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3404.32-3404.36" + } + }, + "nda8": { + "hide_name": 0, + "bits": [ 1971 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3405.32-3405.36" + } + }, + "nda9": { + "hide_name": 0, + "bits": [ 1972 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3406.32-3406.36" + } + }, + "ndaa": { + "hide_name": 0, + "bits": [ 1973 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3407.32-3407.36" + } + }, + "ndab": { + "hide_name": 0, + "bits": [ 1974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3408.32-3408.36" + } + }, + "ndac": { + "hide_name": 0, + "bits": [ 1943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3409.32-3409.36" + } + }, + "ndad": { + "hide_name": 0, + "bits": [ 1944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3410.32-3410.36" + } + }, + "ndae": { + "hide_name": 0, + "bits": [ 1945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3411.32-3411.36" + } + }, + "ndaf": { + "hide_name": 0, + "bits": [ 1946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3412.32-3412.36" + } + }, + "ndb": { + "hide_name": 0, + "bits": [ 3203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3413.32-3413.35" + } + }, + "ndb0": { + "hide_name": 0, + "bits": [ 1947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3414.32-3414.36" + } + }, + "ndb1": { + "hide_name": 0, + "bits": [ 1948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3415.32-3415.36" + } + }, + "ndb2": { + "hide_name": 0, + "bits": [ 1949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3416.32-3416.36" + } + }, + "ndb3": { + "hide_name": 0, + "bits": [ 1950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3417.32-3417.36" + } + }, + "ndb4": { + "hide_name": 0, + "bits": [ 2463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3418.32-3418.36" + } + }, + "ndb5": { + "hide_name": 0, + "bits": [ 3492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3419.32-3419.36" + } + }, + "ndb6": { + "hide_name": 0, + "bits": [ 3852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3420.32-3420.36" + } + }, + "ndb7": { + "hide_name": 0, + "bits": [ 3900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3421.32-3421.36" + } + }, + "ndb8": { + "hide_name": 0, + "bits": [ 2464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3422.32-3422.36" + } + }, + "ndb9": { + "hide_name": 0, + "bits": [ 3901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3423.32-3423.36" + } + }, + "ndba": { + "hide_name": 0, + "bits": [ 3273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3424.32-3424.36" + } + }, + "ndbb": { + "hide_name": 0, + "bits": [ 2465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3425.32-3425.36" + } + }, + "ndbc": { + "hide_name": 0, + "bits": [ 3274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3426.32-3426.36" + } + }, + "ndbd": { + "hide_name": 0, + "bits": [ 3853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3427.32-3427.36" + } + }, + "ndbe": { + "hide_name": 0, + "bits": [ 3854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3428.32-3428.36" + } + }, + "ndbf": { + "hide_name": 0, + "bits": [ 3493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3429.32-3429.36" + } + }, + "ndc": { + "hide_name": 0, + "bits": [ 884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3430.32-3430.35" + } + }, + "ndc0": { + "hide_name": 0, + "bits": [ 3494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3431.32-3431.36" + } + }, + "ndc1": { + "hide_name": 0, + "bits": [ 2469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3432.32-3432.36" + } + }, + "ndc2": { + "hide_name": 0, + "bits": [ 2466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3433.32-3433.36" + } + }, + "ndc3": { + "hide_name": 0, + "bits": [ 2470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3434.32-3434.36" + } + }, + "ndc4": { + "hide_name": 0, + "bits": [ 2951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3435.32-3435.36" + } + }, + "ndc5": { + "hide_name": 0, + "bits": [ 3495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3436.32-3436.36" + } + }, + "ndc6": { + "hide_name": 0, + "bits": [ 3496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3437.32-3437.36" + } + }, + "ndc7": { + "hide_name": 0, + "bits": [ 3278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3438.32-3438.36" + } + }, + "ndc8": { + "hide_name": 0, + "bits": [ 3902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3439.32-3439.36" + } + }, + "ndc9": { + "hide_name": 0, + "bits": [ 3903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3440.32-3440.36" + } + }, + "ndca": { + "hide_name": 0, + "bits": [ 3904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3441.32-3441.36" + } + }, + "ndcb": { + "hide_name": 0, + "bits": [ 3276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3442.32-3442.36" + } + }, + "ndcc": { + "hide_name": 0, + "bits": [ 3277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3443.32-3443.36" + } + }, + "ndcd": { + "hide_name": 0, + "bits": [ 2471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3444.32-3444.36" + } + }, + "ndce": { + "hide_name": 0, + "bits": [ 2472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3445.32-3445.36" + } + }, + "ndcf": { + "hide_name": 0, + "bits": [ 2473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3446.32-3446.36" + } + }, + "ndd": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3447.32-3447.35" + } + }, + "ndd0": { + "hide_name": 0, + "bits": [ 3279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3448.32-3448.36" + } + }, + "ndd1": { + "hide_name": 0, + "bits": [ 1951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3449.32-3449.36" + } + }, + "ndd2": { + "hide_name": 0, + "bits": [ 1952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3450.32-3450.36" + } + }, + "ndd3": { + "hide_name": 0, + "bits": [ 1953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3451.32-3451.36" + } + }, + "ndd4": { + "hide_name": 0, + "bits": [ 1954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3452.32-3452.36" + } + }, + "ndd5": { + "hide_name": 0, + "bits": [ 1478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3453.32-3453.36" + } + }, + "ndd6": { + "hide_name": 0, + "bits": [ 1479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3454.32-3454.36" + } + }, + "ndd7": { + "hide_name": 0, + "bits": [ 1480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3455.32-3455.36" + } + }, + "ndd8": { + "hide_name": 0, + "bits": [ 1481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3456.32-3456.36" + } + }, + "ndd9": { + "hide_name": 0, + "bits": [ 1482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3457.32-3457.36" + } + }, + "ndda": { + "hide_name": 0, + "bits": [ 1483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3458.32-3458.36" + } + }, + "nddb": { + "hide_name": 0, + "bits": [ 1484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3459.32-3459.36" + } + }, + "nddc": { + "hide_name": 0, + "bits": [ 1485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3460.32-3460.36" + } + }, + "nddd": { + "hide_name": 0, + "bits": [ 1486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3461.32-3461.36" + } + }, + "ndde": { + "hide_name": 0, + "bits": [ 1487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3462.32-3462.36" + } + }, + "nddf": { + "hide_name": 0, + "bits": [ 1488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3463.32-3463.36" + } + }, + "nde": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3464.32-3464.35" + } + }, + "nde0": { + "hide_name": 0, + "bits": [ 1489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3465.32-3465.36" + } + }, + "nde1": { + "hide_name": 0, + "bits": [ 2952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3466.32-3466.36" + } + }, + "nde2": { + "hide_name": 0, + "bits": [ 2475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3467.32-3467.36" + } + }, + "nde3": { + "hide_name": 0, + "bits": [ 2954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3468.32-3468.36" + } + }, + "nde4": { + "hide_name": 0, + "bits": [ 3280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3469.32-3469.36" + } + }, + "nde5": { + "hide_name": 0, + "bits": [ 2476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3470.32-3470.36" + } + }, + "nde6": { + "hide_name": 0, + "bits": [ 2474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3471.32-3471.36" + } + }, + "nde7": { + "hide_name": 0, + "bits": [ 2953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3472.32-3472.36" + } + }, + "nde8": { + "hide_name": 0, + "bits": [ 2955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3473.32-3473.36" + } + }, + "nde9": { + "hide_name": 0, + "bits": [ 3905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3474.32-3474.36" + } + }, + "ndea": { + "hide_name": 0, + "bits": [ 3906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3475.32-3475.36" + } + }, + "ndeb": { + "hide_name": 0, + "bits": [ 3907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3476.32-3476.36" + } + }, + "ndec": { + "hide_name": 0, + "bits": [ 2477 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3477.32-3477.36" + } + }, + "nded": { + "hide_name": 0, + "bits": [ 2956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3478.32-3478.36" + } + }, + "ndee": { + "hide_name": 0, + "bits": [ 3908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3479.32-3479.36" + } + }, + "ndef": { + "hide_name": 0, + "bits": [ 2957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3480.32-3480.36" + } + }, + "ndf": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3481.32-3481.35" + } + }, + "ndf0": { + "hide_name": 0, + "bits": [ 2478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3482.32-3482.36" + } + }, + "ndf1": { + "hide_name": 0, + "bits": [ 3283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3483.32-3483.36" + } + }, + "ndf2": { + "hide_name": 0, + "bits": [ 3281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3484.32-3484.36" + } + }, + "ndf3": { + "hide_name": 0, + "bits": [ 2485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3485.32-3485.36" + } + }, + "ndf4": { + "hide_name": 0, + "bits": [ 2479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3486.32-3486.36" + } + }, + "ndf5": { + "hide_name": 0, + "bits": [ 3909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3487.32-3487.36" + } + }, + "ndf6": { + "hide_name": 0, + "bits": [ 2480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3488.32-3488.36" + } + }, + "ndf7": { + "hide_name": 0, + "bits": [ 3910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3489.32-3489.36" + } + }, + "ndf8": { + "hide_name": 0, + "bits": [ 3911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3490.32-3490.36" + } + }, + "ndf9": { + "hide_name": 0, + "bits": [ 3497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3491.32-3491.36" + } + }, + "ndfa": { + "hide_name": 0, + "bits": [ 2481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3492.32-3492.36" + } + }, + "ndfb": { + "hide_name": 0, + "bits": [ 3282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3493.32-3493.36" + } + }, + "ndfc": { + "hide_name": 0, + "bits": [ 2958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3494.32-3494.36" + } + }, + "ndfd": { + "hide_name": 0, + "bits": [ 2482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3495.32-3495.36" + } + }, + "ndfe": { + "hide_name": 0, + "bits": [ 2483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3496.32-3496.36" + } + }, + "ndff": { + "hide_name": 0, + "bits": [ 2484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3497.32-3497.36" + } + }, + "ne0": { + "hide_name": 0, + "bits": [ 408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3498.32-3498.35" + } + }, + "ne00": { + "hide_name": 0, + "bits": [ 2959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3499.32-3499.36" + } + }, + "ne01": { + "hide_name": 0, + "bits": [ 3284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3500.32-3500.36" + } + }, + "ne02": { + "hide_name": 0, + "bits": [ 3912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3501.32-3501.36" + } + }, + "ne03": { + "hide_name": 0, + "bits": [ 3913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3502.32-3502.36" + } + }, + "ne04": { + "hide_name": 0, + "bits": [ 3498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3503.32-3503.36" + } + }, + "ne05": { + "hide_name": 0, + "bits": [ 2961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3504.32-3504.36" + } + }, + "ne06": { + "hide_name": 0, + "bits": [ 2486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3505.32-3505.36" + } + }, + "ne07": { + "hide_name": 0, + "bits": [ 2491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3506.32-3506.36" + } + }, + "ne08": { + "hide_name": 0, + "bits": [ 3500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3507.32-3507.36" + } + }, + "ne09": { + "hide_name": 0, + "bits": [ 3285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3508.32-3508.36" + } + }, + "ne0a": { + "hide_name": 0, + "bits": [ 2487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3509.32-3509.36" + } + }, + "ne0b": { + "hide_name": 0, + "bits": [ 3914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3510.32-3510.36" + } + }, + "ne0c": { + "hide_name": 0, + "bits": [ 2488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3511.32-3511.36" + } + }, + "ne0d": { + "hide_name": 0, + "bits": [ 2489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3512.32-3512.36" + } + }, + "ne0e": { + "hide_name": 0, + "bits": [ 2962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3513.32-3513.36" + } + }, + "ne0f": { + "hide_name": 0, + "bits": [ 3499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3514.32-3514.36" + } + }, + "ne1": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3515.32-3515.35" + } + }, + "ne10": { + "hide_name": 0, + "bits": [ 3915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3516.32-3516.36" + } + }, + "ne11": { + "hide_name": 0, + "bits": [ 3916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3517.32-3517.36" + } + }, + "ne12": { + "hide_name": 0, + "bits": [ 3917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3518.32-3518.36" + } + }, + "ne13": { + "hide_name": 0, + "bits": [ 2492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3519.32-3519.36" + } + }, + "ne14": { + "hide_name": 0, + "bits": [ 2963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3520.32-3520.36" + } + }, + "ne15": { + "hide_name": 0, + "bits": [ 2493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3521.32-3521.36" + } + }, + "ne16": { + "hide_name": 0, + "bits": [ 3502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3522.32-3522.36" + } + }, + "ne17": { + "hide_name": 0, + "bits": [ 2494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3523.32-3523.36" + } + }, + "ne18": { + "hide_name": 0, + "bits": [ 2496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3524.32-3524.36" + } + }, + "ne19": { + "hide_name": 0, + "bits": [ 2495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3525.32-3525.36" + } + }, + "ne1a": { + "hide_name": 0, + "bits": [ 2964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3526.32-3526.36" + } + }, + "ne1b": { + "hide_name": 0, + "bits": [ 3286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3527.32-3527.36" + } + }, + "ne1c": { + "hide_name": 0, + "bits": [ 3288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3528.32-3528.36" + } + }, + "ne1d": { + "hide_name": 0, + "bits": [ 2965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3529.32-3529.36" + } + }, + "ne1e": { + "hide_name": 0, + "bits": [ 3287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3530.32-3530.36" + } + }, + "ne1f": { + "hide_name": 0, + "bits": [ 3918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3531.32-3531.36" + } + }, + "ne2": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3532.32-3532.35" + } + }, + "ne20": { + "hide_name": 0, + "bits": [ 2497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3533.32-3533.36" + } + }, + "ne21": { + "hide_name": 0, + "bits": [ 2498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3534.32-3534.36" + } + }, + "ne22": { + "hide_name": 0, + "bits": [ 3919 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3535.32-3535.36" + } + }, + "ne23": { + "hide_name": 0, + "bits": [ 3290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3536.32-3536.36" + } + }, + "ne24": { + "hide_name": 0, + "bits": [ 3920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3537.32-3537.36" + } + }, + "ne25": { + "hide_name": 0, + "bits": [ 3289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3538.32-3538.36" + } + }, + "ne26": { + "hide_name": 0, + "bits": [ 3921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3539.32-3539.36" + } + }, + "ne27": { + "hide_name": 0, + "bits": [ 3503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3540.32-3540.36" + } + }, + "ne28": { + "hide_name": 0, + "bits": [ 3506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3541.32-3541.36" + } + }, + "ne29": { + "hide_name": 0, + "bits": [ 2503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3542.32-3542.36" + } + }, + "ne2a": { + "hide_name": 0, + "bits": [ 3922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3543.32-3543.36" + } + }, + "ne2b": { + "hide_name": 0, + "bits": [ 3855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3544.32-3544.36" + } + }, + "ne2c": { + "hide_name": 0, + "bits": [ 3856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3545.32-3545.36" + } + }, + "ne2d": { + "hide_name": 0, + "bits": [ 3504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3546.32-3546.36" + } + }, + "ne2e": { + "hide_name": 0, + "bits": [ 3505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3547.32-3547.36" + } + }, + "ne2f": { + "hide_name": 0, + "bits": [ 2500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3548.32-3548.36" + } + }, + "ne3": { + "hide_name": 0, + "bits": [ 593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3549.32-3549.35" + } + }, + "ne30": { + "hide_name": 0, + "bits": [ 2499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3550.32-3550.36" + } + }, + "ne31": { + "hide_name": 0, + "bits": [ 2502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3551.32-3551.36" + } + }, + "ne32": { + "hide_name": 0, + "bits": [ 2501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3552.32-3552.36" + } + }, + "ne33": { + "hide_name": 0, + "bits": [ 3507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3553.32-3553.36" + } + }, + "ne34": { + "hide_name": 0, + "bits": [ 3923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3554.32-3554.36" + } + }, + "ne35": { + "hide_name": 0, + "bits": [ 2505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3555.32-3555.36" + } + }, + "ne36": { + "hide_name": 0, + "bits": [ 2504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3556.32-3556.36" + } + }, + "ne37": { + "hide_name": 0, + "bits": [ 2507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3557.32-3557.36" + } + }, + "ne38": { + "hide_name": 0, + "bits": [ 2506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3558.32-3558.36" + } + }, + "ne4": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3559.32-3559.35" + } + }, + "ne5": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3560.32-3560.35" + } + }, + "ne6": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3561.32-3561.35" + } + }, + "ne7": { + "hide_name": 0, + "bits": [ 3940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3562.32-3562.35" + } + }, + "ne8": { + "hide_name": 0, + "bits": [ 2985 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3563.32-3563.35" + } + }, + "ne9": { + "hide_name": 0, + "bits": [ 3525 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3564.32-3564.35" + } + }, + "nea": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3565.32-3565.35" + } + }, + "neb": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3566.32-3566.35" + } + }, + "nec": { + "hide_name": 0, + "bits": [ 2984 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3567.32-3567.35" + } + }, + "ned": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3568.32-3568.35" + } + }, + "nee": { + "hide_name": 0, + "bits": [ 1124 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3569.32-3569.35" + } + }, + "nef": { + "hide_name": 0, + "bits": [ 1125 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3570.32-3570.35" + } + }, + "nf0": { + "hide_name": 0, + "bits": [ 1159 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3571.32-3571.35" + } + }, + "nf1": { + "hide_name": 0, + "bits": [ 1227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3572.32-3572.35" + } + }, + "nf2": { + "hide_name": 0, + "bits": [ 1193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3573.32-3573.35" + } + }, + "nf3": { + "hide_name": 0, + "bits": [ 2568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3574.32-3574.35" + } + }, + "nf4": { + "hide_name": 0, + "bits": [ 1158 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3575.32-3575.35" + } + }, + "nf5": { + "hide_name": 0, + "bits": [ 2858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3576.32-3576.35" + } + }, + "nf6": { + "hide_name": 0, + "bits": [ 1192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3577.32-3577.35" + } + }, + "nf7": { + "hide_name": 0, + "bits": [ 1226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3578.32-3578.35" + } + }, + "nf8": { + "hide_name": 0, + "bits": [ 1260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3579.32-3579.35" + } + }, + "nf9": { + "hide_name": 0, + "bits": [ 1261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3580.32-3580.35" + } + }, + "nfa": { + "hide_name": 0, + "bits": [ 1294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3581.32-3581.35" + } + }, + "nfb": { + "hide_name": 0, + "bits": [ 1295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3582.32-3582.35" + } + }, + "nfc": { + "hide_name": 0, + "bits": [ 1328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3583.32-3583.35" + } + }, + "nfd": { + "hide_name": 0, + "bits": [ 1329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3584.32-3584.35" + } + }, + "nfe": { + "hide_name": 0, + "bits": [ 1362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3585.32-3585.35" + } + }, + "nff": { + "hide_name": 0, + "bits": [ 1363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3586.32-3586.35" + } + }, + "op": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161 ], + "attributes": { + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:96.16-96.18" + } + }, + "op_OBUF": { + "hide_name": 0, + "bits": [ 2010, 2112, 1551, 1533, 1626, 2106, 1523, 1500, 2154, 1546, 1535, 2152, 2052, 2104, 2110, 1564, 2016, 1586, 1512, 2040, 2014, 1556, 1501, 1504, 1634, 1540, 1515, 1531, 1509, 2022, 2090, 1508, 1632, 1522, 1516, 1513, 2036, 2134, 2118, 1477, 1548, 1510, 1524, 2132, 1529, 1592, 1525, 1528, 1536, 1517, 1618, 1544, 1532, 2150, 1557, 2062, 1526, 1527, 1584, 1530, 1506, 1552, 1534, 2108, 1521, 1628, 1604, 2048, 1518, 1503, 1514, 1622, 1511, 1476, 1554, 2128, 1606, 1562, 1630, 1558 ], + "attributes": { + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3588.14-3588.21" + } + }, + "opr": { + "hide_name": 0, + "bits": [ 2009, 2111, 1033, 1041, 1625, 2105, 1055, 1465, 2153, 1545, 1047, 2151, 2051, 2103, 2109, 1563, 2015, 1585, 919, 2039, 2013, 1555, 509, 1321, 1633, 1539, 595, 1037, 166, 2021, 2089, 1507, 1631, 1053, 597, 749, 2035, 2133, 2117, 373, 1547, 303, 1025, 2131, 999, 1591, 1027, 997, 1049, 601, 1617, 1543, 1039, 2149, 1473, 2061, 1029, 995, 1583, 1001, 1505, 1071, 1043, 2107, 1051, 1627, 1603, 2047, 443, 1502, 851, 1621, 681, 371, 1553, 2127, 1605, 1561, 1629, 1475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_1.3_gnl_2500_03_5_80_80.netlist.v:3589.38-3589.41" + } + } + } + } + } +} diff --git a/src/gnl-2-4-5-2.0-gnl-2000-08-5-80-80/gnl_2_4_5_2.0_gnl_2000_08_5_80_80.json b/src/gnl-2-4-5-2.0-gnl-2000-08-5-80-80/gnl_2_4_5_2.0_gnl_2000_08_5_80_80.json new file mode 100644 index 00000000..adb556e0 --- /dev/null +++ b/src/gnl-2-4-5-2.0-gnl-2000-08-5-80-80/gnl_2_4_5_2.0_gnl_2000_08_5_80_80.json @@ -0,0 +1,113100 @@ +{ + "creator": "Yosys 0.20+45 (git sha1 029c2785e, clang 11.1.0-++20211011094159+1fdec59bffc1-1~exp1~20211011214614.8 -fPIC -Os)", + "modules": { + "\\$__ABC9_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000001010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.1-303.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + }, + "I6": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.39-290.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.43-290.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.47-290.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.51-290.53" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.55-290.57" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.59-290.61" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.63-290.65" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:290.30-290.31" + } + } + } + }, + "\\$__ABC9_LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000010100", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.1-322.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + }, + "I6": { + "direction": "input", + "bits": [ 9 ] + }, + "I7": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.39-308.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.43-308.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.47-308.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.51-308.53" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.55-308.57" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.59-308.61" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.63-308.65" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.67-308.69" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:308.30-308.31" + } + } + } + }, + "AND2B1L": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:995.1-1003.10" + }, + "parameter_default_values": { + "IS_SRI_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "SRI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:997.9-997.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:996.10-996.11" + } + }, + "SRI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_SRI_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:999.9-999.12" + } + } + } + }, + "BUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:103.1-112.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:106.11-106.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:105.12-105.13" + } + } + } + }, + "BUFGCTRL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:114.1-148.10" + }, + "parameter_default_values": { + "INIT_OUT": "0", + "IS_CE0_INVERTED": "0", + "IS_CE1_INVERTED": "0", + "IS_IGNORE0_INVERTED": "0", + "IS_IGNORE1_INVERTED": "0", + "IS_S0_INVERTED": "0", + "IS_S1_INVERTED": "0", + "PRESELECT_I0": "FALSE", + "PRESELECT_I1": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + }, + "S1": { + "direction": "input", + "bits": [ 6 ] + }, + "CE0": { + "direction": "input", + "bits": [ 7 ] + }, + "CE1": { + "direction": "input", + "bits": [ 8 ] + }, + "IGNORE0": { + "direction": "input", + "bits": [ 9 ] + }, + "IGNORE1": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "CE0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_CE0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:123.11-123.14" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "invertible_pin": "IS_CE1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:125.11-125.14" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:117.11-117.13" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:117.21-117.23" + } + }, + "IGNORE0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_IGNORE0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:127.11-127.18" + } + }, + "IGNORE1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_IGNORE1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:129.11-129.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:116.12-116.13" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_S0_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:119.11-119.13" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_S1_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:121.11-121.13" + } + } + } + }, + "BUFHCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:150.1-163.10" + }, + "parameter_default_values": { + "CE_TYPE": "SYNC", + "INIT_OUT": "0", + "IS_CE_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:155.11-155.13" + } + }, + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:153.11-153.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:152.12-152.13" + } + } + } + }, + "CARRY4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:367.1-436.10" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "O": { + "direction": "output", + "bits": [ 6, 7, 8, 9 ] + }, + "CI": { + "direction": "input", + "bits": [ 10 ] + }, + "CYINIT": { + "direction": "input", + "bits": [ 11 ] + }, + "DI": { + "direction": "input", + "bits": [ 12, 13, 14, 15 ] + }, + "S": { + "direction": "input", + "bits": [ 16, 17, 18, 19 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:372.16-372.18" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:369.16-369.18" + } + }, + "CYINIT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:373.16-373.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:374.16-374.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:370.16-370.17" + } + }, + "S": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:374.20-374.21" + } + } + } + }, + "CARRY8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:438.1-456.10" + }, + "parameter_default_values": { + "CARRY_TYPE": "SINGLE_CY8" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "O": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "CI": { + "direction": "input", + "bits": [ 18 ] + }, + "CI_TOP": { + "direction": "input", + "bits": [ 19 ] + }, + "DI": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ] + }, + "S": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:441.16-441.18" + } + }, + "CI_TOP": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:442.16-442.22" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:439.16-439.18" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:443.16-443.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:440.16-440.17" + } + }, + "S": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:443.20-443.21" + } + } + } + }, + "CFGLUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2431.1-2454.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "CDO": { + "direction": "output", + "bits": [ 2 ] + }, + "O5": { + "direction": "output", + "bits": [ 3 ] + }, + "O6": { + "direction": "output", + "bits": [ 4 ] + }, + "I4": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I2": { + "direction": "input", + "bits": [ 7 ] + }, + "I1": { + "direction": "input", + "bits": [ 8 ] + }, + "I0": { + "direction": "input", + "bits": [ 9 ] + }, + "CDI": { + "direction": "input", + "bits": [ 10 ] + }, + "CE": { + "direction": "input", + "bits": [ 11 ] + }, + "CLK": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CDI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2440.9-2440.12" + } + }, + "CDO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2432.10-2432.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2441.9-2441.11" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2444.9-2444.12" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2439.9-2439.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2438.9-2438.11" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2437.9-2437.11" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2436.9-2436.11" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2435.9-2435.11" + } + }, + "O5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2433.10-2433.12" + } + }, + "O6": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2434.10-2434.12" + } + } + } + }, + "DSP48": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2961.1-3189.10" + }, + "parameter_default_values": { + "AREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "LEGACY_MODE": "MULT18X18S", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "SUBTRACTREG": "00000000000000000000000000000001" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "BCIN": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159 ] + }, + "SUBTRACT": { + "direction": "input", + "bits": [ 160 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 161, 162 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276 ] + }, + "CLK": { + "direction": "input", + "bits": [ 277 ] + }, + "CEA": { + "direction": "input", + "bits": [ 278 ] + }, + "CEB": { + "direction": "input", + "bits": [ 279 ] + }, + "CEC": { + "direction": "input", + "bits": [ 280 ] + }, + "CEM": { + "direction": "input", + "bits": [ 281 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 282 ] + }, + "CECINSUB": { + "direction": "input", + "bits": [ 283 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 284 ] + }, + "CEP": { + "direction": "input", + "bits": [ 285 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 286 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 287 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 288 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 289 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 290 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 291 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 292 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2962.25-2962.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2963.25-2963.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2965.25-2965.29" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2972.26-2972.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2964.25-2964.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2967.11-2967.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 161, 162 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2970.17-2970.27" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2976.11-2976.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2977.11-2977.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2978.11-2978.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2980.11-2980.20" + } + }, + "CECINSUB": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2981.11-2981.19" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2982.11-2982.17" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2979.11-2979.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2983.11-2983.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2975.11-2975.14" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2968.17-2968.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2971.26-2971.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2966.25-2966.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2973.26-2973.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2984.11-2984.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2985.11-2985.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2986.11-2986.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2988.11-2988.21" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2989.11-2989.18" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2987.11-2987.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2990.11-2990.15" + } + }, + "SUBTRACT": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2969.11-2969.19" + } + } + } + }, + "DSP48A": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2565.1-2661.10" + }, + "parameter_default_values": { + "A0REG": "00000000000000000000000000000000", + "A1REG": "00000000000000000000000000000001", + "B0REG": "00000000000000000000000000000000", + "B1REG": "00000000000000000000000000000001", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSEL": "CARRYIN", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "RSTTYPE": "SYNC" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "D": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 275 ] + }, + "CLK": { + "direction": "input", + "bits": [ 276 ] + }, + "CEA": { + "direction": "input", + "bits": [ 277 ] + }, + "CEB": { + "direction": "input", + "bits": [ 278 ] + }, + "CEC": { + "direction": "input", + "bits": [ 279 ] + }, + "CED": { + "direction": "input", + "bits": [ 280 ] + }, + "CEM": { + "direction": "input", + "bits": [ 281 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 282 ] + }, + "CEOPMODE": { + "direction": "input", + "bits": [ 283 ] + }, + "CEP": { + "direction": "input", + "bits": [ 284 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 285 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 286 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 287 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 288 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 289 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 290 ] + }, + "RSTOPMODE": { + "direction": "input", + "bits": [ 291 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 292 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2566.25-2566.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2567.25-2567.26" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2574.26-2574.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2568.25-2568.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2571.11-2571.18" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2576.12-2576.20" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2579.11-2579.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2580.11-2580.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2581.11-2581.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2584.11-2584.20" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2582.11-2582.14" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2583.11-2583.14" + } + }, + "CEOPMODE": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2585.11-2585.19" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2586.11-2586.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2578.11-2578.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2569.25-2569.26" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2572.17-2572.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2573.26-2573.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2570.25-2570.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2575.26-2575.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2587.11-2587.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2588.11-2588.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2589.11-2589.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2592.11-2592.21" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2590.11-2590.15" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2591.11-2591.15" + } + }, + "RSTOPMODE": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2593.11-2593.20" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2594.11-2594.15" + } + } + } + }, + "DSP48A1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2665.1-2959.10" + }, + "parameter_default_values": { + "A0REG": "00000000000000000000000000000000", + "A1REG": "00000000000000000000000000000001", + "B0REG": "00000000000000000000000000000000", + "B1REG": "00000000000000000000000000000001", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSEL": "OPMODE5", + "CARRYOUTREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "RSTTYPE": "SYNC" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "D": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "M": { + "direction": "output", + "signed": 1, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 311 ] + }, + "CARRYOUTF": { + "direction": "output", + "bits": [ 312 ] + }, + "CLK": { + "direction": "input", + "bits": [ 313 ] + }, + "CEA": { + "direction": "input", + "bits": [ 314 ] + }, + "CEB": { + "direction": "input", + "bits": [ 315 ] + }, + "CEC": { + "direction": "input", + "bits": [ 316 ] + }, + "CED": { + "direction": "input", + "bits": [ 317 ] + }, + "CEM": { + "direction": "input", + "bits": [ 318 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 319 ] + }, + "CEOPMODE": { + "direction": "input", + "bits": [ 320 ] + }, + "CEP": { + "direction": "input", + "bits": [ 321 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 322 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 323 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 324 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 325 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 326 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 327 ] + }, + "RSTOPMODE": { + "direction": "input", + "bits": [ 328 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 329 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2666.25-2666.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2667.25-2667.26" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2675.26-2675.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2668.25-2668.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2671.11-2671.18" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2677.12-2677.20" + } + }, + "CARRYOUTF": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2678.12-2678.21" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2681.11-2681.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2682.11-2682.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2683.11-2683.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2686.11-2686.20" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2684.11-2684.14" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2685.11-2685.14" + } + }, + "CEOPMODE": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2687.11-2687.19" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2688.11-2688.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2680.11-2680.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2669.25-2669.26" + } + }, + "M": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2673.26-2673.27" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2672.17-2672.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2674.26-2674.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2670.25-2670.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2676.26-2676.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2689.11-2689.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2690.11-2690.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2691.11-2691.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2694.11-2694.21" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2692.11-2692.15" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2693.11-2693.15" + } + }, + "RSTOPMODE": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2695.11-2695.20" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2696.11-2696.15" + } + } + } + }, + "DSP48E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "0", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3203.1-3946.10" + }, + "parameter_default_values": { + "ACASCREG": "00000000000000000000000000000001", + "ADREG": "00000000000000000000000000000001", + "ALUMODEREG": "00000000000000000000000000000001", + "AREG": "00000000000000000000000000000001", + "AUTORESET_PATDET": "NO_RESET", + "A_INPUT": "DIRECT", + "BCASCREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "INMODEREG": "00000000000000000000000000000001", + "IS_ALUMODE_INVERTED": "0000", + "IS_CARRYIN_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_INMODE_INVERTED": "00000", + "IS_OPMODE_INVERTED": "0000000", + "MASK": "001111111111111111111111111111111111111111111111", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PATTERN": "000000000000000000000000000000000000000000000000", + "PREG": "00000000000000000000000000000001", + "SEL_MASK": "MASK", + "SEL_PATTERN": "PATTERN", + "USE_DPORT": "FALSE", + "USE_MULT": "MULTIPLY", + "USE_PATTERN_DETECT": "NO_PATDET", + "USE_SIMD": "ONE48" + }, + "ports": { + "ACOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "BCOUT": { + "direction": "output", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "CARRYCASCOUT": { + "direction": "output", + "bits": [ 50 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 51, 52, 53, 54 ] + }, + "MULTSIGNOUT": { + "direction": "output", + "bits": [ 55 ] + }, + "OVERFLOW": { + "direction": "output", + "bits": [ 56 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "PATTERNBDETECT": { + "direction": "output", + "bits": [ 105 ] + }, + "PATTERNDETECT": { + "direction": "output", + "bits": [ 106 ] + }, + "PCOUT": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + }, + "UNDERFLOW": { + "direction": "output", + "bits": [ 155 ] + }, + "A": { + "direction": "input", + "signed": 1, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ] + }, + "ACIN": { + "direction": "input", + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ] + }, + "ALUMODE": { + "direction": "input", + "bits": [ 216, 217, 218, 219 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "BCIN": { + "direction": "input", + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ] + }, + "C": { + "direction": "input", + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "CARRYCASCIN": { + "direction": "input", + "bits": [ 304 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 305 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 306, 307, 308 ] + }, + "CEA1": { + "direction": "input", + "bits": [ 309 ] + }, + "CEA2": { + "direction": "input", + "bits": [ 310 ] + }, + "CEAD": { + "direction": "input", + "bits": [ 311 ] + }, + "CEALUMODE": { + "direction": "input", + "bits": [ 312 ] + }, + "CEB1": { + "direction": "input", + "bits": [ 313 ] + }, + "CEB2": { + "direction": "input", + "bits": [ 314 ] + }, + "CEC": { + "direction": "input", + "bits": [ 315 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 316 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 317 ] + }, + "CED": { + "direction": "input", + "bits": [ 318 ] + }, + "CEINMODE": { + "direction": "input", + "bits": [ 319 ] + }, + "CEM": { + "direction": "input", + "bits": [ 320 ] + }, + "CEP": { + "direction": "input", + "bits": [ 321 ] + }, + "CLK": { + "direction": "input", + "bits": [ 322 ] + }, + "D": { + "direction": "input", + "bits": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ] + }, + "INMODE": { + "direction": "input", + "bits": [ 348, 349, 350, 351, 352 ] + }, + "MULTSIGNIN": { + "direction": "input", + "bits": [ 353 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 354, 355, 356, 357, 358, 359, 360 ] + }, + "PCIN": { + "direction": "input", + "bits": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 409 ] + }, + "RSTALLCARRYIN": { + "direction": "input", + "bits": [ 410 ] + }, + "RSTALUMODE": { + "direction": "input", + "bits": [ 411 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 412 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 413 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 414 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 415 ] + }, + "RSTINMODE": { + "direction": "input", + "bits": [ 416 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 417 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 418 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3215.25-3215.26" + } + }, + "ACIN": { + "hide_name": 0, + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3216.18-3216.22" + } + }, + "ACOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3204.19-3204.24" + } + }, + "ALUMODE": { + "hide_name": 0, + "bits": [ 216, 217, 218, 219 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3217.17-3217.24" + } + }, + "B": { + "hide_name": 0, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3218.25-3218.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3219.18-3219.22" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3205.19-3205.24" + } + }, + "C": { + "hide_name": 0, + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3220.18-3220.19" + } + }, + "CARRYCASCIN": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3221.11-3221.22" + } + }, + "CARRYCASCOUT": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3206.16-3206.28" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3222.11-3222.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 306, 307, 308 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3223.17-3223.27" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3207.22-3207.30" + } + }, + "CEA1": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3224.11-3224.15" + } + }, + "CEA2": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3225.11-3225.15" + } + }, + "CEAD": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3226.11-3226.15" + } + }, + "CEALUMODE": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3227.11-3227.20" + } + }, + "CEB1": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3228.11-3228.15" + } + }, + "CEB2": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3229.11-3229.15" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3230.11-3230.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3231.11-3231.20" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3232.11-3232.17" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3233.11-3233.14" + } + }, + "CEINMODE": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3234.11-3234.19" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3235.11-3235.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3236.11-3236.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3237.29-3237.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3238.18-3238.19" + } + }, + "INMODE": { + "hide_name": 0, + "bits": [ 348, 349, 350, 351, 352 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3239.17-3239.23" + } + }, + "MULTSIGNIN": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3240.11-3240.21" + } + }, + "MULTSIGNOUT": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3208.16-3208.27" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 354, 355, 356, 357, 358, 359, 360 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3241.17-3241.23" + } + }, + "OVERFLOW": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3209.12-3209.20" + } + }, + "P": { + "hide_name": 0, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3210.30-3210.31" + } + }, + "PATTERNBDETECT": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3211.16-3211.30" + } + }, + "PATTERNDETECT": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3212.16-3212.29" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3242.18-3242.22" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3213.19-3213.24" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3243.11-3243.15" + } + }, + "RSTALLCARRYIN": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3244.11-3244.24" + } + }, + "RSTALUMODE": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3245.11-3245.21" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3246.11-3246.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3247.11-3247.15" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3248.11-3248.18" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3249.11-3249.15" + } + }, + "RSTINMODE": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3250.11-3250.20" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3251.11-3251.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3252.11-3252.15" + } + }, + "UNDERFLOW": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3214.12-3214.21" + } + } + } + }, + "FDCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:672.1-718.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:676.9-676.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:677.9-677.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:679.9-679.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:681.9-681.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:673.14-673.15" + } + } + } + }, + "FDCE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:721.1-749.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:724.9-724.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:725.9-725.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:726.9-726.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:727.9-727.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:722.14-722.15" + } + } + } + }, + "FDCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:830.1-873.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:834.9-834.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:835.9-835.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:837.9-837.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:838.9-838.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:840.9-840.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:831.15-831.16" + } + } + } + }, + "FDCPE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:875.1-918.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:879.9-879.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:880.9-880.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:882.9-882.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:883.9-883.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:885.9-885.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:876.15-876.16" + } + } + } + }, + "FDPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:752.1-797.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:756.9-756.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:757.9-757.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:759.9-759.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:761.9-761.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:753.14-753.15" + } + } + } + }, + "FDPE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:800.1-828.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:803.9-803.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:804.9-804.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:805.9-805.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:806.9-806.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:801.14-801.15" + } + } + } + }, + "FDRE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:471.1-509.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:475.9-475.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:476.9-476.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:478.9-478.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:472.14-472.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:480.9-480.10" + } + } + } + }, + "FDRE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:512.1-533.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:515.9-515.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:516.9-516.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:517.9-517.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:513.14-513.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:518.9-518.10" + } + } + } + }, + "FDRSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:601.1-634.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CE_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + }, + "S": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:605.9-605.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:607.9-607.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:609.9-609.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:602.14-602.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:611.9-611.10" + } + }, + "S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:613.9-613.10" + } + } + } + }, + "FDRSE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:636.1-669.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CE_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + }, + "S": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:640.9-640.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:642.9-642.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:644.9-644.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:637.14-637.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:646.9-646.10" + } + }, + "S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:648.9-648.10" + } + } + } + }, + "FDSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:536.1-574.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "S": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:540.9-540.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:541.9-541.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:543.9-543.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:537.14-537.15" + } + }, + "S": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:545.9-545.10" + } + } + } + }, + "FDSE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:577.1-599.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "S": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:580.9-580.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:581.9-581.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:582.9-582.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:578.14-578.15" + } + }, + "S": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:583.9-583.10" + } + } + } + }, + "GND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:28.1-30.10" + }, + "ports": { + "G": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "G": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:28.19-28.20" + } + } + } + }, + "IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:32.1-42.10" + }, + "parameter_default_values": { + "IBUF_LOW_PWR": "00000000000000000000000000000000", + "IOSTANDARD": "default" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:35.11-35.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:33.12-33.13" + } + } + } + }, + "IBUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:44.1-53.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "IBUF_DELAY_VALUE": "0 ", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:47.11-47.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:45.12-45.13" + } + } + } + }, + "INV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:173.1-182.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:176.11-176.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_inv": "I", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:175.12-175.13" + } + } + } + }, + "IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:68.1-85.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "IO": { + "direction": "inout", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "T": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:72.11-72.12" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:70.11-70.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:71.12-71.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:73.11-73.12" + } + } + } + }, + "LDCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:920.1-940.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_G_INVERTED": "0", + "MSGON": "TRUE", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLR": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "G": { + "direction": "input", + "bits": [ 5 ] + }, + "GE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CLR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:923.9-923.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:924.9-924.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:926.9-926.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:927.9-927.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:921.14-921.15" + } + } + } + }, + "LDCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:964.1-993.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_CLR_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_GE_INVERTED": "0", + "IS_G_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLR": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "G": { + "direction": "input", + "bits": [ 5 ] + }, + "GE": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CLR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:967.9-967.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:969.9-969.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:971.9-971.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_GE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:973.9-973.11" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:975.9-975.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:965.14-965.15" + } + } + } + }, + "LDPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:942.1-962.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_G_INVERTED": "0", + "IS_PRE_INVERTED": "0", + "MSGON": "TRUE", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "G": { + "direction": "input", + "bits": [ 4 ] + }, + "GE": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:944.9-944.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:946.9-946.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:947.9-947.11" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:949.9-949.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:943.14-943.15" + } + } + } + }, + "LUT1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.1-191.10" + }, + "parameter_default_values": { + "INIT": "00" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.29-185.31" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:185.20-185.21" + } + } + } + }, + "LUT2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.1-202.10" + }, + "parameter_default_values": { + "INIT": "0000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.29-194.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.33-194.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:194.20-194.21" + } + } + } + }, + "LUT3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.1-215.10" + }, + "parameter_default_values": { + "INIT": "00000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.29-205.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.33-205.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.37-205.39" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:205.20-205.21" + } + } + } + }, + "LUT4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.1-230.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.29-218.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.33-218.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.37-218.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.41-218.43" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:218.20-218.21" + } + } + } + }, + "LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000011", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.1-247.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.29-233.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.33-233.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.37-233.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.41-233.43" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.45-233.47" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:233.20-233.21" + } + } + } + }, + "LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000101", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.1-269.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.29-253.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.33-253.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.37-253.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.41-253.43" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.45-253.47" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.49-253.51" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:253.20-253.21" + } + } + } + }, + "LUT6_2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.1-285.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O6": { + "direction": "output", + "bits": [ 2 ] + }, + "O5": { + "direction": "output", + "bits": [ 3 ] + }, + "I0": { + "direction": "input", + "bits": [ 4 ] + }, + "I1": { + "direction": "input", + "bits": [ 5 ] + }, + "I2": { + "direction": "input", + "bits": [ 6 ] + }, + "I3": { + "direction": "input", + "bits": [ 7 ] + }, + "I4": { + "direction": "input", + "bits": [ 8 ] + }, + "I5": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.43-271.45" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.47-271.49" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.51-271.53" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.55-271.57" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.59-271.61" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.63-271.65" + } + }, + "O5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.33-271.35" + } + }, + "O6": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:271.22-271.24" + } + } + } + }, + "MULT18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2462.1-2470.10" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2463.25-2463.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2464.25-2464.26" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2465.26-2465.27" + } + } + } + }, + "MULT18X18S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2474.1-2490.10" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "C": { + "direction": "input", + "bits": [ 74 ] + }, + "CE": { + "direction": "input", + "bits": [ 75 ] + }, + "R": { + "direction": "input", + "bits": [ 76 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2475.25-2475.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2476.25-2476.26" + } + }, + "C": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2479.11-2479.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2480.11-2480.13" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2477.30-2477.31" + } + }, + "R": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2481.11-2481.12" + } + } + } + }, + "MULT18X18SIO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2494.1-2561.10" + }, + "parameter_default_values": { + "AREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "PREG": "00000000000000000000000000000001" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "CLK": { + "direction": "input", + "bits": [ 74 ] + }, + "CEA": { + "direction": "input", + "bits": [ 75 ] + }, + "CEB": { + "direction": "input", + "bits": [ 76 ] + }, + "CEP": { + "direction": "input", + "bits": [ 77 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 78 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 79 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 80 ] + }, + "BCIN": { + "direction": "input", + "signed": 1, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2495.25-2495.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2496.25-2496.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2506.25-2506.29" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2507.26-2507.31" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2500.11-2500.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2501.11-2501.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2502.11-2502.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2499.11-2499.14" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2497.26-2497.27" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2503.11-2503.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2504.11-2504.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2505.11-2505.15" + } + } + } + }, + "MULT_AND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.1-464.10" + }, + "ports": { + "LO": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.35-462.37" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.39-462.41" + } + }, + "LO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:462.25-462.27" + } + } + } + }, + "MUXCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.1-326.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "DI": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.30-324.32" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.34-324.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.21-324.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:324.38-324.39" + } + } + } + }, + "MUXF5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.1-330.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.30-328.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.34-328.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.21-328.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:328.38-328.39" + } + } + } + }, + "MUXF6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.1-334.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.30-332.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.34-332.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.21-332.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:332.38-332.39" + } + } + } + }, + "MUXF7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.1-345.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.30-337.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.34-337.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.21-337.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:337.38-337.39" + } + } + } + }, + "MUXF8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.1-356.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.30-348.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.34-348.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.21-348.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:348.38-348.39" + } + } + } + }, + "MUXF9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.1-360.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.30-358.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.34-358.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.21-358.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:358.38-358.39" + } + } + } + }, + "OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:55.1-66.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IOSTANDARD": "default", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:58.11-58.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:57.12-57.13" + } + } + } + }, + "OBUFT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:87.1-101.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "DRIVE": "00000000000000000000000000001100", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "T": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:90.11-90.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:89.12-89.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:91.11-91.12" + } + } + } + }, + "OR2L": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1005.1-1013.10" + }, + "parameter_default_values": { + "IS_SRI_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "SRI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1007.9-1007.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1006.10-1006.11" + } + }, + "SRI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_SRI_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1009.9-1009.12" + } + } + } + }, + "ORCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.1-460.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.30-458.32" + } + }, + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.34-458.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:458.21-458.22" + } + } + } + }, + "RAM128X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1695.1-1754.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13 ] + }, + "DPRA": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1702.16-1702.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1697.16-1697.17" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1696.16-1696.19" + } + }, + "DPRA": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1703.16-1703.20" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1696.21-1696.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1700.16-1700.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1701.16-1701.18" + } + } + } + }, + "RAM128X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1127.1-1143.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.9-1129.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.13-1129.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.17-1129.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.21-1129.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.25-1129.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.29-1129.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1129.33-1129.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1130.9-1130.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1128.10-1128.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1133.9-1133.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1134.9-1134.11" + } + } + } + }, + "RAM128X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1145.1-1161.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.9-1147.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.13-1147.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.17-1147.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.21-1147.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.25-1147.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.29-1147.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1147.33-1147.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1148.9-1148.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1146.10-1146.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1151.9-1151.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1152.9-1152.11" + } + } + } + }, + "RAM16X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1440.1-1459.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.10-1447.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.14-1447.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.18-1447.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1447.22-1447.24" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1442.10-1442.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1441.10-1441.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.10-1448.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.17-1448.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.24-1448.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1448.31-1448.36" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1441.15-1441.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1445.10-1445.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1446.10-1446.12" + } + } + } + }, + "RAM16X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1461.1-1480.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.10-1468.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.14-1468.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.18-1468.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1468.22-1468.24" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1463.10-1463.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1462.10-1462.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.10-1469.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.17-1469.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.24-1469.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1469.31-1469.36" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1462.15-1462.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1466.10-1466.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1467.10-1467.12" + } + } + } + }, + "RAM16X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1019.1-1035.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "WE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.9-1021.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.13-1021.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.17-1021.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1021.21-1021.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1022.9-1022.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1020.10-1020.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1025.9-1025.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1026.9-1026.11" + } + } + } + }, + "RAM16X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1037.1-1053.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "WE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.9-1039.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.13-1039.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.17-1039.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1039.21-1039.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1040.9-1040.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1038.10-1038.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1043.9-1043.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1044.9-1044.11" + } + } + } + }, + "RAM16X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1199.1-1222.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "D0": { + "direction": "input", + "bits": [ 8 ] + }, + "D1": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.9-1201.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.13-1201.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.17-1201.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1201.21-1201.23" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1202.9-1202.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1202.13-1202.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1200.10-1200.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1200.14-1200.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1205.9-1205.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1206.9-1206.11" + } + } + } + }, + "RAM16X4S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1274.1-1305.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "INIT_02": "0000000000000000", + "INIT_03": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "O2": { + "direction": "output", + "bits": [ 4 ] + }, + "O3": { + "direction": "output", + "bits": [ 5 ] + }, + "A0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "A2": { + "direction": "input", + "bits": [ 8 ] + }, + "A3": { + "direction": "input", + "bits": [ 9 ] + }, + "D0": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "D2": { + "direction": "input", + "bits": [ 12 ] + }, + "D3": { + "direction": "input", + "bits": [ 13 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 14 ] + }, + "WE": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.9-1276.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.13-1276.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.17-1276.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1276.21-1276.23" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.9-1277.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.13-1277.15" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.17-1277.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1277.21-1277.23" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.10-1275.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.14-1275.16" + } + }, + "O2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.18-1275.20" + } + }, + "O3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1275.22-1275.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1280.9-1280.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1281.9-1281.11" + } + } + } + }, + "RAM16X8S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1340.1-1387.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "INIT_02": "0000000000000000", + "INIT_03": "0000000000000000", + "INIT_04": "0000000000000000", + "INIT_05": "0000000000000000", + "INIT_06": "0000000000000000", + "INIT_07": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A0": { + "direction": "input", + "bits": [ 10 ] + }, + "A1": { + "direction": "input", + "bits": [ 11 ] + }, + "A2": { + "direction": "input", + "bits": [ 12 ] + }, + "A3": { + "direction": "input", + "bits": [ 13 ] + }, + "D": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 22 ] + }, + "WE": { + "direction": "input", + "bits": [ 23 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.9-1342.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.13-1342.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.17-1342.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1342.21-1342.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1343.15-1343.16" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1341.16-1341.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1346.9-1346.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1347.9-1347.11" + } + } + } + }, + "RAM256X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1756.1-1772.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DPRA": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1763.16-1763.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1758.16-1758.17" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1757.10-1757.13" + } + }, + "DPRA": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1763.19-1763.23" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1757.15-1757.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1761.16-1761.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1762.16-1762.18" + } + } + } + }, + "RAM256X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1163.1-1178.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "D": { + "direction": "input", + "bits": [ 11 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WE": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1165.15-1165.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1166.9-1166.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1164.10-1164.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1169.9-1169.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1170.9-1170.11" + } + } + } + }, + "RAM32M": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1777.1-1879.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DOB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "DOC": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "DOD": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30, 31 ] + }, + "DIB": { + "direction": "input", + "bits": [ 32, 33 ] + }, + "DIC": { + "direction": "input", + "bits": [ 34, 35 ] + }, + "DID": { + "direction": "input", + "bits": [ 36, 37 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 38 ] + }, + "WE": { + "direction": "input", + "bits": [ 39 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.16-1782.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.23-1782.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1782.30-1782.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1783.16-1783.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30, 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1784.16-1784.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 32, 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1785.16-1785.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1786.16-1786.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1787.16-1787.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1778.16-1778.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1779.16-1779.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1780.16-1780.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1781.16-1781.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1790.16-1790.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1791.16-1791.18" + } + } + } + }, + "RAM32M16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1881.1-1948.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DOB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "DOC": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "DOD": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "DOE": { + "direction": "output", + "bits": [ 10, 11 ] + }, + "DOF": { + "direction": "output", + "bits": [ 12, 13 ] + }, + "DOG": { + "direction": "output", + "bits": [ 14, 15 ] + }, + "DOH": { + "direction": "output", + "bits": [ 16, 17 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 33, 34, 35, 36, 37 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 48, 49, 50, 51, 52 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59 ] + }, + "DIB": { + "direction": "input", + "bits": [ 60, 61 ] + }, + "DIC": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DID": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "DIE": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIF": { + "direction": "input", + "bits": [ 68, 69 ] + }, + "DIG": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "DIH": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "WE": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1890.15-1890.20" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1891.15-1891.20" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1892.15-1892.20" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1893.15-1893.20" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1894.15-1894.20" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1895.15-1895.20" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1896.15-1896.20" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1897.15-1897.20" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1898.15-1898.18" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 60, 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1899.15-1899.18" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1900.15-1900.18" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1901.15-1901.18" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1902.15-1902.18" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1903.15-1903.18" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1904.15-1904.18" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1905.15-1905.18" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1882.16-1882.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1883.16-1883.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1884.16-1884.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1885.16-1885.19" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1886.16-1886.19" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 12, 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1887.16-1887.19" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1888.16-1888.19" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1889.16-1889.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1908.9-1908.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1909.9-1909.11" + } + } + } + }, + "RAM32X16DR8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2106.1-2152.10" + }, + "parameter_default_values": { + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "DOE": { + "direction": "output", + "bits": [ 6 ] + }, + "DOF": { + "direction": "output", + "bits": [ 7 ] + }, + "DOG": { + "direction": "output", + "bits": [ 8 ] + }, + "DOH": { + "direction": "output", + "bits": [ 9, 10 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 17, 18, 19, 20, 21, 22 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 41, 42, 43, 44, 45, 46 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 47, 48, 49, 50, 51, 52 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59 ] + }, + "DIB": { + "direction": "input", + "bits": [ 60, 61 ] + }, + "DIC": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DID": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "DIE": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIF": { + "direction": "input", + "bits": [ 68, 69 ] + }, + "DIG": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "DIH": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "WE": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.16-2115.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.23-2115.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.30-2115.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.37-2115.42" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.44-2115.49" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.51-2115.56" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2115.58-2115.63" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2116.16-2116.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2117.16-2117.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 60, 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2118.16-2118.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2119.16-2119.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2120.16-2120.19" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2121.16-2121.19" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2122.16-2122.19" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2123.16-2123.19" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2124.16-2124.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2107.16-2107.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2108.16-2108.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2109.16-2109.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2110.16-2110.19" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2111.16-2111.19" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2112.16-2112.19" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2113.16-2113.19" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 9, 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2114.16-2114.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2127.16-2127.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2128.16-2128.18" + } + } + } + }, + "RAM32X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1483.1-1535.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.10-1490.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.14-1490.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.18-1490.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.22-1490.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1490.26-1490.28" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1485.10-1485.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1484.10-1484.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.10-1491.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.17-1491.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.24-1491.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.31-1491.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1491.38-1491.43" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1484.15-1484.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1488.10-1488.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1489.10-1489.12" + } + } + } + }, + "RAM32X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1538.1-1585.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1545.10-1545.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1546.10-1546.12" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1547.10-1547.12" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1548.10-1548.12" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1549.10-1549.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1540.10-1540.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1539.10-1539.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.10-1550.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.17-1550.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.24-1550.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.31-1550.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1550.38-1550.43" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1539.15-1539.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1543.10-1543.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1544.10-1544.12" + } + } + } + }, + "RAM32X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1055.1-1071.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "WE": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.9-1057.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.13-1057.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.17-1057.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.21-1057.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1057.25-1057.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1058.9-1058.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1056.10-1056.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1061.9-1061.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1062.9-1062.11" + } + } + } + }, + "RAM32X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1073.1-1089.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "WE": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.9-1075.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.13-1075.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.17-1075.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.21-1075.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1075.25-1075.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1076.9-1076.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1074.10-1074.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1079.9-1079.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1080.9-1080.11" + } + } + } + }, + "RAM32X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1224.1-1247.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "A4": { + "direction": "input", + "bits": [ 8 ] + }, + "D0": { + "direction": "input", + "bits": [ 9 ] + }, + "D1": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.9-1226.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.13-1226.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.17-1226.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.21-1226.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1226.25-1226.27" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1227.9-1227.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1227.13-1227.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1225.10-1225.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1225.14-1225.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1230.9-1230.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1231.9-1231.11" + } + } + } + }, + "RAM32X4S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1307.1-1338.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "INIT_02": "00000000000000000000000000000000", + "INIT_03": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "O2": { + "direction": "output", + "bits": [ 4 ] + }, + "O3": { + "direction": "output", + "bits": [ 5 ] + }, + "A0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "A2": { + "direction": "input", + "bits": [ 8 ] + }, + "A3": { + "direction": "input", + "bits": [ 9 ] + }, + "A4": { + "direction": "input", + "bits": [ 10 ] + }, + "D0": { + "direction": "input", + "bits": [ 11 ] + }, + "D1": { + "direction": "input", + "bits": [ 12 ] + }, + "D2": { + "direction": "input", + "bits": [ 13 ] + }, + "D3": { + "direction": "input", + "bits": [ 14 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 15 ] + }, + "WE": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.9-1309.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.13-1309.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.17-1309.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.21-1309.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1309.25-1309.27" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.9-1310.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.13-1310.15" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.17-1310.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1310.21-1310.23" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.10-1308.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.14-1308.16" + } + }, + "O2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.18-1308.20" + } + }, + "O3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1308.22-1308.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1313.9-1313.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1314.9-1314.11" + } + } + } + }, + "RAM32X8S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1389.1-1436.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "INIT_02": "00000000000000000000000000000000", + "INIT_03": "00000000000000000000000000000000", + "INIT_04": "00000000000000000000000000000000", + "INIT_05": "00000000000000000000000000000000", + "INIT_06": "00000000000000000000000000000000", + "INIT_07": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A0": { + "direction": "input", + "bits": [ 10 ] + }, + "A1": { + "direction": "input", + "bits": [ 11 ] + }, + "A2": { + "direction": "input", + "bits": [ 12 ] + }, + "A3": { + "direction": "input", + "bits": [ 13 ] + }, + "A4": { + "direction": "input", + "bits": [ 14 ] + }, + "D": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 23 ] + }, + "WE": { + "direction": "input", + "bits": [ 24 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.9-1391.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.13-1391.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.17-1391.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.21-1391.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1391.25-1391.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1392.15-1392.16" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1390.16-1390.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1395.9-1395.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1396.9-1396.11" + } + } + } + }, + "RAM512X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1180.1-1195.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ] + }, + "D": { + "direction": "input", + "bits": [ 12 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 13 ] + }, + "WE": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1182.15-1182.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1183.9-1183.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1181.10-1181.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1186.9-1186.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1187.9-1187.11" + } + } + } + }, + "RAM64M": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1951.1-2035.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22, 23 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30 ] + }, + "DIB": { + "direction": "input", + "bits": [ 31 ] + }, + "DIC": { + "direction": "input", + "bits": [ 32 ] + }, + "DID": { + "direction": "input", + "bits": [ 33 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 34 ] + }, + "WE": { + "direction": "input", + "bits": [ 35 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.16-1956.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.23-1956.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1956.30-1956.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1957.16-1957.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1958.16-1958.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1959.16-1959.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1960.16-1960.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1961.16-1961.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1952.16-1952.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1953.16-1953.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1954.16-1954.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1955.16-1955.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1964.16-1964.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1965.16-1965.18" + } + } + } + }, + "RAM64M8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2037.1-2104.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "DOE": { + "direction": "output", + "bits": [ 6 ] + }, + "DOF": { + "direction": "output", + "bits": [ 7 ] + }, + "DOG": { + "direction": "output", + "bits": [ 8 ] + }, + "DOH": { + "direction": "output", + "bits": [ 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 16, 17, 18, 19, 20, 21 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58 ] + }, + "DIB": { + "direction": "input", + "bits": [ 59 ] + }, + "DIC": { + "direction": "input", + "bits": [ 60 ] + }, + "DID": { + "direction": "input", + "bits": [ 61 ] + }, + "DIE": { + "direction": "input", + "bits": [ 62 ] + }, + "DIF": { + "direction": "input", + "bits": [ 63 ] + }, + "DIG": { + "direction": "input", + "bits": [ 64 ] + }, + "DIH": { + "direction": "input", + "bits": [ 65 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 66 ] + }, + "WE": { + "direction": "input", + "bits": [ 67 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2046.15-2046.20" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2047.15-2047.20" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2048.15-2048.20" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2049.15-2049.20" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2050.15-2050.20" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2051.15-2051.20" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2052.15-2052.20" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2053.15-2053.20" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2054.9-2054.12" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2055.9-2055.12" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2056.9-2056.12" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2057.9-2057.12" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2058.9-2058.12" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2059.9-2059.12" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2060.9-2060.12" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2061.9-2061.12" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2038.10-2038.13" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2039.10-2039.13" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2040.10-2040.13" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2041.10-2041.13" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2042.10-2042.13" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2043.10-2043.13" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2044.10-2044.13" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2045.10-2045.13" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2064.9-2064.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2065.9-2065.11" + } + } + } + }, + "RAM64X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1588.1-1644.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "A5": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 17 ] + }, + "DPRA5": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.10-1595.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.14-1595.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.18-1595.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.22-1595.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.26-1595.28" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1595.30-1595.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1590.10-1590.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1589.10-1589.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.10-1596.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.17-1596.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.24-1596.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.31-1596.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.38-1596.43" + } + }, + "DPRA5": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1596.45-1596.50" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1589.15-1589.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1593.10-1593.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1594.10-1594.12" + } + } + } + }, + "RAM64X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1646.1-1692.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "A5": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 17 ] + }, + "DPRA5": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.10-1653.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.14-1653.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.18-1653.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.22-1653.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.26-1653.28" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1653.30-1653.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1648.10-1648.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1647.10-1647.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.10-1654.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.17-1654.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.24-1654.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.31-1654.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.38-1654.43" + } + }, + "DPRA5": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1654.45-1654.50" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1647.15-1647.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1651.10-1651.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1652.10-1652.12" + } + } + } + }, + "RAM64X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1091.1-1107.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.9-1093.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.13-1093.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.17-1093.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.21-1093.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.25-1093.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1093.29-1093.31" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1094.9-1094.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1092.10-1092.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1097.9-1097.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1098.9-1098.11" + } + } + } + }, + "RAM64X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1109.1-1125.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.9-1111.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.13-1111.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.17-1111.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.21-1111.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.25-1111.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1111.29-1111.31" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1112.9-1112.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1110.10-1110.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1115.9-1115.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1116.9-1116.11" + } + } + } + }, + "RAM64X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1249.1-1272.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "A4": { + "direction": "input", + "bits": [ 8 ] + }, + "A5": { + "direction": "input", + "bits": [ 9 ] + }, + "D0": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WE": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.9-1251.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.13-1251.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.17-1251.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.21-1251.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.25-1251.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1251.29-1251.31" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1252.9-1252.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1252.13-1252.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1250.10-1250.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1250.14-1250.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1255.9-1255.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:1256.9-1256.11" + } + } + } + }, + "RAM64X8SW": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2154.1-2203.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15 ] + }, + "D": { + "direction": "input", + "bits": [ 16 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 17 ] + }, + "WE": { + "direction": "input", + "bits": [ 18 ] + }, + "WSEL": { + "direction": "input", + "bits": [ 19, 20, 21 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2156.15-2156.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2157.9-2157.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2155.16-2155.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2160.9-2160.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2161.9-2161.11" + } + }, + "WSEL": { + "hide_name": 0, + "bits": [ 19, 20, 21 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2162.15-2162.19" + } + } + } + }, + "RAMB18E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3952.1-4128.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RAM_MODE": "TDP", + "RDADDR_COLLISION_HWCONFIG": "DELAYED_WRITE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "VIRTEX6", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CLKARDCLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 4 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 5 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 6 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 7 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 8 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 9 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 10 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 11 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 74, 75 ] + }, + "WEA": { + "direction": "input", + "bits": [ 76, 77 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 78, 79, 80, 81 ] + }, + "DOADO": { + "direction": "output", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 114, 115 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 116, 117 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3973.18-3973.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3974.18-3974.29" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3955.11-3955.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3958.11-3958.20" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3975.18-3975.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3976.18-3976.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3977.17-3977.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 74, 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3978.17-3978.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3981.19-3981.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3982.19-3982.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 114, 115 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3983.18-3983.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 116, 117 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3984.18-3984.25" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3960.11-3960.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3962.11-3962.18" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3963.11-3963.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3964.11-3964.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3966.11-3966.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3968.11-3968.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3970.11-3970.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3972.11-3972.18" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 76, 77 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3979.17-3979.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:3980.17-3980.22" + } + } + } + }, + "RAMB36E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4130.1-4392.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "EN_ECC_READ": "FALSE", + "EN_ECC_WRITE": "FALSE", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_40": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_41": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_42": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_43": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_44": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_45": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_46": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_47": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_48": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_49": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_50": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_51": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_52": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_53": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_54": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_55": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_56": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_57": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_58": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_59": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_60": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_61": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_62": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_63": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_64": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_65": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_66": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_67": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_68": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_69": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_70": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_71": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_72": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_73": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_74": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_75": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_76": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_77": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_78": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_79": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RAM_EXTENSION_A": "NONE", + "RAM_EXTENSION_B": "NONE", + "RAM_MODE": "TDP", + "RDADDR_COLLISION_HWCONFIG": "DELAYED_WRITE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "VIRTEX6", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CASCADEOUTA": { + "direction": "output", + "bits": [ 2 ] + }, + "CASCADEOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOADO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 68, 69, 70, 71 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 72, 73, 74, 75 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "RDADDRECC": { + "direction": "output", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 93 ] + }, + "DBITERR": { + "direction": "output", + "bits": [ 94 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 95 ] + }, + "CLKARDCLK": { + "direction": "input", + "bits": [ 96 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 97 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 98 ] + }, + "CASCADEINA": { + "direction": "input", + "bits": [ 99 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 100 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 101 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 102 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 103 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 104 ] + }, + "CASCADEINB": { + "direction": "input", + "bits": [ 105 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 106 ] + }, + "INJECTDBITERR": { + "direction": "input", + "bits": [ 107 ] + }, + "INJECTSBITERR": { + "direction": "input", + "bits": [ 108 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 205, 206, 207, 208 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 209, 210, 211, 212 ] + }, + "WEA": { + "direction": "input", + "bits": [ 213, 214, 215, 216 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4165.18-4165.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4166.18-4166.29" + } + }, + "CASCADEINA": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4150.11-4150.21" + } + }, + "CASCADEINB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4161.11-4161.21" + } + }, + "CASCADEOUTA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4131.12-4131.23" + } + }, + "CASCADEOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4132.12-4132.23" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4145.11-4145.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4156.11-4156.20" + } + }, + "DBITERR": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4140.12-4140.19" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4167.18-4167.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4168.18-4168.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 205, 206, 207, 208 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4169.17-4169.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 209, 210, 211, 212 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4170.17-4170.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4133.19-4133.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4134.19-4134.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4135.18-4135.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4136.18-4136.25" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4137.18-4137.27" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4142.11-4142.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4153.11-4153.18" + } + }, + "INJECTDBITERR": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4163.11-4163.24" + } + }, + "INJECTSBITERR": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4164.11-4164.24" + } + }, + "RDADDRECC": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4138.18-4138.27" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4151.11-4151.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4162.11-4162.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4147.11-4147.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4158.11-4158.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4149.11-4149.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4160.11-4160.18" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4139.12-4139.19" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 213, 214, 215, 216 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4171.17-4171.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:4172.17-4172.22" + } + } + } + }, + "ROM128X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2231.1-2237.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.9-2233.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.13-2233.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.17-2233.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.21-2233.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.25-2233.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.29-2233.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2233.33-2233.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2232.10-2232.11" + } + } + } + }, + "ROM16X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2207.1-2213.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.9-2209.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.13-2209.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.17-2209.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2209.21-2209.23" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2208.10-2208.11" + } + } + } + }, + "ROM256X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2239.1-2245.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "A7": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.9-2241.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.13-2241.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.17-2241.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.21-2241.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.25-2241.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.29-2241.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.33-2241.35" + } + }, + "A7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2241.37-2241.39" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2240.10-2240.11" + } + } + } + }, + "ROM32X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2215.1-2221.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.9-2217.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.13-2217.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.17-2217.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.21-2217.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2217.25-2217.27" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2216.10-2216.11" + } + } + } + }, + "ROM64X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2223.1-2229.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.9-2225.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.13-2225.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.17-2225.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.21-2225.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.25-2225.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2225.29-2225.31" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2224.10-2224.11" + } + } + } + }, + "SRL16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2250.1-2273.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "CLK": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.9-2252.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.13-2252.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.17-2252.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2252.21-2252.23" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2254.9-2254.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2255.9-2255.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2251.10-2251.11" + } + } + } + }, + "SRL16E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2276.1-2311.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "CE": { + "direction": "input", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.9-2278.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.13-2278.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.17-2278.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.21-2278.23" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2278.25-2278.27" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2281.9-2281.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2282.9-2282.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2277.10-2277.11" + } + } + } + }, + "SRLC16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2314.1-2341.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q15": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.9-2317.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.13-2317.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.17-2317.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2317.21-2317.23" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2319.9-2319.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2320.9-2320.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2315.10-2315.11" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2316.10-2316.13" + } + } + } + }, + "SRLC16E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2344.1-2384.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q15": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "CE": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.9-2347.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.13-2347.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.17-2347.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.21-2347.23" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2347.25-2347.27" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2350.9-2350.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2351.9-2351.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2345.10-2345.11" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2346.10-2346.13" + } + } + } + }, + "SRLC32E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2387.1-2429.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q31": { + "direction": "output", + "bits": [ 3 ] + }, + "A": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8 ] + }, + "CE": { + "direction": "input", + "bits": [ 9 ] + }, + "CLK": { + "direction": "input", + "bits": [ 10 ] + }, + "D": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2390.15-2390.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2391.9-2391.11" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2394.9-2394.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2395.9-2395.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2388.10-2388.11" + } + }, + "Q31": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:2389.10-2389.13" + } + } + } + }, + "VCC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:24.1-26.10" + }, + "ports": { + "P": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "P": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:24.19-24.20" + } + } + } + }, + "XORCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.1-364.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "LI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.30-362.32" + } + }, + "LI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.34-362.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/opt/yosyshq-yosys/bin/../share/yosys/xilinx/cells_sim.v:362.21-362.22" + } + } + } + }, + "gnl_2000_08_5_80_80": { + "attributes": { + "top": "00000000000000000000000000000001", + "STRUCTURAL_NETLIST": "yes", + "ECO_CHECKSUM": "a242e498", + "cells_not_processed": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:91.1-30866.10" + }, + "ports": { + "ip": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81 ] + }, + "op": { + "direction": "output", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161 ] + }, + "clk": { + "direction": "input", + "bits": [ 162 ] + } + }, + "cells": { + "FD_a": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2791.5-2796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 165 ], + "Q": [ 166 ], + "R": [ 167 ] + } + }, + "FD_aa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2801.5-2806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 168 ], + "Q": [ 169 ], + "R": [ 167 ] + } + }, + "FD_ab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2811.5-2816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 170 ], + "Q": [ 171 ], + "R": [ 167 ] + } + }, + "FD_ac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2821.5-2826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 172 ], + "Q": [ 173 ], + "R": [ 167 ] + } + }, + "FD_ad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2831.5-2836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 174 ], + "Q": [ 175 ], + "R": [ 167 ] + } + }, + "FD_ae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2841.5-2846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 176 ], + "Q": [ 177 ], + "R": [ 167 ] + } + }, + "FD_af": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2851.5-2856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 178 ], + "Q": [ 179 ], + "R": [ 167 ] + } + }, + "FD_ag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2861.5-2866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 180 ], + "Q": [ 181 ], + "R": [ 167 ] + } + }, + "FD_ah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2871.5-2876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 182 ], + "Q": [ 183 ], + "R": [ 167 ] + } + }, + "FD_ai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2881.5-2886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 184 ], + "Q": [ 185 ], + "R": [ 167 ] + } + }, + "FD_aj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2891.5-2896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 186 ], + "Q": [ 187 ], + "R": [ 167 ] + } + }, + "FD_ak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2901.5-2906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 188 ], + "Q": [ 189 ], + "R": [ 167 ] + } + }, + "FD_al": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2911.5-2916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 190 ], + "Q": [ 191 ], + "R": [ 167 ] + } + }, + "FD_am": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2921.5-2926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 192 ], + "Q": [ 193 ], + "R": [ 167 ] + } + }, + "FD_an": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2931.5-2936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 194 ], + "Q": [ 195 ], + "R": [ 167 ] + } + }, + "FD_ao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2941.5-2946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 196 ], + "Q": [ 197 ], + "R": [ 167 ] + } + }, + "FD_ap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2951.5-2956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 198 ], + "Q": [ 199 ], + "R": [ 167 ] + } + }, + "FD_b": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2961.5-2966.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 200 ], + "Q": [ 201 ], + "R": [ 167 ] + } + }, + "FD_ba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2971.5-2976.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 202 ], + "Q": [ 203 ], + "R": [ 167 ] + } + }, + "FD_bb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2981.5-2986.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 204 ], + "Q": [ 205 ], + "R": [ 167 ] + } + }, + "FD_bc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2991.5-2996.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 206 ], + "Q": [ 207 ], + "R": [ 167 ] + } + }, + "FD_bd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3001.5-3006.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 208 ], + "Q": [ 209 ], + "R": [ 167 ] + } + }, + "FD_be": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3011.5-3016.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 210 ], + "Q": [ 211 ], + "R": [ 167 ] + } + }, + "FD_bf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3021.5-3026.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 212 ], + "Q": [ 213 ], + "R": [ 167 ] + } + }, + "FD_bg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3031.5-3036.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 214 ], + "Q": [ 215 ], + "R": [ 167 ] + } + }, + "FD_bh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3041.5-3046.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 216 ], + "Q": [ 217 ], + "R": [ 167 ] + } + }, + "FD_bi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3051.5-3056.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 218 ], + "Q": [ 219 ], + "R": [ 167 ] + } + }, + "FD_bj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3061.5-3066.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 220 ], + "Q": [ 221 ], + "R": [ 167 ] + } + }, + "FD_bk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3071.5-3076.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 222 ], + "Q": [ 223 ], + "R": [ 167 ] + } + }, + "FD_bl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3081.5-3086.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 224 ], + "Q": [ 225 ], + "R": [ 167 ] + } + }, + "FD_bm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3091.5-3096.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 226 ], + "Q": [ 227 ], + "R": [ 167 ] + } + }, + "FD_bn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3101.5-3106.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 228 ], + "Q": [ 229 ], + "R": [ 167 ] + } + }, + "FD_bo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3111.5-3116.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 230 ], + "Q": [ 231 ], + "R": [ 167 ] + } + }, + "FD_bp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3121.5-3126.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 232 ], + "Q": [ 233 ], + "R": [ 167 ] + } + }, + "FD_c": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3131.5-3136.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 234 ], + "Q": [ 235 ], + "R": [ 167 ] + } + }, + "FD_ca": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3141.5-3146.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 236 ], + "Q": [ 237 ], + "R": [ 167 ] + } + }, + "FD_cb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3151.5-3156.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 238 ], + "Q": [ 239 ], + "R": [ 167 ] + } + }, + "FD_cc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3161.5-3166.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 240 ], + "Q": [ 241 ], + "R": [ 167 ] + } + }, + "FD_cd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3171.5-3176.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 242 ], + "Q": [ 243 ], + "R": [ 167 ] + } + }, + "FD_ce": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3181.5-3186.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 244 ], + "Q": [ 245 ], + "R": [ 167 ] + } + }, + "FD_cf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3191.5-3196.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 246 ], + "Q": [ 247 ], + "R": [ 167 ] + } + }, + "FD_cg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3201.5-3206.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 248 ], + "Q": [ 249 ], + "R": [ 167 ] + } + }, + "FD_ch": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3211.5-3216.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 250 ], + "Q": [ 251 ], + "R": [ 167 ] + } + }, + "FD_ci": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3221.5-3226.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 252 ], + "Q": [ 253 ], + "R": [ 167 ] + } + }, + "FD_cj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3231.5-3236.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 254 ], + "Q": [ 255 ], + "R": [ 167 ] + } + }, + "FD_ck": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3241.5-3246.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 256 ], + "Q": [ 257 ], + "R": [ 167 ] + } + }, + "FD_cl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3251.5-3256.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 258 ], + "Q": [ 259 ], + "R": [ 167 ] + } + }, + "FD_cm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3261.5-3266.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 260 ], + "Q": [ 261 ], + "R": [ 167 ] + } + }, + "FD_cn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3271.5-3276.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 262 ], + "Q": [ 263 ], + "R": [ 167 ] + } + }, + "FD_co": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3281.5-3286.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 264 ], + "Q": [ 265 ], + "R": [ 167 ] + } + }, + "FD_cp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3291.5-3296.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 266 ], + "Q": [ 267 ], + "R": [ 167 ] + } + }, + "FD_d": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3301.5-3306.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 268 ], + "Q": [ 269 ], + "R": [ 167 ] + } + }, + "FD_da": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3311.5-3316.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 270 ], + "Q": [ 271 ], + "R": [ 167 ] + } + }, + "FD_db": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3321.5-3326.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 272 ], + "Q": [ 273 ], + "R": [ 167 ] + } + }, + "FD_dc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3331.5-3336.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 274 ], + "Q": [ 275 ], + "R": [ 167 ] + } + }, + "FD_dd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3341.5-3346.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 276 ], + "Q": [ 277 ], + "R": [ 167 ] + } + }, + "FD_de": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3351.5-3356.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 278 ], + "Q": [ 279 ], + "R": [ 167 ] + } + }, + "FD_df": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3361.5-3366.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 280 ], + "Q": [ 281 ], + "R": [ 167 ] + } + }, + "FD_dg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3371.5-3376.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 282 ], + "Q": [ 283 ], + "R": [ 167 ] + } + }, + "FD_dh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3381.5-3386.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 284 ], + "Q": [ 285 ], + "R": [ 167 ] + } + }, + "FD_di": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3391.5-3396.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 286 ], + "Q": [ 287 ], + "R": [ 167 ] + } + }, + "FD_dj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3401.5-3406.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 288 ], + "Q": [ 289 ], + "R": [ 167 ] + } + }, + "FD_dk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3411.5-3416.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 290 ], + "Q": [ 291 ], + "R": [ 167 ] + } + }, + "FD_dl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3421.5-3426.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 292 ], + "Q": [ 293 ], + "R": [ 167 ] + } + }, + "FD_dm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3431.5-3436.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 294 ], + "Q": [ 295 ], + "R": [ 167 ] + } + }, + "FD_dn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3441.5-3446.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 296 ], + "Q": [ 297 ], + "R": [ 167 ] + } + }, + "FD_do": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3451.5-3456.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 298 ], + "Q": [ 299 ], + "R": [ 167 ] + } + }, + "FD_dp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3461.5-3466.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 300 ], + "Q": [ 301 ], + "R": [ 167 ] + } + }, + "FD_e": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3471.5-3476.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 302 ], + "Q": [ 303 ], + "R": [ 167 ] + } + }, + "FD_ea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3481.5-3486.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 304 ], + "Q": [ 305 ], + "R": [ 167 ] + } + }, + "FD_eb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3491.5-3496.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 306 ], + "Q": [ 307 ], + "R": [ 167 ] + } + }, + "FD_ec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3501.5-3506.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 308 ], + "Q": [ 309 ], + "R": [ 167 ] + } + }, + "FD_ed": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3511.5-3516.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 310 ], + "Q": [ 311 ], + "R": [ 167 ] + } + }, + "FD_ee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3521.5-3526.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 312 ], + "Q": [ 313 ], + "R": [ 167 ] + } + }, + "FD_ef": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3531.5-3536.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 314 ], + "Q": [ 315 ], + "R": [ 167 ] + } + }, + "FD_eg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3541.5-3546.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 316 ], + "Q": [ 317 ], + "R": [ 167 ] + } + }, + "FD_eh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3551.5-3556.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 318 ], + "Q": [ 319 ], + "R": [ 167 ] + } + }, + "FD_ei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3561.5-3566.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 320 ], + "Q": [ 321 ], + "R": [ 167 ] + } + }, + "FD_ej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3571.5-3576.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 322 ], + "Q": [ 323 ], + "R": [ 167 ] + } + }, + "FD_ek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3581.5-3586.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 324 ], + "Q": [ 325 ], + "R": [ 167 ] + } + }, + "FD_el": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3591.5-3596.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 326 ], + "Q": [ 327 ], + "R": [ 167 ] + } + }, + "FD_em": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3601.5-3606.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 328 ], + "Q": [ 329 ], + "R": [ 167 ] + } + }, + "FD_en": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3611.5-3616.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 330 ], + "Q": [ 331 ], + "R": [ 167 ] + } + }, + "FD_eo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3621.5-3626.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 332 ], + "Q": [ 333 ], + "R": [ 167 ] + } + }, + "FD_ep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3631.5-3636.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 334 ], + "Q": [ 335 ], + "R": [ 167 ] + } + }, + "FD_f": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3641.5-3646.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 336 ], + "Q": [ 337 ], + "R": [ 167 ] + } + }, + "FD_fa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3651.5-3656.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 338 ], + "Q": [ 339 ], + "R": [ 167 ] + } + }, + "FD_fb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3661.5-3666.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 340 ], + "Q": [ 341 ], + "R": [ 167 ] + } + }, + "FD_fc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3671.5-3676.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 342 ], + "Q": [ 343 ], + "R": [ 167 ] + } + }, + "FD_fd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3681.5-3686.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 344 ], + "Q": [ 345 ], + "R": [ 167 ] + } + }, + "FD_fe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3691.5-3696.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 346 ], + "Q": [ 347 ], + "R": [ 167 ] + } + }, + "FD_ff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3701.5-3706.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 348 ], + "Q": [ 349 ], + "R": [ 167 ] + } + }, + "FD_fg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3711.5-3716.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 350 ], + "Q": [ 351 ], + "R": [ 167 ] + } + }, + "FD_fh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3721.5-3726.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 352 ], + "Q": [ 353 ], + "R": [ 167 ] + } + }, + "FD_fi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3731.5-3736.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 354 ], + "Q": [ 355 ], + "R": [ 167 ] + } + }, + "FD_fj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3741.5-3746.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 356 ], + "Q": [ 357 ], + "R": [ 167 ] + } + }, + "FD_fk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3751.5-3756.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 358 ], + "Q": [ 359 ], + "R": [ 167 ] + } + }, + "FD_fl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3761.5-3766.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 360 ], + "Q": [ 361 ], + "R": [ 167 ] + } + }, + "FD_fm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3771.5-3776.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 362 ], + "Q": [ 363 ], + "R": [ 167 ] + } + }, + "FD_fn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3781.5-3786.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 364 ], + "Q": [ 365 ], + "R": [ 167 ] + } + }, + "FD_fo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3791.5-3796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 366 ], + "Q": [ 367 ], + "R": [ 167 ] + } + }, + "FD_fp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3801.5-3806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 368 ], + "Q": [ 369 ], + "R": [ 167 ] + } + }, + "FD_g": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3811.5-3816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 370 ], + "Q": [ 371 ], + "R": [ 167 ] + } + }, + "FD_h": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3821.5-3826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 372 ], + "Q": [ 373 ], + "R": [ 167 ] + } + }, + "FD_ha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3831.5-3836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 374 ], + "Q": [ 375 ], + "R": [ 167 ] + } + }, + "FD_haa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3841.5-3846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 376 ], + "Q": [ 377 ], + "R": [ 167 ] + } + }, + "FD_hab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3851.5-3856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 378 ], + "Q": [ 379 ], + "R": [ 167 ] + } + }, + "FD_hac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3861.5-3866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 380 ], + "Q": [ 381 ], + "R": [ 167 ] + } + }, + "FD_had": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3871.5-3876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 382 ], + "Q": [ 383 ], + "R": [ 167 ] + } + }, + "FD_hae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3881.5-3886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 384 ], + "Q": [ 385 ], + "R": [ 167 ] + } + }, + "FD_haf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3891.5-3896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 386 ], + "Q": [ 387 ], + "R": [ 167 ] + } + }, + "FD_hag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3901.5-3906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 388 ], + "Q": [ 389 ], + "R": [ 167 ] + } + }, + "FD_hah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3911.5-3916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 390 ], + "Q": [ 391 ], + "R": [ 167 ] + } + }, + "FD_hai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3921.5-3926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 392 ], + "Q": [ 393 ], + "R": [ 167 ] + } + }, + "FD_haj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3931.5-3936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 394 ], + "Q": [ 395 ], + "R": [ 167 ] + } + }, + "FD_hak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3941.5-3946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 396 ], + "Q": [ 397 ], + "R": [ 167 ] + } + }, + "FD_hal": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3951.5-3956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 398 ], + "Q": [ 399 ], + "R": [ 167 ] + } + }, + "FD_ham": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3961.5-3966.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 400 ], + "Q": [ 401 ], + "R": [ 167 ] + } + }, + "FD_han": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3971.5-3976.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 402 ], + "Q": [ 403 ], + "R": [ 167 ] + } + }, + "FD_hao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3981.5-3986.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 404 ], + "Q": [ 405 ], + "R": [ 167 ] + } + }, + "FD_hap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:3991.5-3996.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 406 ], + "Q": [ 407 ], + "R": [ 167 ] + } + }, + "FD_hb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4001.5-4006.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 408 ], + "Q": [ 409 ], + "R": [ 167 ] + } + }, + "FD_hba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4011.5-4016.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 410 ], + "Q": [ 411 ], + "R": [ 167 ] + } + }, + "FD_hbb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4021.5-4026.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 412 ], + "Q": [ 413 ], + "R": [ 167 ] + } + }, + "FD_hbc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4031.5-4036.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 414 ], + "Q": [ 415 ], + "R": [ 167 ] + } + }, + "FD_hbd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4041.5-4046.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 416 ], + "Q": [ 417 ], + "R": [ 167 ] + } + }, + "FD_hbe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4051.5-4056.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 418 ], + "Q": [ 419 ], + "R": [ 167 ] + } + }, + "FD_hbf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4061.5-4066.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 420 ], + "Q": [ 421 ], + "R": [ 167 ] + } + }, + "FD_hbg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4071.5-4076.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 422 ], + "Q": [ 423 ], + "R": [ 167 ] + } + }, + "FD_hbh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4081.5-4086.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 424 ], + "Q": [ 425 ], + "R": [ 167 ] + } + }, + "FD_hbi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4091.5-4096.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 426 ], + "Q": [ 427 ], + "R": [ 167 ] + } + }, + "FD_hbj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4101.5-4106.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 428 ], + "Q": [ 429 ], + "R": [ 167 ] + } + }, + "FD_hbk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4111.5-4116.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 430 ], + "Q": [ 431 ], + "R": [ 167 ] + } + }, + "FD_hbl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4121.5-4126.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 432 ], + "Q": [ 433 ], + "R": [ 167 ] + } + }, + "FD_hbm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4131.5-4136.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 434 ], + "Q": [ 435 ], + "R": [ 167 ] + } + }, + "FD_hbn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4141.5-4146.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 436 ], + "Q": [ 437 ], + "R": [ 167 ] + } + }, + "FD_hbo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4151.5-4156.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 438 ], + "Q": [ 439 ], + "R": [ 167 ] + } + }, + "FD_hbp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4161.5-4166.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 440 ], + "Q": [ 441 ], + "R": [ 167 ] + } + }, + "FD_hc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4171.5-4176.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 442 ], + "Q": [ 443 ], + "R": [ 167 ] + } + }, + "FD_hca": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4181.5-4186.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 444 ], + "Q": [ 445 ], + "R": [ 167 ] + } + }, + "FD_hcb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4191.5-4196.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 446 ], + "Q": [ 447 ], + "R": [ 167 ] + } + }, + "FD_hcc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4201.5-4206.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 448 ], + "Q": [ 449 ], + "R": [ 167 ] + } + }, + "FD_hcd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4211.5-4216.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 450 ], + "Q": [ 451 ], + "R": [ 167 ] + } + }, + "FD_hce": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4221.5-4226.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 452 ], + "Q": [ 453 ], + "R": [ 167 ] + } + }, + "FD_hcf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4231.5-4236.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 454 ], + "Q": [ 455 ], + "R": [ 167 ] + } + }, + "FD_hcg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4241.5-4246.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 456 ], + "Q": [ 457 ], + "R": [ 167 ] + } + }, + "FD_hch": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4251.5-4256.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 458 ], + "Q": [ 459 ], + "R": [ 167 ] + } + }, + "FD_hci": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4261.5-4266.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 460 ], + "Q": [ 461 ], + "R": [ 167 ] + } + }, + "FD_hcj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4271.5-4276.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 462 ], + "Q": [ 463 ], + "R": [ 167 ] + } + }, + "FD_hck": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4281.5-4286.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 464 ], + "Q": [ 465 ], + "R": [ 167 ] + } + }, + "FD_hcl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4291.5-4296.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 466 ], + "Q": [ 467 ], + "R": [ 167 ] + } + }, + "FD_hcm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4301.5-4306.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 468 ], + "Q": [ 469 ], + "R": [ 167 ] + } + }, + "FD_hcn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4311.5-4316.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 470 ], + "Q": [ 471 ], + "R": [ 167 ] + } + }, + "FD_hco": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4321.5-4326.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 472 ], + "Q": [ 473 ], + "R": [ 167 ] + } + }, + "FD_hcp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4331.5-4336.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 474 ], + "Q": [ 475 ], + "R": [ 167 ] + } + }, + "FD_hd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4341.5-4346.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 476 ], + "Q": [ 477 ], + "R": [ 167 ] + } + }, + "FD_hda": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4351.5-4356.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 478 ], + "Q": [ 479 ], + "R": [ 167 ] + } + }, + "FD_hdb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4361.5-4366.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 480 ], + "Q": [ 481 ], + "R": [ 167 ] + } + }, + "FD_hdc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4371.5-4376.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 482 ], + "Q": [ 483 ], + "R": [ 167 ] + } + }, + "FD_hdd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4381.5-4386.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 484 ], + "Q": [ 485 ], + "R": [ 167 ] + } + }, + "FD_hde": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4391.5-4396.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 486 ], + "Q": [ 487 ], + "R": [ 167 ] + } + }, + "FD_hdf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4401.5-4406.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 488 ], + "Q": [ 489 ], + "R": [ 167 ] + } + }, + "FD_hdg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4411.5-4416.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 490 ], + "Q": [ 491 ], + "R": [ 167 ] + } + }, + "FD_hdh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4421.5-4426.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 492 ], + "Q": [ 493 ], + "R": [ 167 ] + } + }, + "FD_hdi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4431.5-4436.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 494 ], + "Q": [ 495 ], + "R": [ 167 ] + } + }, + "FD_hdj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4441.5-4446.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 496 ], + "Q": [ 497 ], + "R": [ 167 ] + } + }, + "FD_hdk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4451.5-4456.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 498 ], + "Q": [ 499 ], + "R": [ 167 ] + } + }, + "FD_hdl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4461.5-4466.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 500 ], + "Q": [ 501 ], + "R": [ 167 ] + } + }, + "FD_hdm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4471.5-4476.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 502 ], + "Q": [ 503 ], + "R": [ 167 ] + } + }, + "FD_hdn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4481.5-4486.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 504 ], + "Q": [ 505 ], + "R": [ 167 ] + } + }, + "FD_hdo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4491.5-4496.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 506 ], + "Q": [ 507 ], + "R": [ 167 ] + } + }, + "FD_hdp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4501.5-4506.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 508 ], + "Q": [ 509 ], + "R": [ 167 ] + } + }, + "FD_he": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4511.5-4516.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 510 ], + "Q": [ 511 ], + "R": [ 167 ] + } + }, + "FD_hea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4521.5-4526.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 512 ], + "Q": [ 513 ], + "R": [ 167 ] + } + }, + "FD_heb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4531.5-4536.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 514 ], + "Q": [ 515 ], + "R": [ 167 ] + } + }, + "FD_hec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4541.5-4546.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 516 ], + "Q": [ 517 ], + "R": [ 167 ] + } + }, + "FD_hed": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4551.5-4556.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 518 ], + "Q": [ 519 ], + "R": [ 167 ] + } + }, + "FD_hee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4561.5-4566.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 520 ], + "Q": [ 521 ], + "R": [ 167 ] + } + }, + "FD_hef": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4571.5-4576.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 522 ], + "Q": [ 523 ], + "R": [ 167 ] + } + }, + "FD_heg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4581.5-4586.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 524 ], + "Q": [ 525 ], + "R": [ 167 ] + } + }, + "FD_heh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4591.5-4596.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 526 ], + "Q": [ 527 ], + "R": [ 167 ] + } + }, + "FD_hei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4601.5-4606.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 528 ], + "Q": [ 529 ], + "R": [ 167 ] + } + }, + "FD_hej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4611.5-4616.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 530 ], + "Q": [ 531 ], + "R": [ 167 ] + } + }, + "FD_hek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4621.5-4626.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 532 ], + "Q": [ 533 ], + "R": [ 167 ] + } + }, + "FD_hel": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4631.5-4636.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 534 ], + "Q": [ 535 ], + "R": [ 167 ] + } + }, + "FD_hem": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4641.5-4646.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 536 ], + "Q": [ 537 ], + "R": [ 167 ] + } + }, + "FD_hen": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4651.5-4656.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 538 ], + "Q": [ 539 ], + "R": [ 167 ] + } + }, + "FD_heo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4661.5-4666.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 540 ], + "Q": [ 541 ], + "R": [ 167 ] + } + }, + "FD_hep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4671.5-4676.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 542 ], + "Q": [ 543 ], + "R": [ 167 ] + } + }, + "FD_hf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4681.5-4686.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 544 ], + "Q": [ 545 ], + "R": [ 167 ] + } + }, + "FD_hfa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4691.5-4696.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 546 ], + "Q": [ 547 ], + "R": [ 167 ] + } + }, + "FD_hfb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4701.5-4706.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 548 ], + "Q": [ 549 ], + "R": [ 167 ] + } + }, + "FD_hfc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4711.5-4716.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 550 ], + "Q": [ 551 ], + "R": [ 167 ] + } + }, + "FD_hfd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4721.5-4726.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 552 ], + "Q": [ 553 ], + "R": [ 167 ] + } + }, + "FD_hfe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4731.5-4736.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 554 ], + "Q": [ 555 ], + "R": [ 167 ] + } + }, + "FD_hff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4741.5-4746.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 556 ], + "Q": [ 557 ], + "R": [ 167 ] + } + }, + "FD_hfg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4751.5-4756.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 558 ], + "Q": [ 559 ], + "R": [ 167 ] + } + }, + "FD_hfh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4761.5-4766.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 560 ], + "Q": [ 561 ], + "R": [ 167 ] + } + }, + "FD_hfi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4771.5-4776.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 562 ], + "Q": [ 563 ], + "R": [ 167 ] + } + }, + "FD_hfj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4781.5-4786.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 564 ], + "Q": [ 565 ], + "R": [ 167 ] + } + }, + "FD_hfk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4791.5-4796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 566 ], + "Q": [ 567 ], + "R": [ 167 ] + } + }, + "FD_hfl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4801.5-4806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 568 ], + "Q": [ 569 ], + "R": [ 167 ] + } + }, + "FD_hfm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4811.5-4816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 570 ], + "Q": [ 571 ], + "R": [ 167 ] + } + }, + "FD_hfn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4821.5-4826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 572 ], + "Q": [ 573 ], + "R": [ 167 ] + } + }, + "FD_hfo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4831.5-4836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 574 ], + "Q": [ 575 ], + "R": [ 167 ] + } + }, + "FD_hfp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4841.5-4846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 576 ], + "Q": [ 577 ], + "R": [ 167 ] + } + }, + "FD_hg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4851.5-4856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 578 ], + "Q": [ 579 ], + "R": [ 167 ] + } + }, + "FD_hga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4861.5-4866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 580 ], + "Q": [ 581 ], + "R": [ 167 ] + } + }, + "FD_hgb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4871.5-4876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 582 ], + "Q": [ 583 ], + "R": [ 167 ] + } + }, + "FD_hgc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4881.5-4886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 584 ], + "Q": [ 585 ], + "R": [ 167 ] + } + }, + "FD_hgd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4891.5-4896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 586 ], + "Q": [ 587 ], + "R": [ 167 ] + } + }, + "FD_hge": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4901.5-4906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 588 ], + "Q": [ 589 ], + "R": [ 167 ] + } + }, + "FD_hgf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4911.5-4916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 590 ], + "Q": [ 591 ], + "R": [ 167 ] + } + }, + "FD_hgg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4921.5-4926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 592 ], + "Q": [ 593 ], + "R": [ 167 ] + } + }, + "FD_hgh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4931.5-4936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 594 ], + "Q": [ 595 ], + "R": [ 167 ] + } + }, + "FD_hgi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4941.5-4946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 596 ], + "Q": [ 597 ], + "R": [ 167 ] + } + }, + "FD_hgj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4951.5-4956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 598 ], + "Q": [ 599 ], + "R": [ 167 ] + } + }, + "FD_hgk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4961.5-4966.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 600 ], + "Q": [ 601 ], + "R": [ 167 ] + } + }, + "FD_hgl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4971.5-4976.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 602 ], + "Q": [ 603 ], + "R": [ 167 ] + } + }, + "FD_hgm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4981.5-4986.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 604 ], + "Q": [ 605 ], + "R": [ 167 ] + } + }, + "FD_hgn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:4991.5-4996.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 606 ], + "Q": [ 607 ], + "R": [ 167 ] + } + }, + "FD_hgo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5001.5-5006.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 608 ], + "Q": [ 609 ], + "R": [ 167 ] + } + }, + "FD_hgp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5011.5-5016.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 610 ], + "Q": [ 611 ], + "R": [ 167 ] + } + }, + "FD_hh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5021.5-5026.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 612 ], + "Q": [ 613 ], + "R": [ 167 ] + } + }, + "FD_hha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5031.5-5036.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 614 ], + "Q": [ 615 ], + "R": [ 167 ] + } + }, + "FD_hhb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5041.5-5046.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 616 ], + "Q": [ 617 ], + "R": [ 167 ] + } + }, + "FD_hhc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5051.5-5056.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 618 ], + "Q": [ 619 ], + "R": [ 167 ] + } + }, + "FD_hhd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5061.5-5066.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 620 ], + "Q": [ 621 ], + "R": [ 167 ] + } + }, + "FD_hhe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5071.5-5076.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 622 ], + "Q": [ 623 ], + "R": [ 167 ] + } + }, + "FD_hhf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5081.5-5086.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 624 ], + "Q": [ 625 ], + "R": [ 167 ] + } + }, + "FD_hhg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5091.5-5096.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 626 ], + "Q": [ 627 ], + "R": [ 167 ] + } + }, + "FD_hhh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5101.5-5106.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 628 ], + "Q": [ 629 ], + "R": [ 167 ] + } + }, + "FD_hhi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5111.5-5116.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 630 ], + "Q": [ 631 ], + "R": [ 167 ] + } + }, + "FD_hhj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5121.5-5126.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 632 ], + "Q": [ 633 ], + "R": [ 167 ] + } + }, + "FD_hhk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5131.5-5136.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 634 ], + "Q": [ 635 ], + "R": [ 167 ] + } + }, + "FD_hhl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5141.5-5146.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 636 ], + "Q": [ 637 ], + "R": [ 167 ] + } + }, + "FD_hhm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5151.5-5156.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 638 ], + "Q": [ 639 ], + "R": [ 167 ] + } + }, + "FD_hhn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5161.5-5166.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 640 ], + "Q": [ 641 ], + "R": [ 167 ] + } + }, + "FD_hho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5171.5-5176.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 642 ], + "Q": [ 643 ], + "R": [ 167 ] + } + }, + "FD_hhp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5181.5-5186.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 644 ], + "Q": [ 645 ], + "R": [ 167 ] + } + }, + "FD_hi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5191.5-5196.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 646 ], + "Q": [ 647 ], + "R": [ 167 ] + } + }, + "FD_hia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5201.5-5206.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 648 ], + "Q": [ 649 ], + "R": [ 167 ] + } + }, + "FD_hib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5211.5-5216.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 650 ], + "Q": [ 651 ], + "R": [ 167 ] + } + }, + "FD_hic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5221.5-5226.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 652 ], + "Q": [ 653 ], + "R": [ 167 ] + } + }, + "FD_hid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5231.5-5236.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 654 ], + "Q": [ 655 ], + "R": [ 167 ] + } + }, + "FD_hie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5241.5-5246.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 656 ], + "Q": [ 657 ], + "R": [ 167 ] + } + }, + "FD_hif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5251.5-5256.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 658 ], + "Q": [ 659 ], + "R": [ 167 ] + } + }, + "FD_hig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5261.5-5266.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 660 ], + "Q": [ 661 ], + "R": [ 167 ] + } + }, + "FD_hih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5271.5-5276.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 662 ], + "Q": [ 663 ], + "R": [ 167 ] + } + }, + "FD_hii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5281.5-5286.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 664 ], + "Q": [ 665 ], + "R": [ 167 ] + } + }, + "FD_hij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5291.5-5296.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 666 ], + "Q": [ 667 ], + "R": [ 167 ] + } + }, + "FD_hik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5301.5-5306.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 668 ], + "Q": [ 669 ], + "R": [ 167 ] + } + }, + "FD_hil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5311.5-5316.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 670 ], + "Q": [ 671 ], + "R": [ 167 ] + } + }, + "FD_him": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5321.5-5326.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 672 ], + "Q": [ 673 ], + "R": [ 167 ] + } + }, + "FD_hin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5331.5-5336.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 674 ], + "Q": [ 675 ], + "R": [ 167 ] + } + }, + "FD_hio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5341.5-5346.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 676 ], + "Q": [ 677 ], + "R": [ 167 ] + } + }, + "FD_hip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5351.5-5356.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 678 ], + "Q": [ 679 ], + "R": [ 167 ] + } + }, + "FD_hj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5361.5-5366.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 680 ], + "Q": [ 681 ], + "R": [ 167 ] + } + }, + "FD_hja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5371.5-5376.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 682 ], + "Q": [ 683 ], + "R": [ 167 ] + } + }, + "FD_hjb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5381.5-5386.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 684 ], + "Q": [ 685 ], + "R": [ 167 ] + } + }, + "FD_hjc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5391.5-5396.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 686 ], + "Q": [ 687 ], + "R": [ 167 ] + } + }, + "FD_hjd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5401.5-5406.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 688 ], + "Q": [ 689 ], + "R": [ 167 ] + } + }, + "FD_hje": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5411.5-5416.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 690 ], + "Q": [ 691 ], + "R": [ 167 ] + } + }, + "FD_hjf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5421.5-5426.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 692 ], + "Q": [ 693 ], + "R": [ 167 ] + } + }, + "FD_hjg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5431.5-5436.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 694 ], + "Q": [ 695 ], + "R": [ 167 ] + } + }, + "FD_hjh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5441.5-5446.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 696 ], + "Q": [ 697 ], + "R": [ 167 ] + } + }, + "FD_hji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5451.5-5456.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 698 ], + "Q": [ 699 ], + "R": [ 167 ] + } + }, + "FD_hjj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5461.5-5466.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 700 ], + "Q": [ 701 ], + "R": [ 167 ] + } + }, + "FD_hjk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5471.5-5476.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 702 ], + "Q": [ 703 ], + "R": [ 167 ] + } + }, + "FD_hjl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5481.5-5486.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 704 ], + "Q": [ 705 ], + "R": [ 167 ] + } + }, + "FD_hjm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5491.5-5496.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 706 ], + "Q": [ 707 ], + "R": [ 167 ] + } + }, + "FD_hjn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5501.5-5506.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 708 ], + "Q": [ 709 ], + "R": [ 167 ] + } + }, + "FD_hjo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5511.5-5516.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 710 ], + "Q": [ 711 ], + "R": [ 167 ] + } + }, + "FD_hjp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5521.5-5526.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 712 ], + "Q": [ 713 ], + "R": [ 167 ] + } + }, + "FD_hk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5531.5-5536.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 714 ], + "Q": [ 715 ], + "R": [ 167 ] + } + }, + "FD_hka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5541.5-5546.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 716 ], + "Q": [ 717 ], + "R": [ 167 ] + } + }, + "FD_hkb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5551.5-5556.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 718 ], + "Q": [ 719 ], + "R": [ 167 ] + } + }, + "FD_hkc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5561.5-5566.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 720 ], + "Q": [ 721 ], + "R": [ 167 ] + } + }, + "FD_hkd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5571.5-5576.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 722 ], + "Q": [ 723 ], + "R": [ 167 ] + } + }, + "FD_hke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5581.5-5586.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 724 ], + "Q": [ 725 ], + "R": [ 167 ] + } + }, + "FD_hkf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5591.5-5596.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 726 ], + "Q": [ 727 ], + "R": [ 167 ] + } + }, + "FD_hkg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5601.5-5606.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 728 ], + "Q": [ 729 ], + "R": [ 167 ] + } + }, + "FD_hkh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5611.5-5616.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 730 ], + "Q": [ 731 ], + "R": [ 167 ] + } + }, + "FD_hki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5621.5-5626.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 732 ], + "Q": [ 733 ], + "R": [ 167 ] + } + }, + "FD_hkj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5631.5-5636.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 734 ], + "Q": [ 735 ], + "R": [ 167 ] + } + }, + "FD_hkk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5641.5-5646.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 736 ], + "Q": [ 737 ], + "R": [ 167 ] + } + }, + "FD_hkl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5651.5-5656.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 738 ], + "Q": [ 739 ], + "R": [ 167 ] + } + }, + "FD_hkm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5661.5-5666.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 740 ], + "Q": [ 741 ], + "R": [ 167 ] + } + }, + "FD_hkn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5671.5-5676.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 742 ], + "Q": [ 743 ], + "R": [ 167 ] + } + }, + "FD_hko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5681.5-5686.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 744 ], + "Q": [ 745 ], + "R": [ 167 ] + } + }, + "FD_hkp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5691.5-5696.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 746 ], + "Q": [ 747 ], + "R": [ 167 ] + } + }, + "FD_hl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5701.5-5706.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 748 ], + "Q": [ 749 ], + "R": [ 167 ] + } + }, + "FD_hla": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5711.5-5716.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 750 ], + "Q": [ 751 ], + "R": [ 167 ] + } + }, + "FD_hlb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5721.5-5726.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 752 ], + "Q": [ 753 ], + "R": [ 167 ] + } + }, + "FD_hlc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5731.5-5736.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 754 ], + "Q": [ 755 ], + "R": [ 167 ] + } + }, + "FD_hld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5741.5-5746.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 756 ], + "Q": [ 757 ], + "R": [ 167 ] + } + }, + "FD_hle": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5751.5-5756.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 758 ], + "Q": [ 759 ], + "R": [ 167 ] + } + }, + "FD_hlf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5761.5-5766.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 760 ], + "Q": [ 761 ], + "R": [ 167 ] + } + }, + "FD_hlg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5771.5-5776.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 762 ], + "Q": [ 763 ], + "R": [ 167 ] + } + }, + "FD_hlh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5781.5-5786.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 764 ], + "Q": [ 765 ], + "R": [ 167 ] + } + }, + "FD_hli": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5791.5-5796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 766 ], + "Q": [ 767 ], + "R": [ 167 ] + } + }, + "FD_hlj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5801.5-5806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 768 ], + "Q": [ 769 ], + "R": [ 167 ] + } + }, + "FD_hlk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5811.5-5816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 770 ], + "Q": [ 771 ], + "R": [ 167 ] + } + }, + "FD_hll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5821.5-5826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 772 ], + "Q": [ 773 ], + "R": [ 167 ] + } + }, + "FD_hlm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5831.5-5836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 774 ], + "Q": [ 775 ], + "R": [ 167 ] + } + }, + "FD_hln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5841.5-5846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 776 ], + "Q": [ 777 ], + "R": [ 167 ] + } + }, + "FD_hlo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5851.5-5856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 778 ], + "Q": [ 779 ], + "R": [ 167 ] + } + }, + "FD_hlp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5861.5-5866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 780 ], + "Q": [ 781 ], + "R": [ 167 ] + } + }, + "FD_hm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5871.5-5876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 782 ], + "Q": [ 783 ], + "R": [ 167 ] + } + }, + "FD_hma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5881.5-5886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 784 ], + "Q": [ 785 ], + "R": [ 167 ] + } + }, + "FD_hmb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5891.5-5896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 786 ], + "Q": [ 787 ], + "R": [ 167 ] + } + }, + "FD_hmc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5901.5-5906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 788 ], + "Q": [ 789 ], + "R": [ 167 ] + } + }, + "FD_hmd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5911.5-5916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 790 ], + "Q": [ 791 ], + "R": [ 167 ] + } + }, + "FD_hme": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5921.5-5926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 792 ], + "Q": [ 793 ], + "R": [ 167 ] + } + }, + "FD_hmf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5931.5-5936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 794 ], + "Q": [ 795 ], + "R": [ 167 ] + } + }, + "FD_hmg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5941.5-5946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 796 ], + "Q": [ 797 ], + "R": [ 167 ] + } + }, + "FD_hmh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5951.5-5956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 798 ], + "Q": [ 799 ], + "R": [ 167 ] + } + }, + "FD_hmi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5961.5-5966.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 800 ], + "Q": [ 801 ], + "R": [ 167 ] + } + }, + "FD_hmj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5971.5-5976.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 802 ], + "Q": [ 803 ], + "R": [ 167 ] + } + }, + "FD_hmk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5981.5-5986.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 804 ], + "Q": [ 805 ], + "R": [ 167 ] + } + }, + "FD_hml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:5991.5-5996.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 806 ], + "Q": [ 807 ], + "R": [ 167 ] + } + }, + "FD_hmm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6001.5-6006.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 808 ], + "Q": [ 809 ], + "R": [ 167 ] + } + }, + "FD_hmn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6011.5-6016.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 810 ], + "Q": [ 811 ], + "R": [ 167 ] + } + }, + "FD_hmo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6021.5-6026.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 812 ], + "Q": [ 813 ], + "R": [ 167 ] + } + }, + "FD_hmp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6031.5-6036.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 814 ], + "Q": [ 815 ], + "R": [ 167 ] + } + }, + "FD_hn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6041.5-6046.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 816 ], + "Q": [ 817 ], + "R": [ 167 ] + } + }, + "FD_hna": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6051.5-6056.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 818 ], + "Q": [ 819 ], + "R": [ 167 ] + } + }, + "FD_hnb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6061.5-6066.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 820 ], + "Q": [ 821 ], + "R": [ 167 ] + } + }, + "FD_hnc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6071.5-6076.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 822 ], + "Q": [ 823 ], + "R": [ 167 ] + } + }, + "FD_hnd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6081.5-6086.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 824 ], + "Q": [ 825 ], + "R": [ 167 ] + } + }, + "FD_hne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6091.5-6096.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 826 ], + "Q": [ 827 ], + "R": [ 167 ] + } + }, + "FD_hnf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6101.5-6106.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 828 ], + "Q": [ 829 ], + "R": [ 167 ] + } + }, + "FD_hng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6111.5-6116.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 830 ], + "Q": [ 831 ], + "R": [ 167 ] + } + }, + "FD_hnh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6121.5-6126.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 832 ], + "Q": [ 833 ], + "R": [ 167 ] + } + }, + "FD_hni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6131.5-6136.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 834 ], + "Q": [ 835 ], + "R": [ 167 ] + } + }, + "FD_hnj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6141.5-6146.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 836 ], + "Q": [ 837 ], + "R": [ 167 ] + } + }, + "FD_hnk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6151.5-6156.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 838 ], + "Q": [ 839 ], + "R": [ 167 ] + } + }, + "FD_hnl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6161.5-6166.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 840 ], + "Q": [ 841 ], + "R": [ 167 ] + } + }, + "FD_hnm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6171.5-6176.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 842 ], + "Q": [ 843 ], + "R": [ 167 ] + } + }, + "FD_hnn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6181.5-6186.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 844 ], + "Q": [ 845 ], + "R": [ 167 ] + } + }, + "FD_hno": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6191.5-6196.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 846 ], + "Q": [ 847 ], + "R": [ 167 ] + } + }, + "FD_hnp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6201.5-6206.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 848 ], + "Q": [ 849 ], + "R": [ 167 ] + } + }, + "FD_ho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6211.5-6216.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 850 ], + "Q": [ 851 ], + "R": [ 167 ] + } + }, + "FD_hoa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6221.5-6226.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 852 ], + "Q": [ 853 ], + "R": [ 167 ] + } + }, + "FD_hob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6231.5-6236.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 854 ], + "Q": [ 855 ], + "R": [ 167 ] + } + }, + "FD_hoc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6241.5-6246.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 856 ], + "Q": [ 857 ], + "R": [ 167 ] + } + }, + "FD_hod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6251.5-6256.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 858 ], + "Q": [ 859 ], + "R": [ 167 ] + } + }, + "FD_hoe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6261.5-6266.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 860 ], + "Q": [ 861 ], + "R": [ 167 ] + } + }, + "FD_hof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6271.5-6276.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 862 ], + "Q": [ 863 ], + "R": [ 167 ] + } + }, + "FD_hog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6281.5-6286.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 864 ], + "Q": [ 865 ], + "R": [ 167 ] + } + }, + "FD_hoh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6291.5-6296.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 866 ], + "Q": [ 867 ], + "R": [ 167 ] + } + }, + "FD_hoi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6301.5-6306.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 868 ], + "Q": [ 869 ], + "R": [ 167 ] + } + }, + "FD_hoj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6311.5-6316.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 870 ], + "Q": [ 871 ], + "R": [ 167 ] + } + }, + "FD_hok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6321.5-6326.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 872 ], + "Q": [ 873 ], + "R": [ 167 ] + } + }, + "FD_hol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6331.5-6336.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 874 ], + "Q": [ 875 ], + "R": [ 167 ] + } + }, + "FD_hom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6341.5-6346.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 876 ], + "Q": [ 877 ], + "R": [ 167 ] + } + }, + "FD_hon": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6351.5-6356.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 878 ], + "Q": [ 879 ], + "R": [ 167 ] + } + }, + "FD_hoo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6361.5-6366.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 880 ], + "Q": [ 881 ], + "R": [ 167 ] + } + }, + "FD_hop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6371.5-6376.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 882 ], + "Q": [ 883 ], + "R": [ 167 ] + } + }, + "FD_hp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6381.5-6386.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 884 ], + "Q": [ 885 ], + "R": [ 167 ] + } + }, + "FD_hpa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6391.5-6396.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 886 ], + "Q": [ 887 ], + "R": [ 167 ] + } + }, + "FD_hpb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6401.5-6406.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 888 ], + "Q": [ 889 ], + "R": [ 167 ] + } + }, + "FD_hpc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6411.5-6416.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 890 ], + "Q": [ 891 ], + "R": [ 167 ] + } + }, + "FD_hpd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6421.5-6426.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 892 ], + "Q": [ 893 ], + "R": [ 167 ] + } + }, + "FD_hpe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6431.5-6436.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 894 ], + "Q": [ 895 ], + "R": [ 167 ] + } + }, + "FD_hpf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6441.5-6446.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 896 ], + "Q": [ 897 ], + "R": [ 167 ] + } + }, + "FD_hpg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6451.5-6456.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 898 ], + "Q": [ 899 ], + "R": [ 167 ] + } + }, + "FD_hph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6461.5-6466.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 900 ], + "Q": [ 901 ], + "R": [ 167 ] + } + }, + "FD_hpi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6471.5-6476.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 902 ], + "Q": [ 903 ], + "R": [ 167 ] + } + }, + "FD_hpj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6481.5-6486.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 904 ], + "Q": [ 905 ], + "R": [ 167 ] + } + }, + "FD_hpk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6491.5-6496.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 906 ], + "Q": [ 907 ], + "R": [ 167 ] + } + }, + "FD_hpl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6501.5-6506.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 908 ], + "Q": [ 909 ], + "R": [ 167 ] + } + }, + "FD_hpm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6511.5-6516.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 910 ], + "Q": [ 911 ], + "R": [ 167 ] + } + }, + "FD_hpn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6521.5-6526.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 912 ], + "Q": [ 913 ], + "R": [ 167 ] + } + }, + "FD_hpo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6531.5-6536.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 914 ], + "Q": [ 915 ], + "R": [ 167 ] + } + }, + "FD_hpp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6541.5-6546.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 916 ], + "Q": [ 917 ], + "R": [ 167 ] + } + }, + "FD_i": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6551.5-6556.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 918 ], + "Q": [ 919 ], + "R": [ 167 ] + } + }, + "FD_ia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6561.5-6566.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 920 ], + "Q": [ 921 ], + "R": [ 167 ] + } + }, + "FD_iaa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6571.5-6576.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 409 ], + "Q": [ 922 ], + "R": [ 167 ] + } + }, + "FD_iab": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6581.5-6586.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 443 ], + "Q": [ 923 ], + "R": [ 167 ] + } + }, + "FD_iac": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6591.5-6596.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 477 ], + "Q": [ 924 ], + "R": [ 167 ] + } + }, + "FD_iad": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6601.5-6606.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 925 ], + "Q": [ 926 ], + "R": [ 167 ] + } + }, + "FD_iae": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6611.5-6616.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 927 ], + "Q": [ 928 ], + "R": [ 167 ] + } + }, + "FD_iaf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6621.5-6626.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 929 ], + "Q": [ 930 ], + "R": [ 167 ] + } + }, + "FD_iag": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6631.5-6636.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 931 ], + "Q": [ 932 ], + "R": [ 167 ] + } + }, + "FD_iah": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6641.5-6646.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 933 ], + "Q": [ 934 ], + "R": [ 167 ] + } + }, + "FD_iai": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6651.5-6656.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 935 ], + "Q": [ 936 ], + "R": [ 167 ] + } + }, + "FD_iaj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6661.5-6666.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 937 ], + "Q": [ 938 ], + "R": [ 167 ] + } + }, + "FD_iak": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6671.5-6676.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 681 ], + "Q": [ 939 ], + "R": [ 167 ] + } + }, + "FD_ial": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6681.5-6686.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 257 ], + "Q": [ 940 ], + "R": [ 167 ] + } + }, + "FD_iam": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6691.5-6696.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 919 ], + "Q": [ 941 ], + "R": [ 167 ] + } + }, + "FD_ian": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6701.5-6706.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 783 ], + "Q": [ 942 ], + "R": [ 167 ] + } + }, + "FD_iao": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6711.5-6716.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 885 ], + "Q": [ 943 ], + "R": [ 167 ] + } + }, + "FD_iap": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6721.5-6726.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 375 ], + "Q": [ 944 ], + "R": [ 167 ] + } + }, + "FD_ib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6731.5-6736.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 945 ], + "Q": [ 946 ], + "R": [ 167 ] + } + }, + "FD_iba": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6741.5-6746.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 947 ], + "Q": [ 948 ], + "R": [ 167 ] + } + }, + "FD_ibb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6751.5-6756.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 949 ], + "Q": [ 950 ], + "R": [ 167 ] + } + }, + "FD_ibc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6761.5-6766.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 951 ], + "Q": [ 952 ], + "R": [ 167 ] + } + }, + "FD_ibd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6771.5-6776.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 953 ], + "Q": [ 954 ], + "R": [ 167 ] + } + }, + "FD_ibe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6781.5-6786.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 955 ], + "Q": [ 956 ], + "R": [ 167 ] + } + }, + "FD_ibf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6791.5-6796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 957 ], + "Q": [ 958 ], + "R": [ 167 ] + } + }, + "FD_ibg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6801.5-6806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 867 ], + "Q": [ 959 ], + "R": [ 167 ] + } + }, + "FD_ibh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6811.5-6816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 960 ], + "Q": [ 961 ], + "R": [ 167 ] + } + }, + "FD_ibi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6821.5-6826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 889 ], + "Q": [ 962 ], + "R": [ 167 ] + } + }, + "FD_ibj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6831.5-6836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 963 ], + "Q": [ 964 ], + "R": [ 167 ] + } + }, + "FD_ibk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6841.5-6846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 965 ], + "Q": [ 966 ], + "R": [ 167 ] + } + }, + "FD_ibl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6851.5-6856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 967 ], + "Q": [ 968 ], + "R": [ 167 ] + } + }, + "FD_ibm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6861.5-6866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 531 ], + "Q": [ 969 ], + "R": [ 167 ] + } + }, + "FD_ibn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6871.5-6876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 533 ], + "Q": [ 970 ], + "R": [ 167 ] + } + }, + "FD_ibo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6881.5-6886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 577 ], + "Q": [ 971 ], + "R": [ 167 ] + } + }, + "FD_ibp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6891.5-6896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 972 ], + "Q": [ 973 ], + "R": [ 167 ] + } + }, + "FD_ic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6901.5-6906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 974 ], + "Q": [ 963 ], + "R": [ 167 ] + } + }, + "FD_ica": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6911.5-6916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 975 ], + "Q": [ 976 ], + "R": [ 167 ] + } + }, + "FD_icb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6921.5-6926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 977 ], + "Q": [ 978 ], + "R": [ 167 ] + } + }, + "FD_icc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6931.5-6936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 979 ], + "Q": [ 980 ], + "R": [ 167 ] + } + }, + "FD_icd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6941.5-6946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 981 ], + "Q": [ 982 ], + "R": [ 167 ] + } + }, + "FD_ice": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6951.5-6956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 983 ], + "Q": [ 984 ], + "R": [ 167 ] + } + }, + "FD_icf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6961.5-6966.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 985 ], + "Q": [ 986 ], + "R": [ 167 ] + } + }, + "FD_icg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6971.5-6976.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 987 ], + "Q": [ 988 ], + "R": [ 167 ] + } + }, + "FD_ich": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6981.5-6986.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 989 ], + "Q": [ 990 ], + "R": [ 167 ] + } + }, + "FD_ici": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:6991.5-6996.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 991 ], + "Q": [ 992 ], + "R": [ 167 ] + } + }, + "FD_icj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7001.5-7006.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 993 ], + "Q": [ 994 ], + "R": [ 167 ] + } + }, + "FD_ick": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7011.5-7016.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 995 ], + "Q": [ 996 ], + "R": [ 167 ] + } + }, + "FD_icl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7021.5-7026.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 997 ], + "Q": [ 998 ], + "R": [ 167 ] + } + }, + "FD_icm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7031.5-7036.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 999 ], + "Q": [ 1000 ], + "R": [ 167 ] + } + }, + "FD_icn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7041.5-7046.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1001 ], + "Q": [ 1002 ], + "R": [ 167 ] + } + }, + "FD_ico": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7051.5-7056.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1003 ], + "Q": [ 1004 ], + "R": [ 167 ] + } + }, + "FD_icp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7061.5-7066.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1005 ], + "Q": [ 1006 ], + "R": [ 167 ] + } + }, + "FD_id": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7071.5-7076.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1007 ], + "Q": [ 1008 ], + "R": [ 167 ] + } + }, + "FD_ida": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7081.5-7086.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1009 ], + "Q": [ 1010 ], + "R": [ 167 ] + } + }, + "FD_idb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7091.5-7096.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1011 ], + "Q": [ 1012 ], + "R": [ 167 ] + } + }, + "FD_idc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7101.5-7106.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1013 ], + "Q": [ 1014 ], + "R": [ 167 ] + } + }, + "FD_idd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7111.5-7116.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1015 ], + "Q": [ 1016 ], + "R": [ 167 ] + } + }, + "FD_ide": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7121.5-7126.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1017 ], + "Q": [ 1018 ], + "R": [ 167 ] + } + }, + "FD_idf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7131.5-7136.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1019 ], + "Q": [ 1020 ], + "R": [ 167 ] + } + }, + "FD_idg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7141.5-7146.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1021 ], + "Q": [ 1022 ], + "R": [ 167 ] + } + }, + "FD_idh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7151.5-7156.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1023 ], + "Q": [ 1024 ], + "R": [ 167 ] + } + }, + "FD_idi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7161.5-7166.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1025 ], + "Q": [ 1026 ], + "R": [ 167 ] + } + }, + "FD_idj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7171.5-7176.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1027 ], + "Q": [ 1028 ], + "R": [ 167 ] + } + }, + "FD_idk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7181.5-7186.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1029 ], + "Q": [ 1030 ], + "R": [ 167 ] + } + }, + "FD_idl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7191.5-7196.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1031 ], + "Q": [ 1032 ], + "R": [ 167 ] + } + }, + "FD_idm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7201.5-7206.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1033 ], + "Q": [ 1034 ], + "R": [ 167 ] + } + }, + "FD_idn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7211.5-7216.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1035 ], + "Q": [ 1036 ], + "R": [ 167 ] + } + }, + "FD_ido": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7221.5-7226.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1037 ], + "Q": [ 1038 ], + "R": [ 167 ] + } + }, + "FD_idp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7231.5-7236.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1039 ], + "Q": [ 1040 ], + "R": [ 167 ] + } + }, + "FD_ie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7241.5-7246.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1041 ], + "Q": [ 1042 ], + "R": [ 167 ] + } + }, + "FD_iea": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7251.5-7256.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1043 ], + "Q": [ 1044 ], + "R": [ 167 ] + } + }, + "FD_ieb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7261.5-7266.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1045 ], + "Q": [ 1046 ], + "R": [ 167 ] + } + }, + "FD_iec": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7271.5-7276.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1047 ], + "Q": [ 1048 ], + "R": [ 167 ] + } + }, + "FD_ied": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7281.5-7286.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1049 ], + "Q": [ 1050 ], + "R": [ 167 ] + } + }, + "FD_iee": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7291.5-7296.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1051 ], + "Q": [ 1052 ], + "R": [ 167 ] + } + }, + "FD_ief": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7301.5-7306.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1053 ], + "Q": [ 1054 ], + "R": [ 167 ] + } + }, + "FD_ieg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7311.5-7316.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1055 ], + "Q": [ 1056 ], + "R": [ 167 ] + } + }, + "FD_ieh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7321.5-7326.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1057 ], + "Q": [ 1058 ], + "R": [ 167 ] + } + }, + "FD_iei": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7331.5-7336.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1059 ], + "Q": [ 1060 ], + "R": [ 167 ] + } + }, + "FD_iej": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7341.5-7346.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1061 ], + "Q": [ 1062 ], + "R": [ 167 ] + } + }, + "FD_iek": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7351.5-7356.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1063 ], + "Q": [ 1064 ], + "R": [ 167 ] + } + }, + "FD_iel": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7361.5-7366.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1065 ], + "Q": [ 1066 ], + "R": [ 167 ] + } + }, + "FD_iem": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7371.5-7376.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1067 ], + "Q": [ 1068 ], + "R": [ 167 ] + } + }, + "FD_ien": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7381.5-7386.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1069 ], + "Q": [ 1070 ], + "R": [ 167 ] + } + }, + "FD_ieo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7391.5-7396.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1071 ], + "Q": [ 1072 ], + "R": [ 167 ] + } + }, + "FD_iep": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7401.5-7406.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1073 ], + "Q": [ 1074 ], + "R": [ 167 ] + } + }, + "FD_if": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7411.5-7416.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1075 ], + "Q": [ 965 ], + "R": [ 167 ] + } + }, + "FD_ifa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7421.5-7426.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1076 ], + "Q": [ 1077 ], + "R": [ 167 ] + } + }, + "FD_ifb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7431.5-7436.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1078 ], + "Q": [ 1079 ], + "R": [ 167 ] + } + }, + "FD_ifc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7441.5-7446.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1080 ], + "Q": [ 1081 ], + "R": [ 167 ] + } + }, + "FD_ifd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7451.5-7456.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1082 ], + "Q": [ 1083 ], + "R": [ 167 ] + } + }, + "FD_ife": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7461.5-7466.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1084 ], + "Q": [ 1085 ], + "R": [ 167 ] + } + }, + "FD_iff": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7471.5-7476.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1086 ], + "Q": [ 1087 ], + "R": [ 167 ] + } + }, + "FD_ifg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7481.5-7486.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1088 ], + "Q": [ 1089 ], + "R": [ 167 ] + } + }, + "FD_ifh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7491.5-7496.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1090 ], + "Q": [ 1091 ], + "R": [ 167 ] + } + }, + "FD_ifi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7501.5-7506.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1092 ], + "Q": [ 1093 ], + "R": [ 167 ] + } + }, + "FD_ifj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7511.5-7516.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1094 ], + "Q": [ 1095 ], + "R": [ 167 ] + } + }, + "FD_ifk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7521.5-7526.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1096 ], + "Q": [ 1097 ], + "R": [ 167 ] + } + }, + "FD_ifl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7531.5-7536.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1098 ], + "Q": [ 1099 ], + "R": [ 167 ] + } + }, + "FD_ifm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7541.5-7546.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1100 ], + "Q": [ 1101 ], + "R": [ 167 ] + } + }, + "FD_ifn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7551.5-7556.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1102 ], + "Q": [ 1103 ], + "R": [ 167 ] + } + }, + "FD_ifo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7561.5-7566.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1104 ], + "Q": [ 1105 ], + "R": [ 167 ] + } + }, + "FD_ifp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7571.5-7576.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1106 ], + "Q": [ 1107 ], + "R": [ 167 ] + } + }, + "FD_ig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7581.5-7586.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1108 ], + "Q": [ 1109 ], + "R": [ 167 ] + } + }, + "FD_iga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7591.5-7596.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1110 ], + "Q": [ 1111 ], + "R": [ 167 ] + } + }, + "FD_igb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7601.5-7606.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1112 ], + "Q": [ 1113 ], + "R": [ 167 ] + } + }, + "FD_igc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7611.5-7616.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1114 ], + "Q": [ 1115 ], + "R": [ 167 ] + } + }, + "FD_igd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7621.5-7626.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1116 ], + "Q": [ 1117 ], + "R": [ 167 ] + } + }, + "FD_ige": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7631.5-7636.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1118 ], + "Q": [ 1119 ], + "R": [ 167 ] + } + }, + "FD_igf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7641.5-7646.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1120 ], + "Q": [ 1121 ], + "R": [ 167 ] + } + }, + "FD_igg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7651.5-7656.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1122 ], + "Q": [ 1123 ], + "R": [ 167 ] + } + }, + "FD_igh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7661.5-7666.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1124 ], + "Q": [ 1125 ], + "R": [ 167 ] + } + }, + "FD_igi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7671.5-7676.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1126 ], + "Q": [ 1127 ], + "R": [ 167 ] + } + }, + "FD_igj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7681.5-7686.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1128 ], + "Q": [ 1129 ], + "R": [ 167 ] + } + }, + "FD_igk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7691.5-7696.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1130 ], + "Q": [ 1131 ], + "R": [ 167 ] + } + }, + "FD_igl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7701.5-7706.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1132 ], + "Q": [ 1133 ], + "R": [ 167 ] + } + }, + "FD_igm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7711.5-7716.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1134 ], + "Q": [ 1135 ], + "R": [ 167 ] + } + }, + "FD_ign": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7721.5-7726.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1136 ], + "Q": [ 1137 ], + "R": [ 167 ] + } + }, + "FD_igo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7731.5-7736.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1138 ], + "Q": [ 1139 ], + "R": [ 167 ] + } + }, + "FD_igp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7741.5-7746.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1140 ], + "Q": [ 1141 ], + "R": [ 167 ] + } + }, + "FD_ih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7751.5-7756.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1142 ], + "Q": [ 925 ], + "R": [ 167 ] + } + }, + "FD_iha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7761.5-7766.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1143 ], + "Q": [ 1144 ], + "R": [ 167 ] + } + }, + "FD_ihb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7771.5-7776.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1145 ], + "Q": [ 1146 ], + "R": [ 167 ] + } + }, + "FD_ihc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7781.5-7786.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1147 ], + "Q": [ 1148 ], + "R": [ 167 ] + } + }, + "FD_ihd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7791.5-7796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1149 ], + "Q": [ 1150 ], + "R": [ 167 ] + } + }, + "FD_ihe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7801.5-7806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1151 ], + "Q": [ 1152 ], + "R": [ 167 ] + } + }, + "FD_ihf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7811.5-7816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1153 ], + "Q": [ 1154 ], + "R": [ 167 ] + } + }, + "FD_ihg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7821.5-7826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1155 ], + "Q": [ 1156 ], + "R": [ 167 ] + } + }, + "FD_ihh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7831.5-7836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1157 ], + "Q": [ 1158 ], + "R": [ 167 ] + } + }, + "FD_ihi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7841.5-7846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1159 ], + "Q": [ 1160 ], + "R": [ 167 ] + } + }, + "FD_ihj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7851.5-7856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1161 ], + "Q": [ 1162 ], + "R": [ 167 ] + } + }, + "FD_ihk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7861.5-7866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1163 ], + "Q": [ 1164 ], + "R": [ 167 ] + } + }, + "FD_ihl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7871.5-7876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1165 ], + "Q": [ 1166 ], + "R": [ 167 ] + } + }, + "FD_ihm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7881.5-7886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1167 ], + "Q": [ 1168 ], + "R": [ 167 ] + } + }, + "FD_ihn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7891.5-7896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1169 ], + "Q": [ 1170 ], + "R": [ 167 ] + } + }, + "FD_iho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7901.5-7906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1171 ], + "Q": [ 1172 ], + "R": [ 167 ] + } + }, + "FD_ihp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7911.5-7916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1173 ], + "Q": [ 1174 ], + "R": [ 167 ] + } + }, + "FD_ii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7921.5-7926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1175 ], + "Q": [ 1176 ], + "R": [ 167 ] + } + }, + "FD_iia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7931.5-7936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1177 ], + "Q": [ 1178 ], + "R": [ 167 ] + } + }, + "FD_iib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7941.5-7946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1179 ], + "Q": [ 1180 ], + "R": [ 167 ] + } + }, + "FD_iic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7951.5-7956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1181 ], + "Q": [ 1182 ], + "R": [ 167 ] + } + }, + "FD_iid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7961.5-7966.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1183 ], + "Q": [ 1184 ], + "R": [ 167 ] + } + }, + "FD_iie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7971.5-7976.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1185 ], + "Q": [ 1186 ], + "R": [ 167 ] + } + }, + "FD_iif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7981.5-7986.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1187 ], + "Q": [ 1188 ], + "R": [ 167 ] + } + }, + "FD_iig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:7991.5-7996.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1189 ], + "Q": [ 1190 ], + "R": [ 167 ] + } + }, + "FD_iih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8001.5-8006.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1191 ], + "Q": [ 1192 ], + "R": [ 167 ] + } + }, + "FD_iii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8011.5-8016.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1193 ], + "Q": [ 1194 ], + "R": [ 167 ] + } + }, + "FD_iij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8021.5-8026.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1195 ], + "Q": [ 1196 ], + "R": [ 167 ] + } + }, + "FD_iik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8031.5-8036.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1197 ], + "Q": [ 1198 ], + "R": [ 167 ] + } + }, + "FD_iil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8041.5-8046.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1199 ], + "Q": [ 1200 ], + "R": [ 167 ] + } + }, + "FD_iim": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8051.5-8056.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1201 ], + "Q": [ 1202 ], + "R": [ 167 ] + } + }, + "FD_iin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8061.5-8066.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1203 ], + "Q": [ 1204 ], + "R": [ 167 ] + } + }, + "FD_iio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8071.5-8076.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1205 ], + "Q": [ 1206 ], + "R": [ 167 ] + } + }, + "FD_iip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8081.5-8086.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1207 ], + "Q": [ 1208 ], + "R": [ 167 ] + } + }, + "FD_ij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8091.5-8096.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1209 ], + "Q": [ 927 ], + "R": [ 167 ] + } + }, + "FD_ija": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8101.5-8106.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1210 ], + "Q": [ 1211 ], + "R": [ 167 ] + } + }, + "FD_ijb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8111.5-8116.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1212 ], + "Q": [ 1213 ], + "R": [ 167 ] + } + }, + "FD_ijc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8121.5-8126.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1214 ], + "Q": [ 1215 ], + "R": [ 167 ] + } + }, + "FD_ijd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8131.5-8136.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1216 ], + "Q": [ 957 ], + "R": [ 167 ] + } + }, + "FD_ije": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8141.5-8146.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1217 ], + "Q": [ 1218 ], + "R": [ 167 ] + } + }, + "FD_ijf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8151.5-8156.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1219 ], + "Q": [ 987 ], + "R": [ 167 ] + } + }, + "FD_ijg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8161.5-8166.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1220 ], + "Q": [ 1221 ], + "R": [ 167 ] + } + }, + "FD_ijh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8171.5-8176.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1222 ], + "Q": [ 1223 ], + "R": [ 167 ] + } + }, + "FD_iji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8181.5-8186.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1224 ], + "Q": [ 1225 ], + "R": [ 167 ] + } + }, + "FD_ijj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8191.5-8196.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1226 ], + "Q": [ 1227 ], + "R": [ 167 ] + } + }, + "FD_ijk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8201.5-8206.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1228 ], + "Q": [ 1229 ], + "R": [ 167 ] + } + }, + "FD_ijl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8211.5-8216.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1230 ], + "Q": [ 1231 ], + "R": [ 167 ] + } + }, + "FD_ijm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8221.5-8226.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1232 ], + "Q": [ 1233 ], + "R": [ 167 ] + } + }, + "FD_ijn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8231.5-8236.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1234 ], + "Q": [ 1235 ], + "R": [ 167 ] + } + }, + "FD_ijo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8241.5-8246.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1236 ], + "Q": [ 1237 ], + "R": [ 167 ] + } + }, + "FD_ijp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8251.5-8256.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1238 ], + "Q": [ 1239 ], + "R": [ 167 ] + } + }, + "FD_ik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8261.5-8266.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1240 ], + "Q": [ 1241 ], + "R": [ 167 ] + } + }, + "FD_ika": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8271.5-8276.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1242 ], + "Q": [ 989 ], + "R": [ 167 ] + } + }, + "FD_ikb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8281.5-8286.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1243 ], + "Q": [ 1244 ], + "R": [ 167 ] + } + }, + "FD_ikc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8291.5-8296.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1245 ], + "Q": [ 1246 ], + "R": [ 167 ] + } + }, + "FD_ikd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8301.5-8306.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1247 ], + "Q": [ 1248 ], + "R": [ 167 ] + } + }, + "FD_ike": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8311.5-8316.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1249 ], + "Q": [ 1250 ], + "R": [ 167 ] + } + }, + "FD_ikf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8321.5-8326.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1251 ], + "Q": [ 1252 ], + "R": [ 167 ] + } + }, + "FD_ikg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8331.5-8336.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1253 ], + "Q": [ 1254 ], + "R": [ 167 ] + } + }, + "FD_ikh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8341.5-8346.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1255 ], + "Q": [ 1256 ], + "R": [ 167 ] + } + }, + "FD_iki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8351.5-8356.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1257 ], + "Q": [ 1258 ], + "R": [ 167 ] + } + }, + "FD_ikj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8361.5-8366.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1259 ], + "Q": [ 1260 ], + "R": [ 167 ] + } + }, + "FD_ikk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8371.5-8376.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1261 ], + "Q": [ 1262 ], + "R": [ 167 ] + } + }, + "FD_ikl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8381.5-8386.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1263 ], + "Q": [ 1264 ], + "R": [ 167 ] + } + }, + "FD_ikm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8391.5-8396.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1265 ], + "Q": [ 1266 ], + "R": [ 167 ] + } + }, + "FD_ikn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8401.5-8406.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1267 ], + "Q": [ 1268 ], + "R": [ 167 ] + } + }, + "FD_iko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8411.5-8416.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1269 ], + "Q": [ 1270 ], + "R": [ 167 ] + } + }, + "FD_ikp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8421.5-8426.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1271 ], + "Q": [ 1272 ], + "R": [ 167 ] + } + }, + "FD_il": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8431.5-8436.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1273 ], + "Q": [ 1274 ], + "R": [ 167 ] + } + }, + "FD_ila": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8441.5-8446.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1275 ], + "Q": [ 1276 ], + "R": [ 167 ] + } + }, + "FD_ilb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8451.5-8456.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1277 ], + "Q": [ 1278 ], + "R": [ 167 ] + } + }, + "FD_ilc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8461.5-8466.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1279 ], + "Q": [ 1280 ], + "R": [ 167 ] + } + }, + "FD_ild": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8471.5-8476.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1281 ], + "Q": [ 1282 ], + "R": [ 167 ] + } + }, + "FD_ile": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8481.5-8486.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1283 ], + "Q": [ 1284 ], + "R": [ 167 ] + } + }, + "FD_ilf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8491.5-8496.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1285 ], + "Q": [ 1286 ], + "R": [ 167 ] + } + }, + "FD_ilg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8501.5-8506.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1287 ], + "Q": [ 1288 ], + "R": [ 167 ] + } + }, + "FD_ilh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8511.5-8516.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1289 ], + "Q": [ 1290 ], + "R": [ 167 ] + } + }, + "FD_ili": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8521.5-8526.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1291 ], + "Q": [ 1292 ], + "R": [ 167 ] + } + }, + "FD_ilj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8531.5-8536.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1293 ], + "Q": [ 1294 ], + "R": [ 167 ] + } + }, + "FD_ilk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8541.5-8546.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1295 ], + "Q": [ 1296 ], + "R": [ 167 ] + } + }, + "FD_ill": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8551.5-8556.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1297 ], + "Q": [ 1298 ], + "R": [ 167 ] + } + }, + "FD_ilm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8561.5-8566.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1299 ], + "Q": [ 1300 ], + "R": [ 167 ] + } + }, + "FD_iln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8571.5-8576.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1301 ], + "Q": [ 993 ], + "R": [ 167 ] + } + }, + "FD_ilo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8581.5-8586.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1302 ], + "Q": [ 995 ], + "R": [ 167 ] + } + }, + "FD_ilp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8591.5-8596.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1303 ], + "Q": [ 1304 ], + "R": [ 167 ] + } + }, + "FD_im": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8601.5-8606.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1305 ], + "Q": [ 929 ], + "R": [ 167 ] + } + }, + "FD_ima": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8611.5-8616.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1306 ], + "Q": [ 1003 ], + "R": [ 167 ] + } + }, + "FD_imb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8621.5-8626.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1307 ], + "Q": [ 1308 ], + "R": [ 167 ] + } + }, + "FD_imc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8631.5-8636.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1309 ], + "Q": [ 975 ], + "R": [ 167 ] + } + }, + "FD_imd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8641.5-8646.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1310 ], + "Q": [ 1311 ], + "R": [ 167 ] + } + }, + "FD_ime": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8651.5-8656.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1312 ], + "Q": [ 977 ], + "R": [ 167 ] + } + }, + "FD_imf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8661.5-8666.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1313 ], + "Q": [ 1314 ], + "R": [ 167 ] + } + }, + "FD_img": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8671.5-8676.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1315 ], + "Q": [ 1316 ], + "R": [ 167 ] + } + }, + "FD_imh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8681.5-8686.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1317 ], + "Q": [ 1318 ], + "R": [ 167 ] + } + }, + "FD_imi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8691.5-8696.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1319 ], + "Q": [ 1320 ], + "R": [ 167 ] + } + }, + "FD_imj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8701.5-8706.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1321 ], + "Q": [ 999 ], + "R": [ 167 ] + } + }, + "FD_imk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8711.5-8716.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1322 ], + "Q": [ 1001 ], + "R": [ 167 ] + } + }, + "FD_iml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8721.5-8726.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1323 ], + "Q": [ 1324 ], + "R": [ 167 ] + } + }, + "FD_imm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8731.5-8736.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1325 ], + "Q": [ 1326 ], + "R": [ 167 ] + } + }, + "FD_imn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8741.5-8746.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1327 ], + "Q": [ 1328 ], + "R": [ 167 ] + } + }, + "FD_imo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8751.5-8756.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1329 ], + "Q": [ 1330 ], + "R": [ 167 ] + } + }, + "FD_imp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8761.5-8766.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1331 ], + "Q": [ 1332 ], + "R": [ 167 ] + } + }, + "FD_in": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8771.5-8776.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1333 ], + "Q": [ 960 ], + "R": [ 167 ] + } + }, + "FD_ina": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8781.5-8786.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1334 ], + "Q": [ 1335 ], + "R": [ 167 ] + } + }, + "FD_inb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8791.5-8796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1336 ], + "Q": [ 1337 ], + "R": [ 167 ] + } + }, + "FD_inc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8801.5-8806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1338 ], + "Q": [ 983 ], + "R": [ 167 ] + } + }, + "FD_ind": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8811.5-8816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1339 ], + "Q": [ 1340 ], + "R": [ 167 ] + } + }, + "FD_ine": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8821.5-8826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1341 ], + "Q": [ 1342 ], + "R": [ 167 ] + } + }, + "FD_inf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8831.5-8836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1343 ], + "Q": [ 1344 ], + "R": [ 167 ] + } + }, + "FD_ing": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8841.5-8846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1345 ], + "Q": [ 1346 ], + "R": [ 167 ] + } + }, + "FD_inh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8851.5-8856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1347 ], + "Q": [ 1348 ], + "R": [ 167 ] + } + }, + "FD_ini": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8861.5-8866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1349 ], + "Q": [ 1350 ], + "R": [ 167 ] + } + }, + "FD_inj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8871.5-8876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1351 ], + "Q": [ 979 ], + "R": [ 167 ] + } + }, + "FD_ink": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8881.5-8886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1352 ], + "Q": [ 1353 ], + "R": [ 167 ] + } + }, + "FD_inl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8891.5-8896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1354 ], + "Q": [ 981 ], + "R": [ 167 ] + } + }, + "FD_inm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8901.5-8906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1355 ], + "Q": [ 1356 ], + "R": [ 167 ] + } + }, + "FD_inn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8911.5-8916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1357 ], + "Q": [ 1358 ], + "R": [ 167 ] + } + }, + "FD_ino": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8921.5-8926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1359 ], + "Q": [ 1360 ], + "R": [ 167 ] + } + }, + "FD_inp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8931.5-8936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1361 ], + "Q": [ 1362 ], + "R": [ 167 ] + } + }, + "FD_io": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8941.5-8946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1363 ], + "Q": [ 1364 ], + "R": [ 167 ] + } + }, + "FD_ioa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8951.5-8956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1365 ], + "Q": [ 1366 ], + "R": [ 167 ] + } + }, + "FD_iob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8961.5-8966.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1367 ], + "Q": [ 1368 ], + "R": [ 167 ] + } + }, + "FD_ioc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8971.5-8976.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1369 ], + "Q": [ 1021 ], + "R": [ 167 ] + } + }, + "FD_iod": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8981.5-8986.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1370 ], + "Q": [ 1023 ], + "R": [ 167 ] + } + }, + "FD_ioe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:8991.5-8996.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1371 ], + "Q": [ 1025 ], + "R": [ 167 ] + } + }, + "FD_iof": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9001.5-9006.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1372 ], + "Q": [ 1027 ], + "R": [ 167 ] + } + }, + "FD_iog": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9011.5-9016.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1373 ], + "Q": [ 1374 ], + "R": [ 167 ] + } + }, + "FD_ioh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9021.5-9026.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1375 ], + "Q": [ 1376 ], + "R": [ 167 ] + } + }, + "FD_ioi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9031.5-9036.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1377 ], + "Q": [ 1378 ], + "R": [ 167 ] + } + }, + "FD_ioj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9041.5-9046.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1379 ], + "Q": [ 1380 ], + "R": [ 167 ] + } + }, + "FD_iok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9051.5-9056.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1381 ], + "Q": [ 1382 ], + "R": [ 167 ] + } + }, + "FD_iol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9061.5-9066.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1383 ], + "Q": [ 1384 ], + "R": [ 167 ] + } + }, + "FD_iom": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9071.5-9076.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1385 ], + "Q": [ 1386 ], + "R": [ 167 ] + } + }, + "FD_ion": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9081.5-9086.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1387 ], + "Q": [ 985 ], + "R": [ 167 ] + } + }, + "FD_ioo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9091.5-9096.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1388 ], + "Q": [ 1389 ], + "R": [ 167 ] + } + }, + "FD_iop": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9101.5-9106.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1390 ], + "Q": [ 1391 ], + "R": [ 167 ] + } + }, + "FD_ip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9111.5-9116.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1392 ], + "Q": [ 1393 ], + "R": [ 167 ] + } + }, + "FD_ipa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9121.5-9126.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1394 ], + "Q": [ 1395 ], + "R": [ 167 ] + } + }, + "FD_ipb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9131.5-9136.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1396 ], + "Q": [ 1397 ], + "R": [ 167 ] + } + }, + "FD_ipc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9141.5-9146.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 269 ], + "Q": [ 1398 ], + "R": [ 167 ] + } + }, + "FD_ipd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9151.5-9156.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1399 ], + "Q": [ 1400 ], + "R": [ 167 ] + } + }, + "FD_ipe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9161.5-9166.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1401 ], + "Q": [ 1402 ], + "R": [ 167 ] + } + }, + "FD_ipf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9171.5-9176.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1403 ], + "Q": [ 1404 ], + "R": [ 167 ] + } + }, + "FD_ipg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9181.5-9186.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1405 ], + "Q": [ 1029 ], + "R": [ 167 ] + } + }, + "FD_iph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9191.5-9196.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 371 ], + "Q": [ 1406 ], + "R": [ 167 ] + } + }, + "FD_ipi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9201.5-9206.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 166 ], + "Q": [ 1407 ], + "R": [ 167 ] + } + }, + "FD_ipj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9211.5-9216.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1408 ], + "Q": [ 1409 ], + "R": [ 167 ] + } + }, + "FD_ipk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9221.5-9226.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1410 ], + "Q": [ 1411 ], + "R": [ 167 ] + } + }, + "FD_ipl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9231.5-9236.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1412 ], + "Q": [ 1413 ], + "R": [ 167 ] + } + }, + "FD_ipm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9241.5-9246.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1414 ], + "Q": [ 1415 ], + "R": [ 167 ] + } + }, + "FD_ipn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9251.5-9256.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1416 ], + "Q": [ 1417 ], + "R": [ 167 ] + } + }, + "FD_ipo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9261.5-9266.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 235 ], + "Q": [ 1418 ], + "R": [ 167 ] + } + }, + "FD_ipp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9271.5-9276.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1419 ], + "Q": [ 1420 ], + "R": [ 167 ] + } + }, + "FD_j": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9281.5-9286.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1421 ], + "Q": [ 1422 ], + "R": [ 167 ] + } + }, + "FD_ja": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9291.5-9296.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1423 ], + "Q": [ 1424 ], + "R": [ 167 ] + } + }, + "FD_jb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9301.5-9306.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1425 ], + "Q": [ 991 ], + "R": [ 167 ] + } + }, + "FD_jc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9311.5-9316.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1426 ], + "Q": [ 1427 ], + "R": [ 167 ] + } + }, + "FD_jd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9321.5-9326.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1428 ], + "Q": [ 997 ], + "R": [ 167 ] + } + }, + "FD_je": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9331.5-9336.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1429 ], + "Q": [ 1005 ], + "R": [ 167 ] + } + }, + "FD_jf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9341.5-9346.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1430 ], + "Q": [ 1431 ], + "R": [ 167 ] + } + }, + "FD_jg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9351.5-9356.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1432 ], + "Q": [ 967 ], + "R": [ 167 ] + } + }, + "FD_jga": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9361.5-9366.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1433 ], + "Q": [ 1434 ], + "R": [ 167 ] + } + }, + "FD_jgb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9371.5-9376.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1435 ], + "Q": [ 1436 ], + "R": [ 167 ] + } + }, + "FD_jgc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9381.5-9386.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1437 ], + "Q": [ 1438 ], + "R": [ 167 ] + } + }, + "FD_jgd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9391.5-9396.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1439 ], + "Q": [ 1440 ], + "R": [ 167 ] + } + }, + "FD_jge": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9401.5-9406.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1441 ], + "Q": [ 1442 ], + "R": [ 167 ] + } + }, + "FD_jgf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9411.5-9416.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1443 ], + "Q": [ 1444 ], + "R": [ 167 ] + } + }, + "FD_jgg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9421.5-9426.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1445 ], + "Q": [ 1446 ], + "R": [ 167 ] + } + }, + "FD_jgh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9431.5-9436.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1447 ], + "Q": [ 1448 ], + "R": [ 167 ] + } + }, + "FD_jgi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9441.5-9446.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1449 ], + "Q": [ 1450 ], + "R": [ 167 ] + } + }, + "FD_jgj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9451.5-9456.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1451 ], + "Q": [ 1452 ], + "R": [ 167 ] + } + }, + "FD_jgk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9461.5-9466.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1453 ], + "Q": [ 1454 ], + "R": [ 167 ] + } + }, + "FD_jgl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9471.5-9476.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1455 ], + "Q": [ 1456 ], + "R": [ 167 ] + } + }, + "FD_jgm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9481.5-9486.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1457 ], + "Q": [ 1458 ], + "R": [ 167 ] + } + }, + "FD_jgn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9491.5-9496.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1459 ], + "Q": [ 1460 ], + "R": [ 167 ] + } + }, + "FD_jgo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9501.5-9506.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1461 ], + "Q": [ 1462 ], + "R": [ 167 ] + } + }, + "FD_jgp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9511.5-9516.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1463 ], + "Q": [ 1464 ], + "R": [ 167 ] + } + }, + "FD_jh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9521.5-9526.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1465 ], + "Q": [ 1466 ], + "R": [ 167 ] + } + }, + "FD_jha": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9531.5-9536.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1467 ], + "Q": [ 1468 ], + "R": [ 167 ] + } + }, + "FD_jhb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9541.5-9546.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1469 ], + "Q": [ 1470 ], + "R": [ 167 ] + } + }, + "FD_jhc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9551.5-9556.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1471 ], + "Q": [ 1472 ], + "R": [ 167 ] + } + }, + "FD_jhd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9561.5-9566.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1473 ], + "Q": [ 1474 ], + "R": [ 167 ] + } + }, + "FD_jhe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9571.5-9576.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1475 ], + "Q": [ 1476 ], + "R": [ 167 ] + } + }, + "FD_jhf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9581.5-9586.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1477 ], + "Q": [ 1478 ], + "R": [ 167 ] + } + }, + "FD_jhg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9591.5-9596.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1479 ], + "Q": [ 1480 ], + "R": [ 167 ] + } + }, + "FD_jhh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9601.5-9606.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1481 ], + "Q": [ 1482 ], + "R": [ 167 ] + } + }, + "FD_jhi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9611.5-9616.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1483 ], + "Q": [ 1484 ], + "R": [ 167 ] + } + }, + "FD_jhj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9621.5-9626.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1485 ], + "Q": [ 1486 ], + "R": [ 167 ] + } + }, + "FD_jhk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9631.5-9636.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1487 ], + "Q": [ 1488 ], + "R": [ 167 ] + } + }, + "FD_jhl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9641.5-9646.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1489 ], + "Q": [ 1490 ], + "R": [ 167 ] + } + }, + "FD_jhm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9651.5-9656.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1491 ], + "Q": [ 1492 ], + "R": [ 167 ] + } + }, + "FD_jhn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9661.5-9666.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1493 ], + "Q": [ 1494 ], + "R": [ 167 ] + } + }, + "FD_jho": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9671.5-9676.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1495 ], + "Q": [ 1496 ], + "R": [ 167 ] + } + }, + "FD_jhp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9681.5-9686.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1497 ], + "Q": [ 1498 ], + "R": [ 167 ] + } + }, + "FD_ji": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9691.5-9696.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1499 ], + "Q": [ 1500 ], + "R": [ 167 ] + } + }, + "FD_jia": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9701.5-9706.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1501 ], + "Q": [ 1502 ], + "R": [ 167 ] + } + }, + "FD_jib": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9711.5-9716.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1503 ], + "Q": [ 1504 ], + "R": [ 167 ] + } + }, + "FD_jic": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9721.5-9726.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1505 ], + "Q": [ 1506 ], + "R": [ 167 ] + } + }, + "FD_jid": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9731.5-9736.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1507 ], + "Q": [ 1508 ], + "R": [ 167 ] + } + }, + "FD_jie": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9741.5-9746.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1509 ], + "Q": [ 1510 ], + "R": [ 167 ] + } + }, + "FD_jif": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9751.5-9756.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1511 ], + "Q": [ 1512 ], + "R": [ 167 ] + } + }, + "FD_jig": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9761.5-9766.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1513 ], + "Q": [ 1514 ], + "R": [ 167 ] + } + }, + "FD_jih": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9771.5-9776.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1515 ], + "Q": [ 1516 ], + "R": [ 167 ] + } + }, + "FD_jii": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9781.5-9786.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1517 ], + "Q": [ 1518 ], + "R": [ 167 ] + } + }, + "FD_jij": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9791.5-9796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1519 ], + "Q": [ 1520 ], + "R": [ 167 ] + } + }, + "FD_jik": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9801.5-9806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1521 ], + "Q": [ 1522 ], + "R": [ 167 ] + } + }, + "FD_jil": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9811.5-9816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1523 ], + "Q": [ 1524 ], + "R": [ 167 ] + } + }, + "FD_jim": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9821.5-9826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1525 ], + "Q": [ 1526 ], + "R": [ 167 ] + } + }, + "FD_jin": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9831.5-9836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1527 ], + "Q": [ 1528 ], + "R": [ 167 ] + } + }, + "FD_jio": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9841.5-9846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1529 ], + "Q": [ 1530 ], + "R": [ 167 ] + } + }, + "FD_jip": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9851.5-9856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1531 ], + "Q": [ 1532 ], + "R": [ 167 ] + } + }, + "FD_jj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9861.5-9866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1533 ], + "Q": [ 972 ], + "R": [ 167 ] + } + }, + "FD_jjg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9871.5-9876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1534 ], + "Q": [ 1535 ], + "R": [ 167 ] + } + }, + "FD_jk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9881.5-9886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1536 ], + "Q": [ 947 ], + "R": [ 167 ] + } + }, + "FD_jl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9891.5-9896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1537 ], + "Q": [ 949 ], + "R": [ 167 ] + } + }, + "FD_jm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9901.5-9906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1538 ], + "Q": [ 951 ], + "R": [ 167 ] + } + }, + "FD_jn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9911.5-9916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1539 ], + "Q": [ 953 ], + "R": [ 167 ] + } + }, + "FD_jo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9921.5-9926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1540 ], + "Q": [ 955 ], + "R": [ 167 ] + } + }, + "FD_jp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9931.5-9936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1541 ], + "Q": [ 1542 ], + "R": [ 167 ] + } + }, + "FD_k": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9941.5-9946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1543 ], + "Q": [ 1544 ], + "R": [ 167 ] + } + }, + "FD_ka": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9951.5-9956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1545 ], + "Q": [ 1546 ], + "R": [ 167 ] + } + }, + "FD_kb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9961.5-9966.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1547 ], + "Q": [ 1548 ], + "R": [ 167 ] + } + }, + "FD_kc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9971.5-9976.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1549 ], + "Q": [ 1550 ], + "R": [ 167 ] + } + }, + "FD_kd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9981.5-9986.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1551 ], + "Q": [ 1035 ], + "R": [ 167 ] + } + }, + "FD_ke": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:9991.5-9996.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1552 ], + "Q": [ 1553 ], + "R": [ 167 ] + } + }, + "FD_kf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10001.5-10006.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1554 ], + "Q": [ 1555 ], + "R": [ 167 ] + } + }, + "FD_kg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10011.5-10016.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1556 ], + "Q": [ 1557 ], + "R": [ 167 ] + } + }, + "FD_kh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10021.5-10026.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1558 ], + "Q": [ 1559 ], + "R": [ 167 ] + } + }, + "FD_ki": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10031.5-10036.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1560 ], + "Q": [ 1561 ], + "R": [ 167 ] + } + }, + "FD_kj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10041.5-10046.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1562 ], + "Q": [ 1563 ], + "R": [ 167 ] + } + }, + "FD_kk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10051.5-10056.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1564 ], + "Q": [ 1565 ], + "R": [ 167 ] + } + }, + "FD_kl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10061.5-10066.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1566 ], + "Q": [ 1567 ], + "R": [ 167 ] + } + }, + "FD_km": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10071.5-10076.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1568 ], + "Q": [ 1569 ], + "R": [ 167 ] + } + }, + "FD_kn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10081.5-10086.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1570 ], + "Q": [ 1033 ], + "R": [ 167 ] + } + }, + "FD_ko": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10091.5-10096.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1571 ], + "Q": [ 1572 ], + "R": [ 167 ] + } + }, + "FD_kp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10101.5-10106.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1573 ], + "Q": [ 1574 ], + "R": [ 167 ] + } + }, + "FD_l": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10111.5-10116.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1575 ], + "Q": [ 1576 ], + "R": [ 167 ] + } + }, + "FD_la": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10121.5-10126.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1577 ], + "Q": [ 1578 ], + "R": [ 167 ] + } + }, + "FD_lb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10131.5-10136.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1579 ], + "Q": [ 1580 ], + "R": [ 167 ] + } + }, + "FD_lc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10141.5-10146.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1581 ], + "Q": [ 1582 ], + "R": [ 167 ] + } + }, + "FD_ld": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10151.5-10156.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1583 ], + "Q": [ 1584 ], + "R": [ 167 ] + } + }, + "FD_le": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10161.5-10166.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1585 ], + "Q": [ 1586 ], + "R": [ 167 ] + } + }, + "FD_lf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10171.5-10176.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1587 ], + "Q": [ 1588 ], + "R": [ 167 ] + } + }, + "FD_lg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10181.5-10186.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1589 ], + "Q": [ 1590 ], + "R": [ 167 ] + } + }, + "FD_lh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10191.5-10196.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1591 ], + "Q": [ 1592 ], + "R": [ 167 ] + } + }, + "FD_li": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10201.5-10206.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1593 ], + "Q": [ 1594 ], + "R": [ 167 ] + } + }, + "FD_lj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10211.5-10216.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1595 ], + "Q": [ 1596 ], + "R": [ 167 ] + } + }, + "FD_lk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10221.5-10226.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1597 ], + "Q": [ 1598 ], + "R": [ 167 ] + } + }, + "FD_ll": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10231.5-10236.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1599 ], + "Q": [ 1600 ], + "R": [ 167 ] + } + }, + "FD_lm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10241.5-10246.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1601 ], + "Q": [ 1602 ], + "R": [ 167 ] + } + }, + "FD_ln": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10251.5-10256.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1603 ], + "Q": [ 1037 ], + "R": [ 167 ] + } + }, + "FD_lo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10261.5-10266.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1604 ], + "Q": [ 1605 ], + "R": [ 167 ] + } + }, + "FD_lp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10271.5-10276.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1606 ], + "Q": [ 1607 ], + "R": [ 167 ] + } + }, + "FD_m": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10281.5-10286.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1608 ], + "Q": [ 1609 ], + "R": [ 167 ] + } + }, + "FD_ma": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10291.5-10296.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1610 ], + "Q": [ 1611 ], + "R": [ 167 ] + } + }, + "FD_mb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10301.5-10306.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1612 ], + "Q": [ 1613 ], + "R": [ 167 ] + } + }, + "FD_mc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10311.5-10316.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1614 ], + "Q": [ 1615 ], + "R": [ 167 ] + } + }, + "FD_md": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10321.5-10326.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1616 ], + "Q": [ 1617 ], + "R": [ 167 ] + } + }, + "FD_me": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10331.5-10336.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1618 ], + "Q": [ 1619 ], + "R": [ 167 ] + } + }, + "FD_mf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10341.5-10346.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1620 ], + "Q": [ 1412 ], + "R": [ 167 ] + } + }, + "FD_mg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10351.5-10356.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1621 ], + "Q": [ 1622 ], + "R": [ 167 ] + } + }, + "FD_mh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10361.5-10366.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1623 ], + "Q": [ 1624 ], + "R": [ 167 ] + } + }, + "FD_mi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10371.5-10376.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1625 ], + "Q": [ 1626 ], + "R": [ 167 ] + } + }, + "FD_mj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10381.5-10386.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1627 ], + "Q": [ 1628 ], + "R": [ 167 ] + } + }, + "FD_mk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10391.5-10396.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1629 ], + "Q": [ 1630 ], + "R": [ 167 ] + } + }, + "FD_ml": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10401.5-10406.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1631 ], + "Q": [ 1408 ], + "R": [ 167 ] + } + }, + "FD_mm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10411.5-10416.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1632 ], + "Q": [ 1633 ], + "R": [ 167 ] + } + }, + "FD_mn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10421.5-10426.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1634 ], + "Q": [ 1410 ], + "R": [ 167 ] + } + }, + "FD_mo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10431.5-10436.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1635 ], + "Q": [ 1636 ], + "R": [ 167 ] + } + }, + "FD_mp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10441.5-10446.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1637 ], + "Q": [ 1638 ], + "R": [ 167 ] + } + }, + "FD_n": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10451.5-10456.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1639 ], + "Q": [ 1031 ], + "R": [ 167 ] + } + }, + "FD_na": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10461.5-10466.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1640 ], + "Q": [ 1641 ], + "R": [ 167 ] + } + }, + "FD_nb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10471.5-10476.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1642 ], + "Q": [ 1643 ], + "R": [ 167 ] + } + }, + "FD_nc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10481.5-10486.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1644 ], + "Q": [ 1645 ], + "R": [ 167 ] + } + }, + "FD_nd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10491.5-10496.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1646 ], + "Q": [ 1647 ], + "R": [ 167 ] + } + }, + "FD_ne": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10501.5-10506.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1648 ], + "Q": [ 1649 ], + "R": [ 167 ] + } + }, + "FD_nf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10511.5-10516.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1650 ], + "Q": [ 1394 ], + "R": [ 167 ] + } + }, + "FD_ng": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10521.5-10526.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1651 ], + "Q": [ 1652 ], + "R": [ 167 ] + } + }, + "FD_nh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10531.5-10536.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1653 ], + "Q": [ 1654 ], + "R": [ 167 ] + } + }, + "FD_ni": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10541.5-10546.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1655 ], + "Q": [ 1656 ], + "R": [ 167 ] + } + }, + "FD_nj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10551.5-10556.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1657 ], + "Q": [ 1414 ], + "R": [ 167 ] + } + }, + "FD_nk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10561.5-10566.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1658 ], + "Q": [ 1659 ], + "R": [ 167 ] + } + }, + "FD_nl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10571.5-10576.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1660 ], + "Q": [ 1661 ], + "R": [ 167 ] + } + }, + "FD_nm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10581.5-10586.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1662 ], + "Q": [ 1416 ], + "R": [ 167 ] + } + }, + "FD_nn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10591.5-10596.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1663 ], + "Q": [ 1664 ], + "R": [ 167 ] + } + }, + "FD_no": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10601.5-10606.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1665 ], + "Q": [ 1666 ], + "R": [ 167 ] + } + }, + "FD_np": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10611.5-10616.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1667 ], + "Q": [ 1419 ], + "R": [ 167 ] + } + }, + "FD_o": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10621.5-10626.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1668 ], + "Q": [ 1669 ], + "R": [ 167 ] + } + }, + "FD_oa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10631.5-10636.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1670 ], + "Q": [ 1403 ], + "R": [ 167 ] + } + }, + "FD_ob": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10641.5-10646.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1671 ], + "Q": [ 1672 ], + "R": [ 167 ] + } + }, + "FD_oc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10651.5-10656.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1673 ], + "Q": [ 1674 ], + "R": [ 167 ] + } + }, + "FD_od": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10661.5-10666.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1675 ], + "Q": [ 1676 ], + "R": [ 167 ] + } + }, + "FD_oe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10671.5-10676.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1677 ], + "Q": [ 1678 ], + "R": [ 167 ] + } + }, + "FD_of": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10681.5-10686.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1679 ], + "Q": [ 1680 ], + "R": [ 167 ] + } + }, + "FD_og": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10691.5-10696.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1681 ], + "Q": [ 1396 ], + "R": [ 167 ] + } + }, + "FD_oh": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10701.5-10706.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1682 ], + "Q": [ 1683 ], + "R": [ 167 ] + } + }, + "FD_oi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10711.5-10716.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1684 ], + "Q": [ 1685 ], + "R": [ 167 ] + } + }, + "FD_oj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10721.5-10726.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1686 ], + "Q": [ 1687 ], + "R": [ 167 ] + } + }, + "FD_ok": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10731.5-10736.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1688 ], + "Q": [ 1689 ], + "R": [ 167 ] + } + }, + "FD_ol": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10741.5-10746.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1690 ], + "Q": [ 1399 ], + "R": [ 167 ] + } + }, + "FD_om": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10751.5-10756.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1691 ], + "Q": [ 1692 ], + "R": [ 167 ] + } + }, + "FD_on": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10761.5-10766.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1693 ], + "Q": [ 1401 ], + "R": [ 167 ] + } + }, + "FD_oo": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10771.5-10776.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1694 ], + "Q": [ 1695 ], + "R": [ 167 ] + } + }, + "FD_op": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10781.5-10786.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1696 ], + "Q": [ 1697 ], + "R": [ 167 ] + } + }, + "FD_p": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10791.5-10796.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1698 ], + "Q": [ 1699 ], + "R": [ 167 ] + } + }, + "FD_pa": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10801.5-10806.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1700 ], + "Q": [ 1701 ], + "R": [ 167 ] + } + }, + "FD_pb": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10811.5-10816.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1702 ], + "Q": [ 1703 ], + "R": [ 167 ] + } + }, + "FD_pc": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10821.5-10826.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1704 ], + "Q": [ 1705 ], + "R": [ 167 ] + } + }, + "FD_pd": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10831.5-10836.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1706 ], + "Q": [ 1707 ], + "R": [ 167 ] + } + }, + "FD_pe": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10841.5-10846.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1708 ], + "Q": [ 1709 ], + "R": [ 167 ] + } + }, + "FD_pf": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10851.5-10856.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1710 ], + "Q": [ 1711 ], + "R": [ 167 ] + } + }, + "FD_pg": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10861.5-10866.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1712 ], + "Q": [ 1713 ], + "R": [ 167 ] + } + }, + "FD_ph": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10871.5-10876.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1714 ], + "Q": [ 931 ], + "R": [ 167 ] + } + }, + "FD_pi": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10881.5-10886.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1715 ], + "Q": [ 933 ], + "R": [ 167 ] + } + }, + "FD_pj": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10891.5-10896.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1716 ], + "Q": [ 1717 ], + "R": [ 167 ] + } + }, + "FD_pk": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10901.5-10906.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1718 ], + "Q": [ 1719 ], + "R": [ 167 ] + } + }, + "FD_pl": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10911.5-10916.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1720 ], + "Q": [ 1721 ], + "R": [ 167 ] + } + }, + "FD_pm": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10921.5-10926.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1722 ], + "Q": [ 1723 ], + "R": [ 167 ] + } + }, + "FD_pn": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10931.5-10936.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1724 ], + "Q": [ 1725 ], + "R": [ 167 ] + } + }, + "FD_po": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10941.5-10946.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1726 ], + "Q": [ 935 ], + "R": [ 167 ] + } + }, + "FD_pp": { + "hide_name": 0, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "XILINX_LEGACY_PRIM": "FD", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10951.5-10956.19" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 163 ], + "CE": [ 164 ], + "D": [ 1727 ], + "Q": [ 937 ], + "R": [ 167 ] + } + }, + "GND": { + "hide_name": 0, + "type": "GND", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10957.7-10958.19" + }, + "port_directions": { + "G": "output" + }, + "connections": { + "G": [ 167 ] + } + }, + "LUT2_0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10962.5-10965.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1728 ], + "I1": [ 1729 ], + "O": [ 1730 ] + } + }, + "LUT2_1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10969.5-10972.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1731 ], + "I1": [ 1732 ], + "O": [ 1568 ] + } + }, + "LUT2_10": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10976.5-10979.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1733 ], + "I1": [ 1734 ], + "O": [ 1681 ] + } + }, + "LUT2_100": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10983.5-10986.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1735 ], + "I1": [ 217 ], + "O": [ 1736 ] + } + }, + "LUT2_101": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10990.5-10993.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1296 ], + "I1": [ 1737 ], + "O": [ 1738 ] + } + }, + "LUT2_102": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:10997.5-11000.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1296 ], + "I1": [ 1292 ], + "O": [ 1739 ] + } + }, + "LUT2_103": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11004.5-11007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1740 ], + "I1": [ 1741 ], + "O": [ 1338 ] + } + }, + "LUT2_104": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11011.5-11014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1019 ], + "I1": [ 1056 ], + "O": [ 1742 ] + } + }, + "LUT2_105": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11018.5-11021.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1058 ], + "I1": [ 1260 ], + "O": [ 1743 ] + } + }, + "LUT2_106": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11025.5-11028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1744 ], + "I1": [ 1056 ], + "O": [ 1745 ] + } + }, + "LUT2_107": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11032.5-11035.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1746 ], + "I1": [ 1747 ], + "O": [ 1748 ] + } + }, + "LUT2_108": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11039.5-11042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1748 ], + "I1": [ 1060 ], + "O": [ 1251 ] + } + }, + "LUT2_109": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11046.5-11049.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1749 ], + "I1": [ 1750 ], + "O": [ 1746 ] + } + }, + "LUT2_10a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11053.5-11056.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1062 ], + "I1": [ 1064 ], + "O": [ 1751 ] + } + }, + "LUT2_10b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11060.5-11063.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1751 ], + "I1": [ 1744 ], + "O": [ 1752 ] + } + }, + "LUT2_10c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11067.5-11070.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1753 ], + "I1": [ 1749 ], + "O": [ 1754 ] + } + }, + "LUT2_10d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11074.5-11077.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1755 ], + "I1": [ 1756 ], + "O": [ 1336 ] + } + }, + "LUT2_10e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11081.5-11084.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1066 ], + "I1": [ 1001 ], + "O": [ 1757 ] + } + }, + "LUT2_10f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11088.5-11091.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1758 ], + "I1": [ 1254 ], + "O": [ 1759 ] + } + }, + "LUT2_11": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11095.5-11098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1760 ], + "I1": [ 1761 ], + "O": [ 1621 ] + } + }, + "LUT2_110": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11102.5-11105.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1262 ], + "I1": [ 1401 ], + "O": [ 1762 ] + } + }, + "LUT2_111": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11109.5-11112.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1697 ], + "I1": [ 1280 ], + "O": [ 1763 ] + } + }, + "LUT2_112": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11116.5-11119.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1068 ], + "I1": [ 1636 ], + "O": [ 1764 ] + } + }, + "LUT2_113": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11123.5-11126.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1765 ], + "I1": [ 1766 ], + "O": [ 1385 ] + } + }, + "LUT2_114": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11130.5-11133.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1636 ], + "I1": [ 1070 ], + "O": [ 1767 ] + } + }, + "LUT2_115": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11137.5-11140.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1768 ], + "I1": [ 269 ], + "O": [ 1769 ] + } + }, + "LUT2_116": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11144.5-11147.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 543 ], + "I1": [ 1072 ], + "O": [ 1770 ] + } + }, + "LUT2_117": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11151.5-11154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1344 ], + "I1": [ 1771 ], + "O": [ 1772 ] + } + }, + "LUT2_118": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11158.5-11161.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1773 ], + "I1": [ 1774 ], + "O": [ 1193 ] + } + }, + "LUT2_119": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11165.5-11168.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1773 ], + "I1": [ 1774 ], + "O": [ 1371 ] + } + }, + "LUT2_11a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11172.5-11175.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1775 ], + "I1": [ 1382 ], + "O": [ 1776 ] + } + }, + "LUT2_11b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11179.5-11182.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1335 ], + "O": [ 1777 ] + } + }, + "LUT2_11c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11186.5-11189.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1778 ], + "I1": [ 1779 ], + "O": [ 1541 ] + } + }, + "LUT2_11d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11193.5-11196.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1778 ], + "I1": [ 1779 ], + "O": [ 1273 ] + } + }, + "LUT2_11e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11200.5-11203.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1044 ], + "I1": [ 1356 ], + "O": [ 1775 ] + } + }, + "LUT2_11f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11207.5-11210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1780 ], + "I1": [ 1044 ], + "O": [ 1781 ] + } + }, + "LUT2_12": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11214.5-11217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1782 ], + "I1": [ 1783 ], + "O": [ 1784 ] + } + }, + "LUT2_120": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11221.5-11224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1785 ], + "I1": [ 1786 ], + "O": [ 1197 ] + } + }, + "LUT2_121": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11228.5-11231.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1360 ], + "I1": [ 1139 ], + "O": [ 1787 ] + } + }, + "LUT2_122": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11235.5-11238.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1298 ], + "I1": [ 1276 ], + "O": [ 1788 ] + } + }, + "LUT2_123": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11242.5-11245.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1180 ], + "O": [ 1789 ] + } + }, + "LUT2_124": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11249.5-11252.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1790 ], + "I1": [ 1344 ], + "O": [ 1791 ] + } + }, + "LUT2_125": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11256.5-11259.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1252 ], + "I1": [ 977 ], + "O": [ 1792 ] + } + }, + "LUT2_126": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11263.5-11266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1218 ], + "I1": [ 1389 ], + "O": [ 1793 ] + } + }, + "LUT2_127": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11270.5-11273.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1246 ], + "I1": [ 1218 ], + "O": [ 1794 ] + } + }, + "LUT2_128": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11277.5-11280.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1046 ], + "I1": [ 1121 ], + "O": [ 1795 ] + } + }, + "LUT2_129": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11284.5-11287.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1796 ], + "I1": [ 1797 ], + "O": [ 1798 ] + } + }, + "LUT2_12a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11291.5-11294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1799 ], + "I1": [ 1800 ], + "O": [ 1801 ] + } + }, + "LUT2_12b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11298.5-11301.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1801 ], + "I1": [ 1802 ], + "O": [ 1191 ] + } + }, + "LUT2_13": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11305.5-11308.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 539 ], + "I1": [ 933 ], + "O": [ 1803 ] + } + }, + "LUT2_14": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11312.5-11315.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 1039 ], + "O": [ 1804 ] + } + }, + "LUT2_15": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11319.5-11322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1805 ], + "I1": [ 1633 ], + "O": [ 1806 ] + } + }, + "LUT2_16": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11326.5-11329.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1600 ], + "I1": [ 483 ], + "O": [ 1807 ] + } + }, + "LUT2_17": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11333.5-11336.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1808 ], + "I1": [ 1809 ], + "O": [ 1593 ] + } + }, + "LUT2_18": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11340.5-11343.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1810 ], + "I1": [ 1808 ], + "O": [ 1644 ] + } + }, + "LUT2_19": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11347.5-11350.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1811 ], + "I1": [ 1812 ], + "O": [ 1688 ] + } + }, + "LUT2_1a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11354.5-11357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1811 ], + "I1": [ 1812 ], + "O": [ 1677 ] + } + }, + "LUT2_1b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11361.5-11364.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1813 ], + "I1": [ 1814 ], + "O": [ 1815 ] + } + }, + "LUT2_1c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11368.5-11371.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1544 ], + "I1": [ 233 ], + "O": [ 1816 ] + } + }, + "LUT2_1d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11375.5-11378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1580 ], + "I1": [ 1817 ], + "O": [ 1595 ] + } + }, + "LUT2_1e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11382.5-11385.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1819 ], + "O": [ 1642 ] + } + }, + "LUT2_1f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11389.5-11392.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1652 ], + "I1": [ 1139 ], + "O": [ 1820 ] + } + }, + "LUT2_2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11396.5-11399.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1548 ], + "I1": [ 1821 ], + "O": [ 1668 ] + } + }, + "LUT2_20": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11403.5-11406.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 957 ], + "I1": [ 1822 ], + "O": [ 1597 ] + } + }, + "LUT2_21": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11410.5-11413.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 957 ], + "I1": [ 1820 ], + "O": [ 1823 ] + } + }, + "LUT2_22": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11417.5-11420.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 937 ], + "I1": [ 1719 ], + "O": [ 1824 ] + } + }, + "LUT2_23": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11424.5-11427.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1825 ], + "I1": [ 1826 ], + "O": [ 1827 ] + } + }, + "LUT2_24": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11431.5-11434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1828 ], + "I1": [ 759 ], + "O": [ 1829 ] + } + }, + "LUT2_25": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11438.5-11441.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1830 ], + "I1": [ 1831 ], + "O": [ 1832 ] + } + }, + "LUT2_26": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11445.5-11448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1830 ], + "I1": [ 1829 ], + "O": [ 1833 ] + } + }, + "LUT2_27": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11452.5-11455.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1830 ], + "I1": [ 1829 ], + "O": [ 1653 ] + } + }, + "LUT2_28": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11459.5-11462.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1664 ], + "I1": [ 1656 ], + "O": [ 1834 ] + } + }, + "LUT2_29": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11466.5-11469.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 947 ], + "I1": [ 837 ], + "O": [ 1835 ] + } + }, + "LUT2_2a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11473.5-11476.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 947 ], + "I1": [ 1628 ], + "O": [ 1836 ] + } + }, + "LUT2_2b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11480.5-11483.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1837 ], + "I1": [ 1396 ], + "O": [ 1838 ] + } + }, + "LUT2_2c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11487.5-11490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1839 ], + "I1": [ 1840 ], + "O": [ 1727 ] + } + }, + "LUT2_2d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11494.5-11497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 193 ], + "O": [ 1841 ] + } + }, + "LUT2_2e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11501.5-11504.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 487 ], + "I1": [ 193 ], + "O": [ 1842 ] + } + }, + "LUT2_2f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11508.5-11511.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1244 ], + "I1": [ 533 ], + "O": [ 1843 ] + } + }, + "LUT2_3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11515.5-11518.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 489 ], + "I1": [ 1280 ], + "O": [ 1844 ] + } + }, + "LUT2_30": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11522.5-11525.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1845 ], + "I1": [ 1846 ], + "O": [ 1847 ] + } + }, + "LUT2_31": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11529.5-11532.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1337 ], + "I1": [ 179 ], + "O": [ 1134 ] + } + }, + "LUT2_32": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11536.5-11539.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 500 ], + "I1": [ 1848 ], + "O": [ 576 ] + } + }, + "LUT2_33": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11543.5-11546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 831 ], + "I1": [ 1849 ], + "O": [ 1850 ] + } + }, + "LUT2_34": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11550.5-11553.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1851 ], + "I1": [ 250 ], + "O": [ 536 ] + } + }, + "LUT2_35": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11557.5-11560.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1852 ], + "I1": [ 1274 ], + "O": [ 1853 ] + } + }, + "LUT2_36": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11564.5-11567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1854 ], + "I1": [ 1855 ], + "O": [ 1856 ] + } + }, + "LUT2_37": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11571.5-11574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1857 ], + "I1": [ 1858 ], + "O": [ 502 ] + } + }, + "LUT2_38": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11578.5-11581.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1859 ], + "I1": [ 1860 ], + "O": [ 1861 ] + } + }, + "LUT2_39": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11585.5-11588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 921 ], + "I1": [ 371 ], + "O": [ 1862 ] + } + }, + "LUT2_3a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11592.5-11595.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1862 ], + "I1": [ 205 ], + "O": [ 1863 ] + } + }, + "LUT2_3b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11599.5-11602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 921 ], + "I1": [ 1864 ], + "O": [ 508 ] + } + }, + "LUT2_3c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11606.5-11609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 699 ], + "I1": [ 203 ], + "O": [ 1865 ] + } + }, + "LUT2_3d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11613.5-11616.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1866 ], + "I1": [ 1867 ], + "O": [ 1868 ] + } + }, + "LUT2_3e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11620.5-11623.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1869 ], + "I1": [ 1870 ], + "O": [ 170 ] + } + }, + "LUT2_3f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11627.5-11630.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1869 ], + "I1": [ 1870 ], + "O": [ 484 ] + } + }, + "LUT2_4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11634.5-11637.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1871 ], + "I1": [ 1728 ], + "O": [ 1872 ] + } + }, + "LUT2_40": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11641.5-11644.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 191 ], + "I1": [ 561 ], + "O": [ 1873 ] + } + }, + "LUT2_41": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11648.5-11651.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 499 ], + "O": [ 1874 ] + } + }, + "LUT2_42": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11655.5-11658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1875 ], + "I1": [ 1876 ], + "O": [ 260 ] + } + }, + "LUT2_43": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11662.5-11665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1877 ], + "I1": [ 729 ], + "O": [ 1878 ] + } + }, + "LUT2_44": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11669.5-11672.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1878 ], + "I1": [ 1877 ], + "O": [ 1879 ] + } + }, + "LUT2_45": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11676.5-11679.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1880 ], + "I1": [ 237 ], + "O": [ 1881 ] + } + }, + "LUT2_46": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11683.5-11686.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1880 ], + "I1": [ 237 ], + "O": [ 1882 ] + } + }, + "LUT2_47": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11690.5-11693.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 313 ], + "I1": [ 1883 ], + "O": [ 1884 ] + } + }, + "LUT2_48": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11697.5-11700.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1885 ], + "I1": [ 377 ], + "O": [ 318 ] + } + }, + "LUT2_49": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11704.5-11707.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 377 ], + "O": [ 290 ] + } + }, + "LUT2_4a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11711.5-11714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1887 ], + "I1": [ 1888 ], + "O": [ 1889 ] + } + }, + "LUT2_4b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11718.5-11721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 377 ], + "I1": [ 297 ], + "O": [ 1888 ] + } + }, + "LUT2_4c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11725.5-11728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 335 ], + "I1": [ 775 ], + "O": [ 1890 ] + } + }, + "LUT2_4d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11732.5-11735.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 775 ], + "I1": [ 275 ], + "O": [ 1891 ] + } + }, + "LUT2_4e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11739.5-11742.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1892 ], + "I1": [ 625 ], + "O": [ 1893 ] + } + }, + "LUT2_4f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11746.5-11749.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1892 ], + "I1": [ 625 ], + "O": [ 1894 ] + } + }, + "LUT2_5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11753.5-11756.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 235 ], + "I1": [ 1895 ], + "O": [ 1896 ] + } + }, + "LUT2_50": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11760.5-11763.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1897 ], + "I1": [ 1898 ], + "O": [ 246 ] + } + }, + "LUT2_51": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11767.5-11770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1899 ], + "I1": [ 1900 ], + "O": [ 280 ] + } + }, + "LUT2_52": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11774.5-11777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1901 ], + "I1": [ 1902 ], + "O": [ 242 ] + } + }, + "LUT2_53": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11781.5-11784.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1903 ], + "I1": [ 1904 ], + "O": [ 1905 ] + } + }, + "LUT2_54": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11788.5-11791.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 775 ], + "I1": [ 1906 ], + "O": [ 298 ] + } + }, + "LUT2_55": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11795.5-11798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 775 ], + "I1": [ 1907 ], + "O": [ 1908 ] + } + }, + "LUT2_56": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11802.5-11805.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 775 ], + "I1": [ 1907 ], + "O": [ 1909 ] + } + }, + "LUT2_57": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11809.5-11812.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1877 ], + "I1": [ 1910 ], + "O": [ 1911 ] + } + }, + "LUT2_58": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11816.5-11819.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1912 ], + "I1": [ 1913 ], + "O": [ 1124 ] + } + }, + "LUT2_59": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11823.5-11826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1914 ], + "I1": [ 1915 ], + "O": [ 1916 ] + } + }, + "LUT2_5a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11830.5-11833.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1914 ], + "I1": [ 1915 ], + "O": [ 1917 ] + } + }, + "LUT2_5b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11837.5-11840.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 587 ], + "I1": [ 285 ], + "O": [ 1918 ] + } + }, + "LUT2_5c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11844.5-11847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 587 ], + "I1": [ 285 ], + "O": [ 1919 ] + } + }, + "LUT2_5d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11851.5-11854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 632 ], + "I1": [ 1920 ], + "O": [ 352 ] + } + }, + "LUT2_5e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11858.5-11861.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 339 ], + "I1": [ 1638 ], + "O": [ 1921 ] + } + }, + "LUT2_5f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11865.5-11868.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 405 ], + "I1": [ 1922 ], + "O": [ 626 ] + } + }, + "LUT2_6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11872.5-11875.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1048 ], + "I1": [ 1923 ], + "O": [ 1924 ] + } + }, + "LUT2_60": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11879.5-11882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 405 ], + "I1": [ 1922 ], + "O": [ 362 ] + } + }, + "LUT2_61": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11886.5-11889.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 649 ], + "I1": [ 1137 ], + "O": [ 1925 ] + } + }, + "LUT2_62": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11893.5-11896.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1925 ], + "O": [ 310 ] + } + }, + "LUT2_63": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11900.5-11903.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1926 ], + "I1": [ 1925 ], + "O": [ 322 ] + } + }, + "LUT2_64": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11907.5-11910.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1927 ], + "I1": [ 1928 ], + "O": [ 642 ] + } + }, + "LUT2_65": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11914.5-11917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 1929 ], + "O": [ 1930 ] + } + }, + "LUT2_66": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11921.5-11924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1931 ], + "I1": [ 1930 ], + "O": [ 582 ] + } + }, + "LUT2_67": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11928.5-11931.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 661 ], + "I1": [ 497 ], + "O": [ 1932 ] + } + }, + "LUT2_68": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11935.5-11938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 279 ], + "I1": [ 705 ], + "O": [ 1933 ] + } + }, + "LUT2_69": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11942.5-11945.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1933 ], + "I1": [ 279 ], + "O": [ 1934 ] + } + }, + "LUT2_6a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11949.5-11952.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1935 ], + "I1": [ 1936 ], + "O": [ 308 ] + } + }, + "LUT2_6b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11956.5-11959.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1936 ], + "I1": [ 871 ], + "O": [ 1937 ] + } + }, + "LUT2_6c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11963.5-11966.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1938 ], + "I1": [ 1936 ], + "O": [ 332 ] + } + }, + "LUT2_6d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11970.5-11973.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1939 ], + "I1": [ 1940 ], + "O": [ 1941 ] + } + }, + "LUT2_6e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11977.5-11980.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 365 ], + "I1": [ 580 ], + "O": [ 1942 ] + } + }, + "LUT2_6f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11984.5-11987.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1942 ], + "I1": [ 1941 ], + "O": [ 344 ] + } + }, + "LUT2_7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11991.5-11994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 817 ], + "I1": [ 981 ], + "O": [ 1943 ] + } + }, + "LUT2_70": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:11998.5-12001.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1941 ], + "I1": [ 1942 ], + "O": [ 586 ] + } + }, + "LUT2_71": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12005.5-12008.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 1944 ], + "O": [ 328 ] + } + }, + "LUT2_72": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12012.5-12015.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1945 ], + "I1": [ 1946 ], + "O": [ 588 ] + } + }, + "LUT2_73": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12019.5-12022.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1947 ], + "I1": [ 1948 ], + "O": [ 606 ] + } + }, + "LUT2_74": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12026.5-12029.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1949 ], + "I1": [ 1950 ], + "O": [ 592 ] + } + }, + "LUT2_75": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12033.5-12036.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1951 ], + "I1": [ 1952 ], + "O": [ 720 ] + } + }, + "LUT2_76": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12040.5-12043.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1952 ], + "I1": [ 1951 ], + "O": [ 672 ] + } + }, + "LUT2_77": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12047.5-12050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 371 ], + "I1": [ 723 ], + "O": [ 1953 ] + } + }, + "LUT2_78": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12054.5-12057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1954 ], + "I1": [ 1955 ], + "O": [ 674 ] + } + }, + "LUT2_79": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12061.5-12064.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 751 ], + "I1": [ 1956 ], + "O": [ 1954 ] + } + }, + "LUT2_7a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12068.5-12071.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1957 ], + "I1": [ 725 ], + "O": [ 698 ] + } + }, + "LUT2_7b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12075.5-12078.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 751 ], + "O": [ 1956 ] + } + }, + "LUT2_7c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12082.5-12085.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 733 ], + "I1": [ 705 ], + "O": [ 700 ] + } + }, + "LUT2_7d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12089.5-12092.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 691 ], + "I1": [ 1959 ], + "O": [ 272 ] + } + }, + "LUT2_7e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12096.5-12099.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 691 ], + "I1": [ 1960 ], + "O": [ 682 ] + } + }, + "LUT2_7f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12103.5-12106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 283 ], + "I1": [ 717 ], + "O": [ 1961 ] + } + }, + "LUT2_8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12110.5-12113.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1565 ], + "I1": [ 845 ], + "O": [ 1962 ] + } + }, + "LUT2_80": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12117.5-12120.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1963 ], + "I1": [ 1961 ], + "O": [ 692 ] + } + }, + "LUT2_81": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12124.5-12127.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1964 ], + "I1": [ 1965 ], + "O": [ 728 ] + } + }, + "LUT2_82": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12131.5-12134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 233 ], + "I1": [ 1966 ], + "O": [ 1964 ] + } + }, + "LUT2_83": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12138.5-12141.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 1967 ], + "O": [ 1968 ] + } + }, + "LUT2_84": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12145.5-12148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1969 ], + "I1": [ 728 ], + "O": [ 1970 ] + } + }, + "LUT2_85": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12152.5-12155.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1970 ], + "I1": [ 1971 ], + "O": [ 724 ] + } + }, + "LUT2_86": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12159.5-12162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1972 ], + "I1": [ 1973 ], + "O": [ 294 ] + } + }, + "LUT2_87": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12166.5-12169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1972 ], + "I1": [ 1973 ], + "O": [ 296 ] + } + }, + "LUT2_88": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12173.5-12176.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1974 ], + "I1": [ 389 ], + "O": [ 1975 ] + } + }, + "LUT2_89": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12180.5-12183.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1974 ], + "I1": [ 389 ], + "O": [ 284 ] + } + }, + "LUT2_8a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12187.5-12190.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 197 ], + "I1": [ 1976 ], + "O": [ 1977 ] + } + }, + "LUT2_8b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12194.5-12197.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1978 ], + "I1": [ 651 ], + "O": [ 654 ] + } + }, + "LUT2_8c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12201.5-12204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1133 ], + "I1": [ 527 ], + "O": [ 1979 ] + } + }, + "LUT2_8d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12208.5-12211.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1133 ], + "I1": [ 527 ], + "O": [ 1980 ] + } + }, + "LUT2_8e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12215.5-12218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 1981 ], + "O": [ 660 ] + } + }, + "LUT2_8f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12222.5-12225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 683 ], + "I1": [ 717 ], + "O": [ 1982 ] + } + }, + "LUT2_9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12229.5-12232.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1304 ], + "I1": [ 1983 ], + "O": [ 1575 ] + } + }, + "LUT2_90": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12236.5-12239.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1984 ], + "I1": [ 879 ], + "O": [ 1985 ] + } + }, + "LUT2_91": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12243.5-12246.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1984 ], + "I1": [ 891 ], + "O": [ 1986 ] + } + }, + "LUT2_92": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12250.5-12253.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 809 ], + "I1": [ 1986 ], + "O": [ 1987 ] + } + }, + "LUT2_93": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12257.5-12260.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 523 ], + "I1": [ 543 ], + "O": [ 1988 ] + } + }, + "LUT2_94": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12264.5-12267.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 399 ], + "I1": [ 423 ], + "O": [ 1989 ] + } + }, + "LUT2_95": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12271.5-12274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1990 ], + "I1": [ 1991 ], + "O": [ 434 ] + } + }, + "LUT2_96": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12278.5-12281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 861 ], + "I1": [ 1992 ], + "O": [ 1993 ] + } + }, + "LUT2_97": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12285.5-12288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1994 ], + "I1": [ 900 ], + "O": [ 774 ] + } + }, + "LUT2_98": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12292.5-12295.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 911 ], + "I1": [ 1995 ], + "O": [ 1994 ] + } + }, + "LUT2_99": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12299.5-12302.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 481 ], + "I1": [ 403 ], + "O": [ 1996 ] + } + }, + "LUT2_9a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12306.5-12309.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1997 ], + "I1": [ 785 ], + "O": [ 1998 ] + } + }, + "LUT2_9b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12313.5-12316.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1999 ], + "I1": [ 2000 ], + "O": [ 896 ] + } + }, + "LUT2_9c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12320.5-12323.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2001 ], + "I1": [ 510 ], + "O": [ 862 ] + } + }, + "LUT2_9d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12327.5-12330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2002 ], + "I1": [ 891 ], + "O": [ 2003 ] + } + }, + "LUT2_9e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12334.5-12337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2002 ], + "I1": [ 891 ], + "O": [ 2004 ] + } + }, + "LUT2_9f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12341.5-12344.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2005 ], + "I1": [ 2006 ], + "O": [ 2007 ] + } + }, + "LUT2_a": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12348.5-12351.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1607 ], + "I1": [ 995 ], + "O": [ 2008 ] + } + }, + "LUT2_a0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12355.5-12358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2009 ], + "I1": [ 2010 ], + "O": [ 422 ] + } + }, + "LUT2_a1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12362.5-12365.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2010 ], + "I1": [ 2011 ], + "O": [ 404 ] + } + }, + "LUT2_a2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12369.5-12372.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 755 ], + "I1": [ 1399 ], + "O": [ 2012 ] + } + }, + "LUT2_a3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12376.5-12379.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 385 ], + "I1": [ 2013 ], + "O": [ 386 ] + } + }, + "LUT2_a4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12383.5-12386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2009 ], + "I1": [ 572 ], + "O": [ 902 ] + } + }, + "LUT2_a5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12390.5-12393.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 815 ], + "I1": [ 723 ], + "O": [ 2014 ] + } + }, + "LUT2_a6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12397.5-12400.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 379 ], + "I1": [ 485 ], + "O": [ 2015 ] + } + }, + "LUT2_a7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12404.5-12407.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2016 ], + "I1": [ 2017 ], + "O": [ 824 ] + } + }, + "LUT2_a8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12411.5-12414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2018 ], + "I1": [ 1984 ], + "O": [ 822 ] + } + }, + "LUT2_a9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12418.5-12421.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2018 ], + "I1": [ 2019 ], + "O": [ 876 ] + } + }, + "LUT2_aa": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12425.5-12428.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2018 ], + "I1": [ 2019 ], + "O": [ 754 ] + } + }, + "LUT2_ab": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12432.5-12435.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 905 ], + "I1": [ 2020 ], + "O": [ 830 ] + } + }, + "LUT2_ac": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12439.5-12442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 905 ], + "I1": [ 2020 ], + "O": [ 798 ] + } + }, + "LUT2_ad": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12446.5-12449.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 439 ], + "I1": [ 2021 ], + "O": [ 872 ] + } + }, + "LUT2_ae": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12453.5-12456.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 677 ], + "I1": [ 1466 ], + "O": [ 2022 ] + } + }, + "LUT2_af": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12460.5-12463.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 901 ], + "I1": [ 677 ], + "O": [ 2023 ] + } + }, + "LUT2_b": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12467.5-12470.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1615 ], + "I1": [ 1707 ], + "O": [ 2024 ] + } + }, + "LUT2_b0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12474.5-12477.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2025 ], + "I1": [ 2026 ], + "O": [ 810 ] + } + }, + "LUT2_b1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12481.5-12484.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2027 ], + "I1": [ 2028 ], + "O": [ 450 ] + } + }, + "LUT2_b2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12488.5-12491.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2029 ], + "I1": [ 2030 ], + "O": [ 864 ] + } + }, + "LUT2_b3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12495.5-12498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2029 ], + "I1": [ 2030 ], + "O": [ 448 ] + } + }, + "LUT2_b4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12502.5-12505.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2031 ], + "I1": [ 381 ], + "O": [ 2032 ] + } + }, + "LUT2_b5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12509.5-12512.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 857 ], + "I1": [ 419 ], + "O": [ 2033 ] + } + }, + "LUT2_b6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12516.5-12519.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1709 ], + "I1": [ 475 ], + "O": [ 2031 ] + } + }, + "LUT2_b7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12523.5-12526.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 1624 ], + "O": [ 2034 ] + } + }, + "LUT2_b8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12530.5-12533.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 1624 ], + "O": [ 2035 ] + } + }, + "LUT2_b9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12537.5-12540.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 859 ], + "I1": [ 1414 ], + "O": [ 2036 ] + } + }, + "LUT2_ba": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12544.5-12547.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 859 ], + "I1": [ 1414 ], + "O": [ 1887 ] + } + }, + "LUT2_bb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12551.5-12554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 420 ], + "I1": [ 1131 ], + "O": [ 2037 ] + } + }, + "LUT2_bc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12558.5-12561.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2037 ], + "I1": [ 2038 ], + "O": [ 808 ] + } + }, + "LUT2_bd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12565.5-12568.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2037 ], + "I1": [ 2038 ], + "O": [ 772 ] + } + }, + "LUT2_be": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12572.5-12575.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2037 ], + "I1": [ 2038 ], + "O": [ 826 ] + } + }, + "LUT2_bf": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12579.5-12582.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 195 ], + "I1": [ 471 ], + "O": [ 2039 ] + } + }, + "LUT2_c": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12586.5-12589.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2040 ], + "I1": [ 2041 ], + "O": [ 1691 ] + } + }, + "LUT2_c0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12593.5-12596.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2042 ], + "I1": [ 1641 ], + "O": [ 778 ] + } + }, + "LUT2_c1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12600.5-12603.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1966 ], + "I1": [ 2043 ], + "O": [ 834 ] + } + }, + "LUT2_c2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12607.5-12610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2044 ], + "I1": [ 909 ], + "O": [ 2045 ] + } + }, + "LUT2_c3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12614.5-12617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2044 ], + "I1": [ 909 ], + "O": [ 2046 ] + } + }, + "LUT2_c4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12621.5-12624.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 463 ], + "I1": [ 2047 ], + "O": [ 2048 ] + } + }, + "LUT2_c5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12628.5-12631.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 463 ], + "I1": [ 2049 ], + "O": [ 2050 ] + } + }, + "LUT2_c6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12635.5-12638.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2051 ], + "I1": [ 2052 ], + "O": [ 2053 ] + } + }, + "LUT2_c7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12642.5-12645.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2054 ], + "I1": [ 2055 ], + "O": [ 812 ] + } + }, + "LUT2_c8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12649.5-12652.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2056 ], + "I1": [ 1414 ], + "O": [ 2057 ] + } + }, + "LUT2_c9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12656.5-12659.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1701 ], + "I1": [ 2058 ], + "O": [ 2059 ] + } + }, + "LUT2_ca": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12663.5-12666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2060 ], + "I1": [ 1574 ], + "O": [ 2061 ] + } + }, + "LUT2_cb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12670.5-12673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2060 ], + "I1": [ 1574 ], + "O": [ 2062 ] + } + }, + "LUT2_cc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12677.5-12680.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 1680 ], + "O": [ 1009 ] + } + }, + "LUT2_cd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12684.5-12687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 1680 ], + "O": [ 2063 ] + } + }, + "LUT2_ce": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12691.5-12694.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 1571 ], + "O": [ 2064 ] + } + }, + "LUT2_cf": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12698.5-12701.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 555 ], + "I1": [ 487 ], + "O": [ 2065 ] + } + }, + "LUT2_d": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12705.5-12708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2066 ], + "I1": [ 2067 ], + "O": [ 2068 ] + } + }, + "LUT2_d0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12712.5-12715.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2069 ], + "I1": [ 2070 ], + "O": [ 1570 ] + } + }, + "LUT2_d1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12719.5-12722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2071 ], + "I1": [ 2072 ], + "O": [ 1261 ] + } + }, + "LUT2_d2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12726.5-12729.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 935 ], + "I1": [ 2073 ], + "O": [ 2074 ] + } + }, + "LUT2_d3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12733.5-12736.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1012 ], + "I1": [ 885 ], + "O": [ 2075 ] + } + }, + "LUT2_d4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12740.5-12743.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2076 ], + "I1": [ 885 ], + "O": [ 2077 ] + } + }, + "LUT2_d5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12747.5-12750.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2078 ], + "I1": [ 2077 ], + "O": [ 1041 ] + } + }, + "LUT2_d6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12754.5-12757.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2079 ], + "I1": [ 2080 ], + "O": [ 1253 ] + } + }, + "LUT2_d7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12761.5-12764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1396 ], + "I1": [ 2081 ], + "O": [ 2080 ] + } + }, + "LUT2_d8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12768.5-12771.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2082 ], + "I1": [ 1237 ], + "O": [ 2083 ] + } + }, + "LUT2_d9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12775.5-12778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2082 ], + "I1": [ 1237 ], + "O": [ 2084 ] + } + }, + "LUT2_da": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12782.5-12785.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2085 ], + "I1": [ 2086 ], + "O": [ 1007 ] + } + }, + "LUT2_db": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12789.5-12792.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2087 ], + "I1": [ 2086 ], + "O": [ 372 ] + } + }, + "LUT2_dc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12796.5-12799.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2088 ], + "I1": [ 2089 ], + "O": [ 1214 ] + } + }, + "LUT2_dd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12803.5-12806.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2090 ], + "I1": [ 2091 ], + "O": [ 1372 ] + } + }, + "LUT2_de": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12810.5-12813.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2092 ], + "I1": [ 2093 ], + "O": [ 1216 ] + } + }, + "LUT2_df": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12817.5-12820.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 919 ], + "I1": [ 2094 ], + "O": [ 2092 ] + } + }, + "LUT2_e": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12824.5-12827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 1316 ], + "O": [ 2066 ] + } + }, + "LUT2_e0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12831.5-12834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2095 ], + "I1": [ 2096 ], + "O": [ 1145 ] + } + }, + "LUT2_e1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12838.5-12841.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2097 ], + "I1": [ 2098 ], + "O": [ 816 ] + } + }, + "LUT2_e2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12845.5-12848.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 1362 ], + "O": [ 2099 ] + } + }, + "LUT2_e3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12852.5-12855.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2100 ], + "I1": [ 2101 ], + "O": [ 2102 ] + } + }, + "LUT2_e4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12859.5-12862.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 511 ], + "I1": [ 1014 ], + "O": [ 2103 ] + } + }, + "LUT2_e5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12866.5-12869.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1540 ], + "I1": [ 2104 ], + "O": [ 1120 ] + } + }, + "LUT2_e6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12873.5-12876.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1540 ], + "I1": [ 2104 ], + "O": [ 1543 ] + } + }, + "LUT2_e7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12880.5-12883.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 2106 ], + "O": [ 2107 ] + } + }, + "LUT2_e8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12887.5-12890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1360 ], + "I1": [ 1035 ], + "O": [ 2108 ] + } + }, + "LUT2_e9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12894.5-12897.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2107 ], + "I1": [ 2109 ], + "O": [ 920 ] + } + }, + "LUT2_ea": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12901.5-12904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1016 ], + "I1": [ 409 ], + "O": [ 2110 ] + } + }, + "LUT2_eb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12908.5-12911.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1707 ], + "I1": [ 2111 ], + "O": [ 2112 ] + } + }, + "LUT2_ec": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12915.5-12918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2111 ], + "I1": [ 1278 ], + "O": [ 2113 ] + } + }, + "LUT2_ed": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12922.5-12925.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 955 ], + "I1": [ 1284 ], + "O": [ 2114 ] + } + }, + "LUT2_ee": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12929.5-12932.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1401 ], + "I1": [ 1408 ], + "O": [ 2115 ] + } + }, + "LUT2_ef": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12936.5-12939.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2116 ], + "I1": [ 2117 ], + "O": [ 2118 ] + } + }, + "LUT2_f": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12943.5-12946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 2056 ], + "O": [ 2119 ] + } + }, + "LUT2_f0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12950.5-12953.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2116 ], + "I1": [ 2120 ], + "O": [ 2121 ] + } + }, + "LUT2_f1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12957.5-12960.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2122 ], + "I1": [ 1280 ], + "O": [ 2123 ] + } + }, + "LUT2_f2": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12964.5-12967.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1018 ], + "I1": [ 1270 ], + "O": [ 2124 ] + } + }, + "LUT2_f3": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12971.5-12974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2125 ], + "I1": [ 2126 ], + "O": [ 1245 ] + } + }, + "LUT2_f4": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12978.5-12981.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2125 ], + "I1": [ 2126 ], + "O": [ 1242 ] + } + }, + "LUT2_f5": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12985.5-12988.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 337 ], + "I1": [ 1286 ], + "O": [ 2127 ] + } + }, + "LUT2_f6": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12992.5-12995.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 337 ], + "I1": [ 1286 ], + "O": [ 2128 ] + } + }, + "LUT2_f7": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:12999.5-13002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1382 ], + "I1": [ 1374 ], + "O": [ 2129 ] + } + }, + "LUT2_f8": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13006.5-13009.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1308 ], + "I1": [ 2130 ], + "O": [ 2131 ] + } + }, + "LUT2_f9": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13013.5-13016.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2129 ], + "I1": [ 2132 ], + "O": [ 2133 ] + } + }, + "LUT2_fa": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13020.5-13023.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2131 ], + "I1": [ 2134 ], + "O": [ 2135 ] + } + }, + "LUT2_fb": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13027.5-13030.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2133 ], + "I1": [ 2134 ], + "O": [ 1331 ] + } + }, + "LUT2_fc": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13034.5-13037.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1335 ], + "I1": [ 2136 ], + "O": [ 1249 ] + } + }, + "LUT2_fd": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13041.5-13044.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 1335 ], + "I1": [ 2136 ], + "O": [ 1329 ] + } + }, + "LUT2_fe": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13048.5-13051.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2137 ], + "I1": [ 2138 ], + "O": [ 1293 ] + } + }, + "LUT2_ff": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13055.5-13058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 2137 ], + "I1": [ 2139 ], + "O": [ 1297 ] + } + }, + "LUT3_0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13062.5-13066.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1619 ], + "I1": [ 2140 ], + "I2": [ 503 ], + "O": [ 2141 ] + } + }, + "LUT3_1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13070.5-13074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1048 ], + "I1": [ 1548 ], + "I2": [ 545 ], + "O": [ 2142 ] + } + }, + "LUT3_10": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13078.5-13082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2143 ], + "I1": [ 2144 ], + "I2": [ 2145 ], + "O": [ 1551 ] + } + }, + "LUT3_100": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13086.5-13090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1740 ], + "I1": [ 1741 ], + "I2": [ 2146 ], + "O": [ 1387 ] + } + }, + "LUT3_101": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13094.5-13098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1192 ], + "I1": [ 1258 ], + "I2": [ 1235 ], + "O": [ 2147 ] + } + }, + "LUT3_102": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13102.5-13106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 987 ], + "I1": [ 1101 ], + "I2": [ 1239 ], + "O": [ 2148 ] + } + }, + "LUT3_103": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13110.5-13114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1054 ], + "I1": [ 925 ], + "I2": [ 1003 ], + "O": [ 2149 ] + } + }, + "LUT3_104": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13118.5-13122.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1019 ], + "I1": [ 1056 ], + "I2": [ 1328 ], + "O": [ 2150 ] + } + }, + "LUT3_105": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13126.5-13130.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1058 ], + "I1": [ 1260 ], + "I2": [ 443 ], + "O": [ 1749 ] + } + }, + "LUT3_106": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13134.5-13138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1748 ], + "I1": [ 1060 ], + "I2": [ 2151 ], + "O": [ 1309 ] + } + }, + "LUT3_107": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13142.5-13146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1749 ], + "I1": [ 1750 ], + "I2": [ 1408 ], + "O": [ 2151 ] + } + }, + "LUT3_108": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13150.5-13154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1751 ], + "I1": [ 1744 ], + "I2": [ 1233 ], + "O": [ 2152 ] + } + }, + "LUT3_109": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13158.5-13162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2153 ], + "I1": [ 2154 ], + "I2": [ 1147 ], + "O": [ 2155 ] + } + }, + "LUT3_10a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13166.5-13170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2155 ], + "I1": [ 1755 ], + "I2": [ 1756 ], + "O": [ 1307 ] + } + }, + "LUT3_10b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13174.5-13178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1755 ], + "I1": [ 1756 ], + "I2": [ 2155 ], + "O": [ 1341 ] + } + }, + "LUT3_10c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13182.5-13186.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1066 ], + "I1": [ 1001 ], + "I2": [ 1758 ], + "O": [ 2156 ] + } + }, + "LUT3_10d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13190.5-13194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1666 ], + "I1": [ 1758 ], + "I2": [ 2157 ], + "O": [ 2158 ] + } + }, + "LUT3_10e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13198.5-13202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2159 ], + "I1": [ 1759 ], + "I2": [ 2160 ], + "O": [ 1359 ] + } + }, + "LUT3_10f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13206.5-13210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 175 ], + "I1": [ 1412 ], + "I2": [ 2161 ], + "O": [ 2162 ] + } + }, + "LUT3_11": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13214.5-13218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2163 ], + "I1": [ 2143 ], + "I2": [ 2144 ], + "O": [ 2164 ] + } + }, + "LUT3_110": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13222.5-13226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1412 ], + "I1": [ 175 ], + "I2": [ 2165 ], + "O": [ 1347 ] + } + }, + "LUT3_111": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13230.5-13234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1412 ], + "I1": [ 175 ], + "I2": [ 2165 ], + "O": [ 1339 ] + } + }, + "LUT3_112": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13238.5-13242.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2166 ], + "I1": [ 1262 ], + "I2": [ 2167 ], + "O": [ 1351 ] + } + }, + "LUT3_113": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13246.5-13250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1262 ], + "I1": [ 1401 ], + "I2": [ 1103 ], + "O": [ 2168 ] + } + }, + "LUT3_114": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13254.5-13258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1697 ], + "I1": [ 1280 ], + "I2": [ 2169 ], + "O": [ 1312 ] + } + }, + "LUT3_115": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13262.5-13266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1070 ], + "I1": [ 1578 ], + "I2": [ 1211 ], + "O": [ 1765 ] + } + }, + "LUT3_116": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13270.5-13274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1105 ], + "I1": [ 1235 ], + "I2": [ 1101 ], + "O": [ 2170 ] + } + }, + "LUT3_117": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13278.5-13282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2171 ], + "I1": [ 2170 ], + "I2": [ 2172 ], + "O": [ 1349 ] + } + }, + "LUT3_118": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13286.5-13290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1049 ], + "I1": [ 2171 ], + "I2": [ 2170 ], + "O": [ 1247 ] + } + }, + "LUT3_119": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13294.5-13298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1107 ], + "I1": [ 2153 ], + "I2": [ 1178 ], + "O": [ 2173 ] + } + }, + "LUT3_11a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13302.5-13306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1774 ], + "I1": [ 1773 ], + "I2": [ 1772 ], + "O": [ 1114 ] + } + }, + "LUT3_11b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13310.5-13314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1775 ], + "I1": [ 1382 ], + "I2": [ 1353 ], + "O": [ 2174 ] + } + }, + "LUT3_11c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13318.5-13322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1248 ], + "I2": [ 983 ], + "O": [ 2175 ] + } + }, + "LUT3_11d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13326.5-13330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1298 ], + "I1": [ 1356 ], + "I2": [ 1064 ], + "O": [ 2176 ] + } + }, + "LUT3_11e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13334.5-13338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1775 ], + "I1": [ 1356 ], + "I2": [ 1077 ], + "O": [ 2177 ] + } + }, + "LUT3_11f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13342.5-13346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1687 ], + "I1": [ 1074 ], + "I2": [ 2178 ], + "O": [ 2179 ] + } + }, + "LUT3_12": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13350.5-13354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1546 ], + "I1": [ 1626 ], + "I2": [ 373 ], + "O": [ 2143 ] + } + }, + "LUT3_120": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13358.5-13362.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1787 ], + "I1": [ 2180 ], + "I2": [ 1374 ], + "O": [ 2181 ] + } + }, + "LUT3_121": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13366.5-13370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1298 ], + "I1": [ 1276 ], + "I2": [ 2182 ], + "O": [ 1187 ] + } + }, + "LUT3_122": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13374.5-13378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 889 ], + "I2": [ 1344 ], + "O": [ 1790 ] + } + }, + "LUT3_123": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13382.5-13386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1790 ], + "I1": [ 1344 ], + "I2": [ 2183 ], + "O": [ 2184 ] + } + }, + "LUT3_124": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13390.5-13394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1079 ], + "I1": [ 1288 ], + "I2": [ 1669 ], + "O": [ 2185 ] + } + }, + "LUT3_125": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13398.5-13402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2186 ], + "I1": [ 1174 ], + "I2": [ 2187 ], + "O": [ 2188 ] + } + }, + "LUT3_126": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13406.5-13410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1252 ], + "I1": [ 2189 ], + "I2": [ 1181 ], + "O": [ 2190 ] + } + }, + "LUT3_127": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13414.5-13418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1252 ], + "I1": [ 977 ], + "I2": [ 2187 ], + "O": [ 2191 ] + } + }, + "LUT3_128": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13422.5-13426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1326 ], + "I1": [ 1793 ], + "I2": [ 1103 ], + "O": [ 2192 ] + } + }, + "LUT3_129": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13430.5-13434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1326 ], + "I1": [ 1793 ], + "I2": [ 1103 ], + "O": [ 2193 ] + } + }, + "LUT3_12a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13438.5-13442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1046 ], + "I1": [ 1121 ], + "I2": [ 1037 ], + "O": [ 2194 ] + } + }, + "LUT3_12b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13446.5-13450.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1801 ], + "I1": [ 1802 ], + "I2": [ 1164 ], + "O": [ 1226 ] + } + }, + "LUT3_13": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13454.5-13458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1546 ], + "I1": [ 1626 ], + "I2": [ 373 ], + "O": [ 2144 ] + } + }, + "LUT3_14": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13462.5-13466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2195 ], + "I1": [ 2196 ], + "I2": [ 1615 ], + "O": [ 2197 ] + } + }, + "LUT3_15": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13470.5-13474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 535 ], + "I1": [ 183 ], + "I2": [ 2198 ], + "O": [ 1655 ] + } + }, + "LUT3_16": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13478.5-13482.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 535 ], + "I1": [ 183 ], + "I2": [ 831 ], + "O": [ 2199 ] + } + }, + "LUT3_17": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13486.5-13490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2040 ], + "I1": [ 2041 ], + "I2": [ 2200 ], + "O": [ 1690 ] + } + }, + "LUT3_18": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13494.5-13498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 555 ], + "I1": [ 1630 ], + "I2": [ 1137 ], + "O": [ 2201 ] + } + }, + "LUT3_19": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13502.5-13506.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2202 ], + "I1": [ 2203 ], + "I2": [ 2056 ], + "O": [ 1651 ] + } + }, + "LUT3_1a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13510.5-13514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2202 ], + "I1": [ 2203 ], + "I2": [ 2056 ], + "O": [ 1620 ] + } + }, + "LUT3_1b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13518.5-13522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2204 ], + "I1": [ 2205 ], + "I2": [ 2206 ], + "O": [ 2207 ] + } + }, + "LUT3_1c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13526.5-13530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2204 ], + "I1": [ 2205 ], + "I2": [ 2206 ], + "O": [ 2208 ] + } + }, + "LUT3_1d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13534.5-13538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 185 ], + "I1": [ 2209 ], + "I2": [ 503 ], + "O": [ 2210 ] + } + }, + "LUT3_1e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13542.5-13546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2211 ], + "I1": [ 401 ], + "I2": [ 2212 ], + "O": [ 1650 ] + } + }, + "LUT3_1f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13550.5-13554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2211 ], + "I1": [ 401 ], + "I2": [ 2212 ], + "O": [ 1671 ] + } + }, + "LUT3_2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13558.5-13562.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 489 ], + "I1": [ 925 ], + "I2": [ 993 ], + "O": [ 2213 ] + } + }, + "LUT3_20": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13566.5-13570.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 433 ], + "I1": [ 2214 ], + "I2": [ 510 ], + "O": [ 2215 ] + } + }, + "LUT3_21": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13574.5-13578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2216 ], + "I1": [ 433 ], + "I2": [ 537 ], + "O": [ 2217 ] + } + }, + "LUT3_22": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13582.5-13586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2218 ], + "I1": [ 1019 ], + "I2": [ 2219 ], + "O": [ 2220 ] + } + }, + "LUT3_23": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13590.5-13594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2221 ], + "I1": [ 1138 ], + "I2": [ 2222 ], + "O": [ 1809 ] + } + }, + "LUT3_24": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13598.5-13602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1810 ], + "I1": [ 1808 ], + "I2": [ 1809 ], + "O": [ 1601 ] + } + }, + "LUT3_25": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13606.5-13610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1692 ], + "I1": [ 261 ], + "I2": [ 1137 ], + "O": [ 1814 ] + } + }, + "LUT3_26": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13614.5-13618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 510 ], + "I1": [ 1984 ], + "I2": [ 229 ], + "O": [ 2223 ] + } + }, + "LUT3_27": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13622.5-13626.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2224 ], + "I1": [ 229 ], + "I2": [ 2225 ], + "O": [ 1640 ] + } + }, + "LUT3_28": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13630.5-13634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 1636 ], + "I2": [ 1241 ], + "O": [ 2226 ] + } + }, + "LUT3_29": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13638.5-13642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1580 ], + "I1": [ 1817 ], + "I2": [ 2227 ], + "O": [ 1696 ] + } + }, + "LUT3_2a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13646.5-13650.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1818 ], + "I1": [ 1819 ], + "I2": [ 815 ], + "O": [ 1724 ] + } + }, + "LUT3_2b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13654.5-13658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1652 ], + "I1": [ 1139 ], + "I2": [ 2228 ], + "O": [ 2229 ] + } + }, + "LUT3_2c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13662.5-13666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2228 ], + "I1": [ 233 ], + "I2": [ 379 ], + "O": [ 2230 ] + } + }, + "LUT3_2d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13670.5-13674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1834 ], + "I1": [ 1619 ], + "I2": [ 401 ], + "O": [ 1830 ] + } + }, + "LUT3_2e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13678.5-13682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1830 ], + "I1": [ 1829 ], + "I2": [ 1832 ], + "O": [ 1679 ] + } + }, + "LUT3_2f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13686.5-13690.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1664 ], + "I1": [ 1656 ], + "I2": [ 171 ], + "O": [ 2231 ] + } + }, + "LUT3_3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13694.5-13698.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 945 ], + "I1": [ 268 ], + "I2": [ 2232 ], + "O": [ 1075 ] + } + }, + "LUT3_30": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13702.5-13706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1683 ], + "I1": [ 531 ], + "I2": [ 1416 ], + "O": [ 1837 ] + } + }, + "LUT3_31": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13710.5-13714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1416 ], + "I1": [ 1683 ], + "I2": [ 531 ], + "O": [ 2233 ] + } + }, + "LUT3_32": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13718.5-13722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1838 ], + "I1": [ 2234 ], + "I2": [ 1666 ], + "O": [ 2235 ] + } + }, + "LUT3_33": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13726.5-13730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1837 ], + "I1": [ 1396 ], + "I2": [ 2236 ], + "O": [ 1610 ] + } + }, + "LUT3_34": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13734.5-13738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2237 ], + "I1": [ 1840 ], + "I2": [ 1839 ], + "O": [ 1583 ] + } + }, + "LUT3_35": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13742.5-13746.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1839 ], + "I1": [ 1840 ], + "I2": [ 2237 ], + "O": [ 1726 ] + } + }, + "LUT3_36": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13750.5-13754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 889 ], + "I1": [ 535 ], + "I2": [ 785 ], + "O": [ 2238 ] + } + }, + "LUT3_37": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13758.5-13762.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2239 ], + "I1": [ 2240 ], + "I2": [ 2238 ], + "O": [ 2241 ] + } + }, + "LUT3_38": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13766.5-13770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1615 ], + "I1": [ 2242 ], + "I2": [ 217 ], + "O": [ 1138 ] + } + }, + "LUT3_39": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13774.5-13778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 193 ], + "I1": [ 867 ], + "I2": [ 931 ], + "O": [ 2243 ] + } + }, + "LUT3_3a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13782.5-13786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 537 ], + "I1": [ 2244 ], + "I2": [ 1984 ], + "O": [ 1714 ] + } + }, + "LUT3_3b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13790.5-13794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 495 ], + "I1": [ 765 ], + "I2": [ 1984 ], + "O": [ 2245 ] + } + }, + "LUT3_3c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13798.5-13802.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2246 ], + "I1": [ 1641 ], + "I2": [ 2245 ], + "O": [ 212 ] + } + }, + "LUT3_3d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13806.5-13810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2246 ], + "I1": [ 1641 ], + "I2": [ 2245 ], + "O": [ 232 ] + } + }, + "LUT3_3e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13814.5-13818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 500 ], + "I1": [ 199 ], + "I2": [ 1848 ], + "O": [ 208 ] + } + }, + "LUT3_3f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13822.5-13826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2247 ], + "I1": [ 859 ], + "I2": [ 2248 ], + "O": [ 482 ] + } + }, + "LUT3_4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13830.5-13834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 579 ], + "I1": [ 1001 ], + "I2": [ 545 ], + "O": [ 2249 ] + } + }, + "LUT3_40": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13838.5-13842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 1695 ], + "I2": [ 1137 ], + "O": [ 2250 ] + } + }, + "LUT3_41": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13846.5-13850.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2251 ], + "I1": [ 1851 ], + "I2": [ 2252 ], + "O": [ 512 ] + } + }, + "LUT3_42": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13854.5-13858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2251 ], + "I1": [ 1851 ], + "I2": [ 2253 ], + "O": [ 2254 ] + } + }, + "LUT3_43": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13862.5-13866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1852 ], + "I1": [ 401 ], + "I2": [ 2255 ], + "O": [ 2256 ] + } + }, + "LUT3_44": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13870.5-13874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2255 ], + "I1": [ 819 ], + "I2": [ 2257 ], + "O": [ 174 ] + } + }, + "LUT3_45": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13878.5-13882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2258 ], + "I1": [ 2259 ], + "I2": [ 751 ], + "O": [ 2260 ] + } + }, + "LUT3_46": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13886.5-13890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 707 ], + "I1": [ 215 ], + "I2": [ 1410 ], + "O": [ 2261 ] + } + }, + "LUT3_47": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13894.5-13898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 229 ], + "I1": [ 439 ], + "I2": [ 753 ], + "O": [ 2262 ] + } + }, + "LUT3_48": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13902.5-13906.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 765 ], + "I1": [ 1132 ], + "I2": [ 1860 ], + "O": [ 2263 ] + } + }, + "LUT3_49": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13910.5-13914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2264 ], + "I1": [ 1132 ], + "I2": [ 2265 ], + "O": [ 564 ] + } + }, + "LUT3_4a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13918.5-13922.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1866 ], + "I1": [ 1867 ], + "I2": [ 2266 ], + "O": [ 2267 ] + } + }, + "LUT3_4b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13926.5-13930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2268 ], + "I1": [ 481 ], + "I2": [ 1019 ], + "O": [ 2269 ] + } + }, + "LUT3_4c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13934.5-13938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1019 ], + "I1": [ 2269 ], + "I2": [ 481 ], + "O": [ 226 ] + } + }, + "LUT3_4d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13942.5-13946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2268 ], + "I1": [ 2269 ], + "I2": [ 1019 ], + "O": [ 496 ] + } + }, + "LUT3_4e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13950.5-13954.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 191 ], + "I1": [ 561 ], + "I2": [ 215 ], + "O": [ 2270 ] + } + }, + "LUT3_4f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13958.5-13962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2271 ], + "I1": [ 1003 ], + "I2": [ 193 ], + "O": [ 2272 ] + } + }, + "LUT3_5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13966.5-13970.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 579 ], + "I1": [ 1001 ], + "I2": [ 545 ], + "O": [ 1049 ] + } + }, + "LUT3_50": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13974.5-13978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2271 ], + "I1": [ 1003 ], + "I2": [ 193 ], + "O": [ 2273 ] + } + }, + "LUT3_51": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13982.5-13986.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 499 ], + "I2": [ 182 ], + "O": [ 1876 ] + } + }, + "LUT3_52": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13990.5-13994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2274 ], + "I1": [ 1876 ], + "I2": [ 1875 ], + "O": [ 542 ] + } + }, + "LUT3_53": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:13998.5-14002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1875 ], + "I1": [ 1876 ], + "I2": [ 2274 ], + "O": [ 532 ] + } + }, + "LUT3_54": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14006.5-14010.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1878 ], + "I1": [ 1877 ], + "I2": [ 2275 ], + "O": [ 452 ] + } + }, + "LUT3_55": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14014.5-14018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 548 ], + "I1": [ 1881 ], + "I2": [ 1882 ], + "O": [ 504 ] + } + }, + "LUT3_56": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14022.5-14026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 548 ], + "I1": [ 1881 ], + "I2": [ 1882 ], + "O": [ 178 ] + } + }, + "LUT3_57": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14030.5-14034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1884 ], + "I1": [ 1877 ], + "I2": [ 297 ], + "O": [ 1886 ] + } + }, + "LUT3_58": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14038.5-14042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1887 ], + "I1": [ 1888 ], + "I2": [ 837 ], + "O": [ 2276 ] + } + }, + "LUT3_59": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14046.5-14050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2277 ], + "I1": [ 300 ], + "I2": [ 2278 ], + "O": [ 230 ] + } + }, + "LUT3_5a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14054.5-14058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 663 ], + "I1": [ 567 ], + "I2": [ 239 ], + "O": [ 2279 ] + } + }, + "LUT3_5b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14062.5-14066.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2279 ], + "I1": [ 1649 ], + "I2": [ 1892 ], + "O": [ 2280 ] + } + }, + "LUT3_5c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14070.5-14074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 199 ], + "I1": [ 1892 ], + "I2": [ 2279 ], + "O": [ 2281 ] + } + }, + "LUT3_5d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14078.5-14082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2279 ], + "I1": [ 2282 ], + "I2": [ 1904 ], + "O": [ 316 ] + } + }, + "LUT3_5e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14086.5-14090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2279 ], + "I1": [ 2282 ], + "I2": [ 1904 ], + "O": [ 486 ] + } + }, + "LUT3_5f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14094.5-14098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 625 ], + "I1": [ 2283 ], + "I2": [ 751 ], + "O": [ 1901 ] + } + }, + "LUT3_6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14102.5-14106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1048 ], + "I1": [ 1872 ], + "I2": [ 1080 ], + "O": [ 2284 ] + } + }, + "LUT3_60": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14110.5-14114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 629 ], + "I1": [ 2285 ], + "I2": [ 275 ], + "O": [ 538 ] + } + }, + "LUT3_61": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14118.5-14122.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1903 ], + "I1": [ 1904 ], + "I2": [ 2286 ], + "O": [ 488 ] + } + }, + "LUT3_62": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14126.5-14130.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2287 ], + "I1": [ 685 ], + "I2": [ 709 ], + "O": [ 2288 ] + } + }, + "LUT3_63": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14134.5-14138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1877 ], + "I1": [ 1910 ], + "I2": [ 271 ], + "O": [ 2289 ] + } + }, + "LUT3_64": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14142.5-14146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 271 ], + "I1": [ 199 ], + "I2": [ 2290 ], + "O": [ 1912 ] + } + }, + "LUT3_65": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14150.5-14154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1912 ], + "I1": [ 1913 ], + "I2": [ 1697 ], + "O": [ 216 ] + } + }, + "LUT3_66": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14158.5-14162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 657 ], + "I1": [ 639 ], + "I2": [ 567 ], + "O": [ 2291 ] + } + }, + "LUT3_67": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14166.5-14170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 657 ], + "I1": [ 639 ], + "I2": [ 567 ], + "O": [ 2292 ] + } + }, + "LUT3_68": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14174.5-14178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1917 ], + "I1": [ 1916 ], + "I2": [ 1915 ], + "O": [ 228 ] + } + }, + "LUT3_69": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14182.5-14186.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2293 ], + "I1": [ 901 ], + "I2": [ 2294 ], + "O": [ 2295 ] + } + }, + "LUT3_6a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14190.5-14194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 2297 ], + "I2": [ 309 ], + "O": [ 2298 ] + } + }, + "LUT3_6b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14198.5-14202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2294 ], + "I1": [ 2299 ], + "I2": [ 2300 ], + "O": [ 364 ] + } + }, + "LUT3_6c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14206.5-14210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2301 ], + "I1": [ 1927 ], + "I2": [ 277 ], + "O": [ 2302 ] + } + }, + "LUT3_6d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14214.5-14218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2302 ], + "I1": [ 2303 ], + "I2": [ 351 ], + "O": [ 368 ] + } + }, + "LUT3_6e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14222.5-14226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1927 ], + "I1": [ 2304 ], + "I2": [ 2305 ], + "O": [ 602 ] + } + }, + "LUT3_6f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14230.5-14234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2306 ], + "I1": [ 265 ], + "I2": [ 1624 ], + "O": [ 2307 ] + } + }, + "LUT3_7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14238.5-14242.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1924 ], + "I1": [ 2284 ], + "I2": [ 1896 ], + "O": [ 850 ] + } + }, + "LUT3_70": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14246.5-14250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1930 ], + "I1": [ 1931 ], + "I2": [ 453 ], + "O": [ 614 ] + } + }, + "LUT3_71": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14254.5-14258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1938 ], + "I1": [ 1936 ], + "I2": [ 2308 ], + "O": [ 590 ] + } + }, + "LUT3_72": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14262.5-14266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 331 ], + "I1": [ 2309 ], + "I2": [ 2310 ], + "O": [ 1935 ] + } + }, + "LUT3_73": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14270.5-14274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 2311 ], + "I2": [ 2312 ], + "O": [ 2313 ] + } + }, + "LUT3_74": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14278.5-14282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 361 ], + "I1": [ 261 ], + "I2": [ 349 ], + "O": [ 2314 ] + } + }, + "LUT3_75": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14286.5-14290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 361 ], + "I1": [ 261 ], + "I2": [ 349 ], + "O": [ 2315 ] + } + }, + "LUT3_76": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14294.5-14298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 365 ], + "I1": [ 580 ], + "I2": [ 2316 ], + "O": [ 2317 ] + } + }, + "LUT3_77": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14302.5-14306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1941 ], + "I1": [ 1942 ], + "I2": [ 2317 ], + "O": [ 630 ] + } + }, + "LUT3_78": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14310.5-14314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 649 ], + "I1": [ 323 ], + "I2": [ 707 ], + "O": [ 2318 ] + } + }, + "LUT3_79": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14318.5-14322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2319 ], + "I1": [ 2320 ], + "I2": [ 599 ], + "O": [ 2321 ] + } + }, + "LUT3_7a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14326.5-14330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 1944 ], + "I2": [ 2321 ], + "O": [ 584 ] + } + }, + "LUT3_7b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14334.5-14338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 323 ], + "I2": [ 1137 ], + "O": [ 2283 ] + } + }, + "LUT3_7c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14342.5-14346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 619 ], + "I1": [ 683 ], + "I2": [ 413 ], + "O": [ 1950 ] + } + }, + "LUT3_7d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14350.5-14354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2322 ], + "I1": [ 689 ], + "I2": [ 723 ], + "O": [ 2323 ] + } + }, + "LUT3_7e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14358.5-14362.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 672 ], + "I1": [ 2324 ], + "I2": [ 2325 ], + "O": [ 742 ] + } + }, + "LUT3_7f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14366.5-14370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1952 ], + "I1": [ 1951 ], + "I2": [ 2326 ], + "O": [ 744 ] + } + }, + "LUT3_8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14374.5-14378.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1924 ], + "I1": [ 2284 ], + "I2": [ 1048 ], + "O": [ 1608 ] + } + }, + "LUT3_80": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14382.5-14386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2327 ], + "I1": [ 2328 ], + "I2": [ 2325 ], + "O": [ 666 ] + } + }, + "LUT3_81": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14390.5-14394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1953 ], + "I1": [ 2323 ], + "I2": [ 2329 ], + "O": [ 192 ] + } + }, + "LUT3_82": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14398.5-14402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1953 ], + "I1": [ 2323 ], + "I2": [ 2329 ], + "O": [ 704 ] + } + }, + "LUT3_83": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14406.5-14410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 689 ], + "I1": [ 185 ], + "I2": [ 1955 ], + "O": [ 2330 ] + } + }, + "LUT3_84": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14414.5-14418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2331 ], + "I1": [ 2332 ], + "I2": [ 1578 ], + "O": [ 288 ] + } + }, + "LUT3_85": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14422.5-14426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2333 ], + "I1": [ 2334 ], + "I2": [ 729 ], + "O": [ 668 ] + } + }, + "LUT3_86": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14430.5-14434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2333 ], + "I1": [ 2334 ], + "I2": [ 729 ], + "O": [ 734 ] + } + }, + "LUT3_87": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14438.5-14442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 661 ], + "I1": [ 175 ], + "I2": [ 901 ], + "O": [ 2335 ] + } + }, + "LUT3_88": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14446.5-14450.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 691 ], + "I1": [ 1960 ], + "I2": [ 1959 ], + "O": [ 696 ] + } + }, + "LUT3_89": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14454.5-14458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 283 ], + "I1": [ 717 ], + "I2": [ 901 ], + "O": [ 2336 ] + } + }, + "LUT3_8a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14462.5-14466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1963 ], + "I1": [ 1961 ], + "I2": [ 2335 ], + "O": [ 494 ] + } + }, + "LUT3_8b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14470.5-14474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 233 ], + "I1": [ 1966 ], + "I2": [ 479 ], + "O": [ 702 ] + } + }, + "LUT3_8c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14478.5-14482.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 1967 ], + "I2": [ 2337 ], + "O": [ 1971 ] + } + }, + "LUT3_8d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14486.5-14490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2338 ], + "I1": [ 743 ], + "I2": [ 853 ], + "O": [ 1974 ] + } + }, + "LUT3_8e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14494.5-14498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 197 ], + "I1": [ 1976 ], + "I2": [ 2339 ], + "O": [ 718 ] + } + }, + "LUT3_8f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14502.5-14506.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2340 ], + "I1": [ 664 ], + "I2": [ 2341 ], + "O": [ 746 ] + } + }, + "LUT3_9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14510.5-14514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1286 ], + "I1": [ 1376 ], + "I2": [ 2342 ], + "O": [ 2343 ] + } + }, + "LUT3_90": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14518.5-14522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 1039 ], + "I2": [ 2344 ], + "O": [ 2345 ] + } + }, + "LUT3_91": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14526.5-14530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 676 ], + "I1": [ 2346 ], + "I2": [ 1978 ], + "O": [ 648 ] + } + }, + "LUT3_92": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14534.5-14538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2347 ], + "I1": [ 2348 ], + "I2": [ 654 ], + "O": [ 658 ] + } + }, + "LUT3_93": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14542.5-14546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 771 ], + "I1": [ 2349 ], + "I2": [ 567 ], + "O": [ 2347 ] + } + }, + "LUT3_94": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14550.5-14554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 771 ], + "I1": [ 2349 ], + "I2": [ 567 ], + "O": [ 676 ] + } + }, + "LUT3_95": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14558.5-14562.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2350 ], + "I1": [ 191 ], + "I2": [ 2351 ], + "O": [ 1128 ] + } + }, + "LUT3_96": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14566.5-14570.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2350 ], + "I1": [ 1624 ], + "I2": [ 191 ], + "O": [ 684 ] + } + }, + "LUT3_97": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14574.5-14578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 191 ], + "I1": [ 1883 ], + "I2": [ 573 ], + "O": [ 710 ] + } + }, + "LUT3_98": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14582.5-14586.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 1981 ], + "I2": [ 2352 ], + "O": [ 678 ] + } + }, + "LUT3_99": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14590.5-14594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 683 ], + "I1": [ 717 ], + "I2": [ 707 ], + "O": [ 2353 ] + } + }, + "LUT3_9a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14598.5-14602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1966 ], + "I1": [ 2354 ], + "I2": [ 2355 ], + "O": [ 2356 ] + } + }, + "LUT3_9b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14606.5-14610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 879 ], + "I1": [ 2357 ], + "I2": [ 2356 ], + "O": [ 406 ] + } + }, + "LUT3_9c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14614.5-14618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 809 ], + "I1": [ 1986 ], + "I2": [ 2358 ], + "O": [ 856 ] + } + }, + "LUT3_9d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14622.5-14626.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 523 ], + "I1": [ 543 ], + "I2": [ 2359 ], + "O": [ 906 ] + } + }, + "LUT3_9e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14630.5-14634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 757 ], + "I1": [ 2360 ], + "I2": [ 2361 ], + "O": [ 2362 ] + } + }, + "LUT3_9f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14638.5-14642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 773 ], + "I1": [ 879 ], + "I2": [ 823 ], + "O": [ 2363 ] + } + }, + "LUT3_a": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14646.5-14650.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2364 ], + "I1": [ 2249 ], + "I2": [ 2365 ], + "O": [ 2366 ] + } + }, + "LUT3_a0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14654.5-14658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 403 ], + "I1": [ 441 ], + "I2": [ 861 ], + "O": [ 2367 ] + } + }, + "LUT3_a1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14662.5-14666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 441 ], + "I1": [ 894 ], + "I2": [ 403 ], + "O": [ 900 ] + } + }, + "LUT3_a2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14670.5-14674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 560 ], + "I1": [ 558 ], + "I2": [ 2368 ], + "O": [ 412 ] + } + }, + "LUT3_a3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14678.5-14682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 765 ], + "I1": [ 769 ], + "I2": [ 897 ], + "O": [ 2369 ] + } + }, + "LUT3_a4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14686.5-14690.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 765 ], + "I1": [ 769 ], + "I2": [ 897 ], + "O": [ 1997 ] + } + }, + "LUT3_a5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14694.5-14698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2340 ], + "I1": [ 390 ], + "I2": [ 2370 ], + "O": [ 2371 ] + } + }, + "LUT3_a6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14702.5-14706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2372 ], + "I1": [ 2373 ], + "I2": [ 861 ], + "O": [ 910 ] + } + }, + "LUT3_a7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14710.5-14714.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1997 ], + "I1": [ 785 ], + "I2": [ 883 ], + "O": [ 2374 ] + } + }, + "LUT3_a8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14718.5-14722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2375 ], + "I1": [ 2000 ], + "I2": [ 2010 ], + "O": [ 886 ] + } + }, + "LUT3_a9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14726.5-14730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 893 ], + "I1": [ 2376 ], + "I2": [ 2377 ], + "O": [ 392 ] + } + }, + "LUT3_aa": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14734.5-14738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 893 ], + "I1": [ 2376 ], + "I2": [ 2377 ], + "O": [ 912 ] + } + }, + "LUT3_ab": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14742.5-14746.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2001 ], + "I1": [ 510 ], + "I2": [ 2378 ], + "O": [ 914 ] + } + }, + "LUT3_ac": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14750.5-14754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2379 ], + "I1": [ 2380 ], + "I2": [ 2003 ], + "O": [ 2009 ] + } + }, + "LUT3_ad": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14758.5-14762.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2007 ], + "I1": [ 2009 ], + "I2": [ 2010 ], + "O": [ 438 ] + } + }, + "LUT3_ae": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14766.5-14770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2009 ], + "I1": [ 2010 ], + "I2": [ 2007 ], + "O": [ 858 ] + } + }, + "LUT3_af": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14774.5-14778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2381 ], + "I1": [ 889 ], + "I2": [ 431 ], + "O": [ 2011 ] + } + }, + "LUT3_b": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14782.5-14786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1542 ], + "I1": [ 2382 ], + "I2": [ 2383 ], + "O": [ 2060 ] + } + }, + "LUT3_b0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14790.5-14794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2384 ], + "I1": [ 2010 ], + "I2": [ 2011 ], + "O": [ 890 ] + } + }, + "LUT3_b1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14798.5-14802.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2010 ], + "I1": [ 2011 ], + "I2": [ 2384 ], + "O": [ 402 ] + } + }, + "LUT3_b2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14806.5-14810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2385 ], + "I1": [ 413 ], + "I2": [ 2379 ], + "O": [ 2386 ] + } + }, + "LUT3_b3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14814.5-14818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 385 ], + "I1": [ 2013 ], + "I2": [ 2012 ], + "O": [ 2378 ] + } + }, + "LUT3_b4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14822.5-14826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 873 ], + "I1": [ 863 ], + "I2": [ 2387 ], + "O": [ 2385 ] + } + }, + "LUT3_b5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14830.5-14834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 833 ], + "I1": [ 2388 ], + "I2": [ 528 ], + "O": [ 2389 ] + } + }, + "LUT3_b6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14838.5-14842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2390 ], + "I1": [ 791 ], + "I2": [ 869 ], + "O": [ 2391 ] + } + }, + "LUT3_b7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14846.5-14850.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 849 ], + "I1": [ 1709 ], + "I2": [ 227 ], + "O": [ 2392 ] + } + }, + "LUT3_b8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14854.5-14858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2393 ], + "I1": [ 2394 ], + "I2": [ 2395 ], + "O": [ 776 ] + } + }, + "LUT3_b9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14862.5-14866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 219 ], + "I1": [ 1641 ], + "I2": [ 489 ], + "O": [ 2396 ] + } + }, + "LUT3_ba": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14870.5-14874.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2397 ], + "I1": [ 379 ], + "I2": [ 2398 ], + "O": [ 560 ] + } + }, + "LUT3_bb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14878.5-14882.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2016 ], + "I1": [ 2017 ], + "I2": [ 2399 ], + "O": [ 852 ] + } + }, + "LUT3_bc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14886.5-14890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2400 ], + "I1": [ 2401 ], + "I2": [ 2402 ], + "O": [ 758 ] + } + }, + "LUT3_bd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14894.5-14898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1984 ], + "I1": [ 529 ], + "I2": [ 455 ], + "O": [ 2403 ] + } + }, + "LUT3_be": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14902.5-14906.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2404 ], + "I1": [ 223 ], + "I2": [ 905 ], + "O": [ 2405 ] + } + }, + "LUT3_bf": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14910.5-14914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 2405 ], + "I2": [ 2021 ], + "O": [ 832 ] + } + }, + "LUT3_c": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14918.5-14922.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1565 ], + "I1": [ 1264 ], + "I2": [ 983 ], + "O": [ 2406 ] + } + }, + "LUT3_c0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14926.5-14930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 677 ], + "I1": [ 1466 ], + "I2": [ 861 ], + "O": [ 2407 ] + } + }, + "LUT3_c1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14934.5-14938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 901 ], + "I1": [ 677 ], + "I2": [ 2408 ], + "O": [ 446 ] + } + }, + "LUT3_c2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14942.5-14946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1125 ], + "I1": [ 2387 ], + "I2": [ 467 ], + "O": [ 2409 ] + } + }, + "LUT3_c3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14950.5-14954.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 541 ], + "I1": [ 1399 ], + "I2": [ 383 ], + "O": [ 510 ] + } + }, + "LUT3_c4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14958.5-14962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2409 ], + "I1": [ 995 ], + "I2": [ 2410 ], + "O": [ 2027 ] + } + }, + "LUT3_c5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14966.5-14970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 887 ], + "I1": [ 2411 ], + "I2": [ 1131 ], + "O": [ 2038 ] + } + }, + "LUT3_c6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14974.5-14978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 907 ], + "I2": [ 899 ], + "O": [ 2412 ] + } + }, + "LUT3_c7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14982.5-14986.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2042 ], + "I1": [ 1641 ], + "I2": [ 459 ], + "O": [ 840 ] + } + }, + "LUT3_c8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14990.5-14994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2413 ], + "I1": [ 1649 ], + "I2": [ 1966 ], + "O": [ 2414 ] + } + }, + "LUT3_c9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:14998.5-15002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 909 ], + "I1": [ 775 ], + "I2": [ 2415 ], + "O": [ 2416 ] + } + }, + "LUT3_ca": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15006.5-15010.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 463 ], + "I1": [ 2049 ], + "I2": [ 2417 ], + "O": [ 880 ] + } + }, + "LUT3_cb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15014.5-15018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2418 ], + "I1": [ 781 ], + "I2": [ 2419 ], + "O": [ 2420 ] + } + }, + "LUT3_cc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15022.5-15026.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2421 ], + "I1": [ 2422 ], + "I2": [ 2413 ], + "O": [ 792 ] + } + }, + "LUT3_cd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15030.5-15034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 435 ], + "I1": [ 2423 ], + "I2": [ 1274 ], + "O": [ 820 ] + } + }, + "LUT3_ce": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15038.5-15042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 435 ], + "I1": [ 2423 ], + "I2": [ 1274 ], + "O": [ 878 ] + } + }, + "LUT3_cf": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15046.5-15050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 465 ], + "I1": [ 549 ], + "I2": [ 2413 ], + "O": [ 2424 ] + } + }, + "LUT3_d": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15054.5-15058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1565 ], + "I1": [ 1264 ], + "I2": [ 1008 ], + "O": [ 2425 ] + } + }, + "LUT3_d0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15062.5-15066.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2426 ], + "I1": [ 2055 ], + "I2": [ 2427 ], + "O": [ 844 ] + } + }, + "LUT3_d1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15070.5-15074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2056 ], + "I1": [ 1414 ], + "I2": [ 1600 ], + "O": [ 2428 ] + } + }, + "LUT3_d2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15078.5-15082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2429 ], + "I1": [ 2059 ], + "I2": [ 933 ], + "O": [ 1558 ] + } + }, + "LUT3_d3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15086.5-15090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2063 ], + "I1": [ 185 ], + "I2": [ 2430 ], + "O": [ 2431 ] + } + }, + "LUT3_d4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15094.5-15098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1009 ], + "I1": [ 2432 ], + "I2": [ 487 ], + "O": [ 2433 ] + } + }, + "LUT3_d5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15102.5-15106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1676 ], + "I1": [ 1615 ], + "I2": [ 1431 ], + "O": [ 2434 ] + } + }, + "LUT3_d6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15110.5-15114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2435 ], + "I1": [ 2069 ], + "I2": [ 2070 ], + "O": [ 1566 ] + } + }, + "LUT3_d7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15118.5-15122.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2069 ], + "I1": [ 2070 ], + "I2": [ 2435 ], + "O": [ 408 ] + } + }, + "LUT3_d8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15126.5-15130.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2436 ], + "I1": [ 1052 ], + "I2": [ 2437 ], + "O": [ 2438 ] + } + }, + "LUT3_d9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15134.5-15138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1054 ], + "I1": [ 983 ], + "I2": [ 1378 ], + "O": [ 2072 ] + } + }, + "LUT3_da": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15142.5-15146.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 2440 ], + "I2": [ 2441 ], + "O": [ 1205 ] + } + }, + "LUT3_db": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15150.5-15154.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 2440 ], + "I2": [ 2441 ], + "O": [ 1267 ] + } + }, + "LUT3_dc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15158.5-15162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 993 ], + "I1": [ 1389 ], + "I2": [ 1304 ], + "O": [ 2082 ] + } + }, + "LUT3_dd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15166.5-15170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1396 ], + "I1": [ 2081 ], + "I2": [ 2442 ], + "O": [ 2079 ] + } + }, + "LUT3_de": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15174.5-15178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1308 ], + "I1": [ 2083 ], + "I2": [ 2084 ], + "O": [ 2085 ] + } + }, + "LUT3_df": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15182.5-15186.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2087 ], + "I1": [ 2086 ], + "I2": [ 2085 ], + "O": [ 1219 ] + } + }, + "LUT3_e": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15190.5-15194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1304 ], + "I1": [ 2443 ], + "I2": [ 2444 ], + "O": [ 2445 ] + } + }, + "LUT3_e0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15198.5-15202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 2094 ], + "I2": [ 2446 ], + "O": [ 2447 ] + } + }, + "LUT3_e1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15206.5-15210.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2090 ], + "I1": [ 2091 ], + "I2": [ 1089 ], + "O": [ 1539 ] + } + }, + "LUT3_e2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15214.5-15218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 953 ], + "I1": [ 1656 ], + "I2": [ 1115 ], + "O": [ 2448 ] + } + }, + "LUT3_e3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15222.5-15226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 919 ], + "I1": [ 2094 ], + "I2": [ 2449 ], + "O": [ 1169 ] + } + }, + "LUT3_e4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15230.5-15234.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1154 ], + "I1": [ 1366 ], + "I2": [ 1091 ], + "O": [ 2450 ] + } + }, + "LUT3_e5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15238.5-15242.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1288 ], + "I1": [ 1326 ], + "I2": [ 1394 ], + "O": [ 2451 ] + } + }, + "LUT3_e6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15246.5-15250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1288 ], + "I1": [ 1326 ], + "I2": [ 1394 ], + "O": [ 2452 ] + } + }, + "LUT3_e7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15254.5-15258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 201 ], + "I1": [ 1410 ], + "I2": [ 1403 ], + "O": [ 2453 ] + } + }, + "LUT3_e8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15262.5-15266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 201 ], + "I1": [ 1410 ], + "I2": [ 1403 ], + "O": [ 2454 ] + } + }, + "LUT3_e9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15270.5-15274.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1009 ], + "I1": [ 2454 ], + "I2": [ 1003 ], + "O": [ 2455 ] + } + }, + "LUT3_ea": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15278.5-15282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1362 ], + "I1": [ 1089 ], + "I2": [ 1093 ], + "O": [ 2456 ] + } + }, + "LUT3_eb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15286.5-15290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2099 ], + "I1": [ 2100 ], + "I2": [ 2101 ], + "O": [ 2457 ] + } + }, + "LUT3_ec": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15294.5-15298.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2457 ], + "I1": [ 2102 ], + "I2": [ 2456 ], + "O": [ 544 ] + } + }, + "LUT3_ed": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15302.5-15306.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1401 ], + "I1": [ 2458 ], + "I2": [ 485 ], + "O": [ 2459 ] + } + }, + "LUT3_ee": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15310.5-15314.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2460 ], + "I1": [ 1600 ], + "I2": [ 2116 ], + "O": [ 302 ] + } + }, + "LUT3_ef": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15318.5-15322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2110 ], + "I1": [ 2461 ], + "I2": [ 1419 ], + "O": [ 2462 ] + } + }, + "LUT3_f": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15326.5-15330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1304 ], + "I1": [ 1049 ], + "I2": [ 2443 ], + "O": [ 2463 ] + } + }, + "LUT3_f0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15334.5-15338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2110 ], + "I1": [ 2461 ], + "I2": [ 1419 ], + "O": [ 2464 ] + } + }, + "LUT3_f1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15342.5-15346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2465 ], + "I1": [ 2466 ], + "I2": [ 1195 ], + "O": [ 1234 ] + } + }, + "LUT3_f2": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15350.5-15354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2114 ], + "I1": [ 1095 ], + "I2": [ 935 ], + "O": [ 2465 ] + } + }, + "LUT3_f3": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15358.5-15362.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1244 ], + "I1": [ 2467 ], + "I2": [ 1111 ], + "O": [ 2468 ] + } + }, + "LUT3_f4": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15366.5-15370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1272 ], + "I1": [ 1097 ], + "I2": [ 1318 ], + "O": [ 2122 ] + } + }, + "LUT3_f5": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15374.5-15378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1018 ], + "I1": [ 1270 ], + "I2": [ 1099 ], + "O": [ 2469 ] + } + }, + "LUT3_f6": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15382.5-15386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1280 ], + "I1": [ 1018 ], + "I2": [ 960 ], + "O": [ 2470 ] + } + }, + "LUT3_f7": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15390.5-15394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1280 ], + "I1": [ 1018 ], + "I2": [ 960 ], + "O": [ 2471 ] + } + }, + "LUT3_f8": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15398.5-15402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2127 ], + "I1": [ 2472 ], + "I2": [ 2473 ], + "O": [ 2126 ] + } + }, + "LUT3_f9": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15406.5-15410.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 979 ], + "I1": [ 983 ], + "I2": [ 1356 ], + "O": [ 2474 ] + } + }, + "LUT3_fa": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15414.5-15418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2137 ], + "I1": [ 2139 ], + "I2": [ 2138 ], + "O": [ 1277 ] + } + }, + "LUT3_fb": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15422.5-15426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 217 ], + "I1": [ 1316 ], + "I2": [ 2475 ], + "O": [ 2476 ] + } + }, + "LUT3_fc": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15430.5-15434.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 1296 ], + "I1": [ 1292 ], + "I2": [ 1737 ], + "O": [ 1540 ] + } + }, + "LUT3_fd": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15438.5-15442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2477 ], + "I1": [ 1275 ], + "I2": [ 2478 ], + "O": [ 1323 ] + } + }, + "LUT3_fe": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15446.5-15450.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 2437 ], + "I2": [ 2480 ], + "O": [ 1352 ] + } + }, + "LUT3_ff": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15454.5-15458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 2480 ], + "I2": [ 2481 ], + "O": [ 1283 ] + } + }, + "LUT4_0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15462.5-15467.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1731 ], + "I1": [ 1732 ], + "I2": [ 2482 ], + "I3": [ 1542 ], + "O": [ 200 ] + } + }, + "LUT4_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15471.5-15476.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1619 ], + "I1": [ 2140 ], + "I2": [ 503 ], + "I3": [ 845 ], + "O": [ 336 ] + } + }, + "LUT4_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15480.5-15485.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1733 ], + "I1": [ 1734 ], + "I2": [ 2483 ], + "I3": [ 1578 ], + "O": [ 1682 ] + } + }, + "LUT4_100": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15489.5-15494.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2152 ], + "I1": [ 2484 ], + "I2": [ 1540 ], + "I3": [ 2485 ], + "O": [ 1365 ] + } + }, + "LUT4_101": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15498.5-15503.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2152 ], + "I1": [ 2484 ], + "I2": [ 1540 ], + "I3": [ 2485 ], + "O": [ 1281 ] + } + }, + "LUT4_102": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15507.5-15512.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1233 ], + "I1": [ 409 ], + "I2": [ 859 ], + "I3": [ 1744 ], + "O": [ 2486 ] + } + }, + "LUT4_103": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15516.5-15521.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 409 ], + "I1": [ 1744 ], + "I2": [ 859 ], + "I3": [ 1233 ], + "O": [ 2484 ] + } + }, + "LUT4_104": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15525.5-15530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 409 ], + "I1": [ 1744 ], + "I2": [ 859 ], + "I3": [ 1233 ], + "O": [ 2487 ] + } + }, + "LUT4_105": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15534.5-15539.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1753 ], + "I1": [ 1749 ], + "I2": [ 1743 ], + "I3": [ 2488 ], + "O": [ 2489 ] + } + }, + "LUT4_106": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15543.5-15548.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1198 ], + "I1": [ 1454 ], + "I2": [ 949 ], + "I3": [ 1294 ], + "O": [ 1758 ] + } + }, + "LUT4_107": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15552.5-15557.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1070 ], + "I1": [ 1225 ], + "I2": [ 937 ], + "I3": [ 1033 ], + "O": [ 2490 ] + } + }, + "LUT4_108": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15561.5-15566.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1456 ], + "I1": [ 2491 ], + "I2": [ 2437 ], + "I3": [ 2492 ], + "O": [ 1755 ] + } + }, + "LUT4_109": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15570.5-15575.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2493 ], + "I1": [ 1666 ], + "I2": [ 1758 ], + "I3": [ 2157 ], + "O": [ 2159 ] + } + }, + "LUT4_10a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15579.5-15584.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1666 ], + "I1": [ 1758 ], + "I2": [ 2157 ], + "I3": [ 1254 ], + "O": [ 2493 ] + } + }, + "LUT4_10b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15588.5-15593.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2159 ], + "I1": [ 1759 ], + "I2": [ 2160 ], + "I3": [ 2494 ], + "O": [ 1321 ] + } + }, + "LUT4_10c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15597.5-15602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2495 ], + "I1": [ 1412 ], + "I2": [ 2496 ], + "I3": [ 2497 ], + "O": [ 2166 ] + } + }, + "LUT4_10d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15606.5-15611.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2166 ], + "I1": [ 1262 ], + "I2": [ 2167 ], + "I3": [ 2498 ], + "O": [ 1390 ] + } + }, + "LUT4_10e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15615.5-15620.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1401 ], + "I1": [ 1262 ], + "I2": [ 2499 ], + "I3": [ 1318 ], + "O": [ 2500 ] + } + }, + "LUT4_10f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15624.5-15629.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1262 ], + "I1": [ 1401 ], + "I2": [ 1103 ], + "I3": [ 2500 ], + "O": [ 1361 ] + } + }, + "LUT4_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15633.5-15638.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 257 ], + "I1": [ 433 ], + "I2": [ 1760 ], + "I3": [ 1761 ], + "O": [ 1665 ] + } + }, + "LUT4_110": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15642.5-15647.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1765 ], + "I1": [ 1766 ], + "I2": [ 1070 ], + "I3": [ 1211 ], + "O": [ 1243 ] + } + }, + "LUT4_111": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15651.5-15656.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1049 ], + "I1": [ 2171 ], + "I2": [ 2170 ], + "I3": [ 2172 ], + "O": [ 1345 ] + } + }, + "LUT4_112": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15660.5-15665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1178 ], + "I1": [ 1107 ], + "I2": [ 2501 ], + "I3": [ 2153 ], + "O": [ 1381 ] + } + }, + "LUT4_113": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15669.5-15674.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1107 ], + "I1": [ 2153 ], + "I2": [ 1178 ], + "I3": [ 2501 ], + "O": [ 1388 ] + } + }, + "LUT4_114": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15678.5-15683.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1416 ], + "I1": [ 1458 ], + "I2": [ 1107 ], + "I3": [ 957 ], + "O": [ 2502 ] + } + }, + "LUT4_115": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15687.5-15692.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2503 ], + "I1": [ 1458 ], + "I2": [ 2504 ], + "I3": [ 1767 ], + "O": [ 2505 ] + } + }, + "LUT4_116": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15696.5-15701.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1768 ], + "I1": [ 269 ], + "I2": [ 1460 ], + "I3": [ 987 ], + "O": [ 2506 ] + } + }, + "LUT4_117": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15705.5-15710.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1037 ], + "I1": [ 1290 ], + "I2": [ 1311 ], + "I3": [ 1119 ], + "O": [ 2507 ] + } + }, + "LUT4_118": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15714.5-15719.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2508 ], + "I1": [ 1254 ], + "I2": [ 2509 ], + "I3": [ 2507 ], + "O": [ 1370 ] + } + }, + "LUT4_119": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15723.5-15728.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2508 ], + "I1": [ 1254 ], + "I2": [ 2509 ], + "I3": [ 2507 ], + "O": [ 1333 ] + } + }, + "LUT4_11a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15732.5-15737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1335 ], + "I2": [ 1248 ], + "I3": [ 2510 ], + "O": [ 1161 ] + } + }, + "LUT4_11b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15741.5-15746.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1779 ], + "I1": [ 1778 ], + "I2": [ 2511 ], + "I3": [ 1177 ], + "O": [ 1155 ] + } + }, + "LUT4_11c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15750.5-15755.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1780 ], + "I1": [ 1044 ], + "I2": [ 1356 ], + "I3": [ 2512 ], + "O": [ 1153 ] + } + }, + "LUT4_11d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15759.5-15764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2177 ], + "I1": [ 2513 ], + "I2": [ 1139 ], + "I3": [ 931 ], + "O": [ 2514 ] + } + }, + "LUT4_11e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15768.5-15773.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2177 ], + "I1": [ 2513 ], + "I2": [ 1139 ], + "I3": [ 931 ], + "O": [ 2515 ] + } + }, + "LUT4_11f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15777.5-15782.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2515 ], + "I1": [ 1044 ], + "I2": [ 2516 ], + "I3": [ 2514 ], + "O": [ 234 ] + } + }, + "LUT4_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15786.5-15791.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 257 ], + "I1": [ 433 ], + "I2": [ 1760 ], + "I3": [ 1761 ], + "O": [ 1585 ] + } + }, + "LUT4_120": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15795.5-15800.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2515 ], + "I1": [ 1044 ], + "I2": [ 2516 ], + "I3": [ 2514 ], + "O": [ 1151 ] + } + }, + "LUT4_121": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15804.5-15809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1775 ], + "I1": [ 1356 ], + "I2": [ 1077 ], + "I3": [ 2176 ], + "O": [ 1778 ] + } + }, + "LUT4_122": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15813.5-15818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 2517 ], + "I2": [ 2178 ], + "I3": [ 1687 ], + "O": [ 2518 ] + } + }, + "LUT4_123": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15822.5-15827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1687 ], + "I1": [ 1074 ], + "I2": [ 2178 ], + "I3": [ 2517 ], + "O": [ 2519 ] + } + }, + "LUT4_124": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15831.5-15836.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1360 ], + "I1": [ 1139 ], + "I2": [ 1462 ], + "I3": [ 1410 ], + "O": [ 2180 ] + } + }, + "LUT4_125": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15840.5-15845.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2520 ], + "I1": [ 1787 ], + "I2": [ 2181 ], + "I3": [ 2521 ], + "O": [ 1116 ] + } + }, + "LUT4_126": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15849.5-15854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 1787 ], + "I2": [ 2521 ], + "I3": [ 2520 ], + "O": [ 1222 ] + } + }, + "LUT4_127": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15858.5-15863.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2181 ], + "I1": [ 1787 ], + "I2": [ 2521 ], + "I3": [ 2520 ], + "O": [ 1177 ] + } + }, + "LUT4_128": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15867.5-15872.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2522 ], + "I1": [ 1362 ], + "I2": [ 1200 ], + "I3": [ 1161 ], + "O": [ 2523 ] + } + }, + "LUT4_129": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15876.5-15881.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1079 ], + "I1": [ 1288 ], + "I2": [ 1669 ], + "I3": [ 1324 ], + "O": [ 2189 ] + } + }, + "LUT4_12a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15885.5-15890.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1246 ], + "I1": [ 1218 ], + "I2": [ 1350 ], + "I3": [ 1389 ], + "O": [ 2524 ] + } + }, + "LUT4_12b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15894.5-15899.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1464 ], + "I1": [ 1433 ], + "I2": [ 1164 ], + "I3": [ 1113 ], + "O": [ 1799 ] + } + }, + "LUT4_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15903.5-15908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1782 ], + "I1": [ 1783 ], + "I2": [ 1761 ], + "I3": [ 2525 ], + "O": [ 2526 ] + } + }, + "LUT4_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15912.5-15917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 539 ], + "I1": [ 933 ], + "I2": [ 1596 ], + "I3": [ 1685 ], + "O": [ 2527 ] + } + }, + "LUT4_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15921.5-15926.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2528 ], + "I1": [ 2529 ], + "I2": [ 433 ], + "I3": [ 933 ], + "O": [ 2530 ] + } + }, + "LUT4_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15930.5-15935.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 1039 ], + "I2": [ 1958 ], + "I3": [ 1661 ], + "O": [ 2531 ] + } + }, + "LUT4_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15939.5-15944.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2212 ], + "I1": [ 539 ], + "I2": [ 401 ], + "I3": [ 1803 ], + "O": [ 2211 ] + } + }, + "LUT4_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15948.5-15953.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 2212 ], + "I2": [ 2211 ], + "I3": [ 539 ], + "O": [ 1693 ] + } + }, + "LUT4_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15957.5-15962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1695 ], + "I1": [ 1680 ], + "I2": [ 2532 ], + "I3": [ 931 ], + "O": [ 2533 ] + } + }, + "LUT4_1a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15966.5-15971.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1695 ], + "I1": [ 1680 ], + "I2": [ 2532 ], + "I3": [ 931 ], + "O": [ 2534 ] + } + }, + "LUT4_1b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15975.5-15980.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1633 ], + "I1": [ 1717 ], + "I2": [ 845 ], + "I3": [ 1805 ], + "O": [ 2535 ] + } + }, + "LUT4_1c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15984.5-15989.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1805 ], + "I1": [ 1633 ], + "I2": [ 845 ], + "I3": [ 1717 ], + "O": [ 2536 ] + } + }, + "LUT4_1d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:15993.5-15998.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 433 ], + "I1": [ 2214 ], + "I2": [ 510 ], + "I3": [ 937 ], + "O": [ 2537 ] + } + }, + "LUT4_1e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16002.5-16007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2216 ], + "I1": [ 433 ], + "I2": [ 537 ], + "I3": [ 2538 ], + "O": [ 1675 ] + } + }, + "LUT4_1f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16011.5-16016.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2218 ], + "I1": [ 1019 ], + "I2": [ 2219 ], + "I3": [ 1761 ], + "O": [ 2539 ] + } + }, + "LUT4_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16020.5-16025.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1559 ], + "I1": [ 2142 ], + "I2": [ 2432 ], + "I3": [ 1729 ], + "O": [ 1082 ] + } + }, + "LUT4_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16029.5-16034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2221 ], + "I1": [ 1138 ], + "I2": [ 2222 ], + "I3": [ 2540 ], + "O": [ 1810 ] + } + }, + "LUT4_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16038.5-16043.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1812 ], + "I1": [ 1811 ], + "I2": [ 1984 ], + "I3": [ 2541 ], + "O": [ 1722 ] + } + }, + "LUT4_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16047.5-16052.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 895 ], + "I1": [ 2542 ], + "I2": [ 1672 ], + "I3": [ 1674 ], + "O": [ 2225 ] + } + }, + "LUT4_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16056.5-16061.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2224 ], + "I1": [ 229 ], + "I2": [ 2225 ], + "I3": [ 2543 ], + "O": [ 1718 ] + } + }, + "LUT4_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16065.5-16070.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 489 ], + "I1": [ 1831 ], + "I2": [ 869 ], + "I3": [ 1596 ], + "O": [ 2544 ] + } + }, + "LUT4_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16074.5-16079.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 1636 ], + "I2": [ 1241 ], + "I3": [ 2223 ], + "O": [ 2545 ] + } + }, + "LUT4_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16083.5-16088.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1813 ], + "I1": [ 1814 ], + "I2": [ 2546 ], + "I3": [ 2547 ], + "O": [ 1710 ] + } + }, + "LUT4_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16092.5-16097.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 259 ], + "I1": [ 1318 ], + "I2": [ 555 ], + "I3": [ 1680 ], + "O": [ 2548 ] + } + }, + "LUT4_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16101.5-16106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1314 ], + "I1": [ 859 ], + "I2": [ 1316 ], + "I3": [ 687 ], + "O": [ 2549 ] + } + }, + "LUT4_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16110.5-16115.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1824 ], + "I1": [ 2549 ], + "I2": [ 1316 ], + "I3": [ 687 ], + "O": [ 2541 ] + } + }, + "LUT4_2a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16119.5-16124.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1824 ], + "I1": [ 2549 ], + "I2": [ 1316 ], + "I3": [ 687 ], + "O": [ 2550 ] + } + }, + "LUT4_2b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16128.5-16133.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1825 ], + "I1": [ 1826 ], + "I2": [ 2551 ], + "I3": [ 2106 ], + "O": [ 2552 ] + } + }, + "LUT4_2c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16137.5-16142.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1656 ], + "I1": [ 1664 ], + "I2": [ 171 ], + "I3": [ 2553 ], + "O": [ 1631 ] + } + }, + "LUT4_2d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16146.5-16151.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 947 ], + "I1": [ 1628 ], + "I2": [ 2554 ], + "I3": [ 837 ], + "O": [ 1660 ] + } + }, + "LUT4_2e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16155.5-16160.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2555 ], + "I1": [ 2541 ], + "I2": [ 1664 ], + "I3": [ 2556 ], + "O": [ 1587 ] + } + }, + "LUT4_2f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16164.5-16169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2555 ], + "I1": [ 2541 ], + "I2": [ 1664 ], + "I3": [ 2556 ], + "O": [ 1720 ] + } + }, + "LUT4_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16173.5-16178.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1082 ], + "I1": [ 845 ], + "I2": [ 2557 ], + "I3": [ 2558 ], + "O": [ 918 ] + } + }, + "LUT4_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16182.5-16187.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1416 ], + "I1": [ 1683 ], + "I2": [ 531 ], + "I3": [ 2559 ], + "O": [ 1716 ] + } + }, + "LUT4_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16191.5-16196.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1666 ], + "I1": [ 837 ], + "I2": [ 1396 ], + "I3": [ 1719 ], + "O": [ 2234 ] + } + }, + "LUT4_32": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16200.5-16205.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 193 ], + "I1": [ 487 ], + "I2": [ 1685 ], + "I3": [ 2560 ], + "O": [ 2561 ] + } + }, + "LUT4_33": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16209.5-16214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2240 ], + "I1": [ 2239 ], + "I2": [ 181 ], + "I3": [ 2238 ], + "O": [ 2562 ] + } + }, + "LUT4_34": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16218.5-16223.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2239 ], + "I1": [ 2240 ], + "I2": [ 2238 ], + "I3": [ 181 ], + "O": [ 1706 ] + } + }, + "LUT4_35": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16227.5-16232.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1615 ], + "I1": [ 2242 ], + "I2": [ 217 ], + "I3": [ 1003 ], + "O": [ 1140 ] + } + }, + "LUT4_36": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16236.5-16241.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2243 ], + "I1": [ 219 ], + "I2": [ 1707 ], + "I3": [ 2563 ], + "O": [ 1612 ] + } + }, + "LUT4_37": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16245.5-16250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1845 ], + "I1": [ 1846 ], + "I2": [ 1396 ], + "I3": [ 1399 ], + "O": [ 2564 ] + } + }, + "LUT4_38": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16254.5-16259.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 795 ], + "I1": [ 887 ], + "I2": [ 441 ], + "I3": [ 499 ], + "O": [ 2565 ] + } + }, + "LUT4_39": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16263.5-16268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 393 ], + "I1": [ 199 ], + "I2": [ 831 ], + "I3": [ 1695 ], + "O": [ 2566 ] + } + }, + "LUT4_3a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16272.5-16277.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 831 ], + "I1": [ 2002 ], + "I2": [ 2567 ], + "I3": [ 553 ], + "O": [ 250 ] + } + }, + "LUT4_3b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16281.5-16286.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 831 ], + "I1": [ 713 ], + "I2": [ 249 ], + "I3": [ 1867 ], + "O": [ 2568 ] + } + }, + "LUT4_3c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16290.5-16295.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 553 ], + "I1": [ 1869 ], + "I2": [ 2569 ], + "I3": [ 2570 ], + "O": [ 2253 ] + } + }, + "LUT4_3d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16299.5-16304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2571 ], + "I1": [ 865 ], + "I2": [ 2250 ], + "I3": [ 2572 ], + "O": [ 2573 ] + } + }, + "LUT4_3e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16308.5-16313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2571 ], + "I1": [ 865 ], + "I2": [ 2250 ], + "I3": [ 2572 ], + "O": [ 2251 ] + } + }, + "LUT4_3f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16317.5-16322.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2255 ], + "I1": [ 819 ], + "I2": [ 2257 ], + "I3": [ 2574 ], + "O": [ 550 ] + } + }, + "LUT4_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16326.5-16331.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 545 ], + "I1": [ 1896 ], + "I2": [ 1427 ], + "I3": [ 1924 ], + "O": [ 2575 ] + } + }, + "LUT4_40": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16335.5-16340.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2576 ], + "I1": [ 1854 ], + "I2": [ 2577 ], + "I3": [ 2578 ], + "O": [ 186 ] + } + }, + "LUT4_41": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16344.5-16349.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2576 ], + "I1": [ 1854 ], + "I2": [ 2577 ], + "I3": [ 2578 ], + "O": [ 222 ] + } + }, + "LUT4_42": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16353.5-16358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2258 ], + "I1": [ 521 ], + "I2": [ 765 ], + "I3": [ 1709 ], + "O": [ 1855 ] + } + }, + "LUT4_43": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16362.5-16367.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2259 ], + "I1": [ 2258 ], + "I2": [ 751 ], + "I3": [ 2579 ], + "O": [ 256 ] + } + }, + "LUT4_44": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16371.5-16376.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2259 ], + "I1": [ 2258 ], + "I2": [ 751 ], + "I3": [ 2579 ], + "O": [ 556 ] + } + }, + "LUT4_45": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16380.5-16385.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1859 ], + "I1": [ 1860 ], + "I2": [ 2580 ], + "I3": [ 383 ], + "O": [ 2581 ] + } + }, + "LUT4_46": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16389.5-16394.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 229 ], + "I1": [ 439 ], + "I2": [ 753 ], + "I3": [ 251 ], + "O": [ 1860 ] + } + }, + "LUT4_47": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16398.5-16403.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1859 ], + "I1": [ 2582 ], + "I2": [ 2583 ], + "I3": [ 1126 ], + "O": [ 168 ] + } + }, + "LUT4_48": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16407.5-16412.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1864 ], + "I1": [ 205 ], + "I2": [ 921 ], + "I3": [ 1862 ], + "O": [ 264 ] + } + }, + "LUT4_49": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16416.5-16421.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2264 ], + "I1": [ 1132 ], + "I2": [ 2265 ], + "I3": [ 2584 ], + "O": [ 194 ] + } + }, + "LUT4_4a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16425.5-16430.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 699 ], + "I1": [ 203 ], + "I2": [ 2264 ], + "I3": [ 2585 ], + "O": [ 2586 ] + } + }, + "LUT4_4b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16434.5-16439.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2268 ], + "I1": [ 2269 ], + "I2": [ 1019 ], + "I3": [ 481 ], + "O": [ 248 ] + } + }, + "LUT4_4c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16443.5-16448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2340 ], + "I1": [ 2587 ], + "I2": [ 1869 ], + "I3": [ 1466 ], + "O": [ 1870 ] + } + }, + "LUT4_4d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16452.5-16457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1870 ], + "I1": [ 1869 ], + "I2": [ 2340 ], + "I3": [ 2587 ], + "O": [ 518 ] + } + }, + "LUT4_4e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16461.5-16466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1886 ], + "I1": [ 377 ], + "I2": [ 751 ], + "I3": [ 1885 ], + "O": [ 282 ] + } + }, + "LUT4_4f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16470.5-16475.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 377 ], + "I1": [ 297 ], + "I2": [ 293 ], + "I3": [ 1877 ], + "O": [ 2278 ] + } + }, + "LUT4_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16479.5-16484.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 545 ], + "I1": [ 1896 ], + "I2": [ 1427 ], + "I3": [ 1924 ], + "O": [ 1537 ] + } + }, + "LUT4_50": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16488.5-16493.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2277 ], + "I1": [ 300 ], + "I2": [ 2278 ], + "I3": [ 313 ], + "O": [ 278 ] + } + }, + "LUT4_51": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16497.5-16502.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 775 ], + "I1": [ 275 ], + "I2": [ 335 ], + "I3": [ 2588 ], + "O": [ 2589 ] + } + }, + "LUT4_52": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16506.5-16511.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 199 ], + "I1": [ 1892 ], + "I2": [ 2279 ], + "I3": [ 1649 ], + "O": [ 1897 ] + } + }, + "LUT4_53": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16515.5-16520.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1877 ], + "I1": [ 1890 ], + "I2": [ 2590 ], + "I3": [ 1892 ], + "O": [ 2591 ] + } + }, + "LUT4_54": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16524.5-16529.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1897 ], + "I1": [ 1898 ], + "I2": [ 1894 ], + "I3": [ 1893 ], + "O": [ 172 ] + } + }, + "LUT4_55": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16533.5-16538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 538 ], + "I1": [ 1904 ], + "I2": [ 781 ], + "I3": [ 2592 ], + "O": [ 2593 ] + } + }, + "LUT4_56": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16542.5-16547.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1899 ], + "I1": [ 1900 ], + "I2": [ 2594 ], + "I3": [ 2595 ], + "O": [ 224 ] + } + }, + "LUT4_57": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16551.5-16556.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 625 ], + "I1": [ 2283 ], + "I2": [ 751 ], + "I3": [ 199 ], + "O": [ 1902 ] + } + }, + "LUT4_58": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16560.5-16565.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2286 ], + "I1": [ 1904 ], + "I2": [ 1903 ], + "I3": [ 239 ], + "O": [ 1136 ] + } + }, + "LUT4_59": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16569.5-16574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1131 ], + "I1": [ 781 ], + "I2": [ 2596 ], + "I3": [ 2592 ], + "O": [ 2286 ] + } + }, + "LUT4_5a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16578.5-16583.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1904 ], + "I1": [ 1697 ], + "I2": [ 751 ], + "I3": [ 1877 ], + "O": [ 2597 ] + } + }, + "LUT4_5b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16587.5-16592.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1912 ], + "I1": [ 2597 ], + "I2": [ 1697 ], + "I3": [ 2592 ], + "O": [ 1913 ] + } + }, + "LUT4_5c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16596.5-16601.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 325 ], + "I2": [ 587 ], + "I3": [ 259 ], + "O": [ 2598 ] + } + }, + "LUT4_5d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16605.5-16610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2599 ], + "I1": [ 309 ], + "I2": [ 2293 ], + "I3": [ 1649 ], + "O": [ 616 ] + } + }, + "LUT4_5e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16614.5-16619.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 632 ], + "I1": [ 2298 ], + "I2": [ 2295 ], + "I3": [ 1920 ], + "O": [ 304 ] + } + }, + "LUT4_5f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16623.5-16628.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 632 ], + "I1": [ 1920 ], + "I2": [ 2298 ], + "I3": [ 2295 ], + "O": [ 306 ] + } + }, + "LUT4_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16632.5-16637.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2364 ], + "I1": [ 2249 ], + "I2": [ 2365 ], + "I3": [ 1286 ], + "O": [ 2600 ] + } + }, + "LUT4_60": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16641.5-16646.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 327 ], + "I1": [ 2601 ], + "I2": [ 345 ], + "I3": [ 371 ], + "O": [ 2602 ] + } + }, + "LUT4_61": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16650.5-16655.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 2294 ], + "I2": [ 2603 ], + "I3": [ 371 ], + "O": [ 2604 ] + } + }, + "LUT4_62": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16659.5-16664.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2294 ], + "I1": [ 2299 ], + "I2": [ 2300 ], + "I3": [ 791 ], + "O": [ 360 ] + } + }, + "LUT4_63": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16668.5-16673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1274 ], + "I1": [ 769 ], + "I2": [ 303 ], + "I3": [ 357 ], + "O": [ 2605 ] + } + }, + "LUT4_64": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16677.5-16682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 2606 ], + "I2": [ 1701 ], + "I3": [ 481 ], + "O": [ 1922 ] + } + }, + "LUT4_65": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16686.5-16691.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2606 ], + "I1": [ 227 ], + "I2": [ 2607 ], + "I3": [ 405 ], + "O": [ 2608 ] + } + }, + "LUT4_66": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16695.5-16700.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 649 ], + "I1": [ 1137 ], + "I2": [ 481 ], + "I3": [ 2608 ], + "O": [ 314 ] + } + }, + "LUT4_67": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16704.5-16709.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1687 ], + "I1": [ 593 ], + "I2": [ 1869 ], + "I3": [ 901 ], + "O": [ 2609 ] + } + }, + "LUT4_68": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16713.5-16718.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1927 ], + "I1": [ 1928 ], + "I2": [ 2610 ], + "I3": [ 2611 ], + "O": [ 610 ] + } + }, + "LUT4_69": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16722.5-16727.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 351 ], + "I1": [ 2612 ], + "I2": [ 2302 ], + "I3": [ 2303 ], + "O": [ 598 ] + } + }, + "LUT4_6a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16731.5-16736.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2302 ], + "I1": [ 2303 ], + "I2": [ 351 ], + "I3": [ 2612 ], + "O": [ 324 ] + } + }, + "LUT4_6b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16740.5-16745.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 265 ], + "I1": [ 517 ], + "I2": [ 453 ], + "I3": [ 2613 ], + "O": [ 2614 ] + } + }, + "LUT4_6c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16749.5-16754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 265 ], + "I1": [ 517 ], + "I2": [ 453 ], + "I3": [ 2613 ], + "O": [ 2615 ] + } + }, + "LUT4_6d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16758.5-16763.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1927 ], + "I1": [ 2304 ], + "I2": [ 2305 ], + "I3": [ 701 ], + "O": [ 608 ] + } + }, + "LUT4_6e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16767.5-16772.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2616 ], + "I1": [ 1929 ], + "I2": [ 2301 ], + "I3": [ 2617 ], + "O": [ 596 ] + } + }, + "LUT4_6f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16776.5-16781.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 2616 ], + "I2": [ 453 ], + "I3": [ 341 ], + "O": [ 1931 ] + } + }, + "LUT4_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16785.5-16790.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 817 ], + "I1": [ 981 ], + "I2": [ 2618 ], + "I3": [ 2342 ], + "O": [ 2619 ] + } + }, + "LUT4_70": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16794.5-16799.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1931 ], + "I1": [ 1930 ], + "I2": [ 453 ], + "I3": [ 2616 ], + "O": [ 2620 ] + } + }, + "LUT4_71": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16803.5-16808.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1932 ], + "I1": [ 1933 ], + "I2": [ 2621 ], + "I3": [ 279 ], + "O": [ 644 ] + } + }, + "LUT4_72": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16812.5-16817.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1933 ], + "I1": [ 279 ], + "I2": [ 2621 ], + "I3": [ 1932 ], + "O": [ 2622 ] + } + }, + "LUT4_73": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16821.5-16826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2623 ], + "I1": [ 1948 ], + "I2": [ 583 ], + "I3": [ 615 ], + "O": [ 1939 ] + } + }, + "LUT4_74": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16830.5-16835.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 331 ], + "I1": [ 871 ], + "I2": [ 261 ], + "I3": [ 601 ], + "O": [ 2309 ] + } + }, + "LUT4_75": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16839.5-16844.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2319 ], + "I1": [ 2320 ], + "I2": [ 599 ], + "I3": [ 2623 ], + "O": [ 1944 ] + } + }, + "LUT4_76": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16848.5-16853.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 2283 ], + "I2": [ 621 ], + "I3": [ 2624 ], + "O": [ 1947 ] + } + }, + "LUT4_77": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16857.5-16862.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1948 ], + "I1": [ 1947 ], + "I2": [ 2625 ], + "I3": [ 588 ], + "O": [ 604 ] + } + }, + "LUT4_78": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16866.5-16871.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1947 ], + "I1": [ 1948 ], + "I2": [ 2625 ], + "I3": [ 588 ], + "O": [ 312 ] + } + }, + "LUT4_79": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16875.5-16880.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1949 ], + "I1": [ 1950 ], + "I2": [ 590 ], + "I3": [ 332 ], + "O": [ 342 ] + } + }, + "LUT4_7a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16884.5-16889.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2322 ], + "I1": [ 689 ], + "I2": [ 723 ], + "I3": [ 221 ], + "O": [ 2626 ] + } + }, + "LUT4_7b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16893.5-16898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 233 ], + "I1": [ 291 ], + "I2": [ 2627 ], + "I3": [ 2333 ], + "O": [ 2628 ] + } + }, + "LUT4_7c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16902.5-16907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 371 ], + "I1": [ 723 ], + "I2": [ 687 ], + "I3": [ 371 ], + "O": [ 2327 ] + } + }, + "LUT4_7d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16911.5-16916.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 689 ], + "I1": [ 185 ], + "I2": [ 1955 ], + "I3": [ 1624 ], + "O": [ 2629 ] + } + }, + "LUT4_7e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16920.5-16925.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2331 ], + "I1": [ 2332 ], + "I2": [ 1578 ], + "I3": [ 2324 ], + "O": [ 686 ] + } + }, + "LUT4_7f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16929.5-16934.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1957 ], + "I1": [ 725 ], + "I2": [ 1956 ], + "I3": [ 751 ], + "O": [ 712 ] + } + }, + "LUT4_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16938.5-16943.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 999 ], + "I1": [ 1008 ], + "I2": [ 845 ], + "I3": [ 963 ], + "O": [ 2382 ] + } + }, + "LUT4_80": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16947.5-16952.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 751 ], + "I2": [ 555 ], + "I3": [ 725 ], + "O": [ 2630 ] + } + }, + "LUT4_81": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16956.5-16961.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 751 ], + "I2": [ 2630 ], + "I3": [ 2631 ], + "O": [ 736 ] + } + }, + "LUT4_82": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16965.5-16970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 733 ], + "I1": [ 705 ], + "I2": [ 401 ], + "I3": [ 845 ], + "O": [ 2290 ] + } + }, + "LUT4_83": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16974.5-16979.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2632 ], + "I1": [ 1971 ], + "I2": [ 2633 ], + "I3": [ 1970 ], + "O": [ 202 ] + } + }, + "LUT4_84": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16983.5-16988.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1970 ], + "I1": [ 1971 ], + "I2": [ 2632 ], + "I3": [ 2633 ], + "O": [ 622 ] + } + }, + "LUT4_85": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:16992.5-16997.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1969 ], + "I1": [ 2634 ], + "I2": [ 2632 ], + "I3": [ 1968 ], + "O": [ 1972 ] + } + }, + "LUT4_86": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17001.5-17006.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1969 ], + "I1": [ 2634 ], + "I2": [ 1968 ], + "I3": [ 2632 ], + "O": [ 218 ] + } + }, + "LUT4_87": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17010.5-17015.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1969 ], + "I1": [ 2634 ], + "I2": [ 1968 ], + "I3": [ 2632 ], + "O": [ 1973 ] + } + }, + "LUT4_88": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17019.5-17024.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2338 ], + "I1": [ 743 ], + "I2": [ 853 ], + "I3": [ 2635 ], + "O": [ 722 ] + } + }, + "LUT4_89": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17028.5-17033.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1975 ], + "I1": [ 1977 ], + "I2": [ 244 ], + "I3": [ 218 ], + "O": [ 740 ] + } + }, + "LUT4_8a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17037.5-17042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 389 ], + "I1": [ 1974 ], + "I2": [ 2636 ], + "I3": [ 2637 ], + "O": [ 2339 ] + } + }, + "LUT4_8b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17046.5-17051.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2341 ], + "I1": [ 664 ], + "I2": [ 2340 ], + "I3": [ 2638 ], + "O": [ 708 ] + } + }, + "LUT4_8c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17055.5-17060.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2341 ], + "I1": [ 664 ], + "I2": [ 2340 ], + "I3": [ 2638 ], + "O": [ 274 ] + } + }, + "LUT4_8d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17064.5-17069.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 1039 ], + "I2": [ 2344 ], + "I3": [ 843 ], + "O": [ 2639 ] + } + }, + "LUT4_8e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17073.5-17078.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 676 ], + "I1": [ 2346 ], + "I2": [ 1978 ], + "I3": [ 651 ], + "O": [ 238 ] + } + }, + "LUT4_8f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17082.5-17087.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2640 ], + "I1": [ 179 ], + "I2": [ 567 ], + "I3": [ 771 ], + "O": [ 2641 ] + } + }, + "LUT4_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17091.5-17096.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 999 ], + "I1": [ 1008 ], + "I2": [ 845 ], + "I3": [ 963 ], + "O": [ 2383 ] + } + }, + "LUT4_90": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17100.5-17105.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2350 ], + "I1": [ 1624 ], + "I2": [ 191 ], + "I3": [ 2351 ], + "O": [ 694 ] + } + }, + "LUT4_91": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17109.5-17114.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 191 ], + "I1": [ 1883 ], + "I2": [ 573 ], + "I3": [ 2642 ], + "O": [ 706 ] + } + }, + "LUT4_92": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17118.5-17123.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2643 ], + "I1": [ 1982 ], + "I2": [ 1981 ], + "I3": [ 2353 ], + "O": [ 670 ] + } + }, + "LUT4_93": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17127.5-17132.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1984 ], + "I1": [ 879 ], + "I2": [ 2356 ], + "I3": [ 2357 ], + "O": [ 2644 ] + } + }, + "LUT4_94": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17136.5-17141.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 809 ], + "I1": [ 1986 ], + "I2": [ 2358 ], + "I3": [ 2361 ], + "O": [ 376 ] + } + }, + "LUT4_95": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17145.5-17150.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1133 ], + "I1": [ 879 ], + "I2": [ 393 ], + "I3": [ 859 ], + "O": [ 2645 ] + } + }, + "LUT4_96": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17154.5-17159.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1133 ], + "I1": [ 879 ], + "I2": [ 393 ], + "I3": [ 859 ], + "O": [ 2646 ] + } + }, + "LUT4_97": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17163.5-17168.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 399 ], + "I1": [ 423 ], + "I2": [ 2362 ], + "I3": [ 757 ], + "O": [ 428 ] + } + }, + "LUT4_98": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17172.5-17177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 823 ], + "I1": [ 859 ], + "I2": [ 879 ], + "I3": [ 505 ], + "O": [ 2647 ] + } + }, + "LUT4_99": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17181.5-17186.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1990 ], + "I1": [ 1991 ], + "I2": [ 2648 ], + "I3": [ 773 ], + "O": [ 854 ] + } + }, + "LUT4_9a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17190.5-17195.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 911 ], + "I1": [ 1995 ], + "I2": [ 841 ], + "I3": [ 2649 ], + "O": [ 384 ] + } + }, + "LUT4_9b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17199.5-17204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1996 ], + "I1": [ 427 ], + "I2": [ 2650 ], + "I3": [ 2651 ], + "O": [ 378 ] + } + }, + "LUT4_9c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17208.5-17213.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1996 ], + "I1": [ 427 ], + "I2": [ 2650 ], + "I3": [ 2651 ], + "O": [ 410 ] + } + }, + "LUT4_9d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17217.5-17222.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 441 ], + "I1": [ 894 ], + "I2": [ 403 ], + "I3": [ 2367 ], + "O": [ 916 ] + } + }, + "LUT4_9e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17226.5-17231.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 560 ], + "I1": [ 558 ], + "I2": [ 2368 ], + "I3": [ 2644 ], + "O": [ 414 ] + } + }, + "LUT4_9f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17235.5-17240.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2340 ], + "I1": [ 390 ], + "I2": [ 2370 ], + "I3": [ 2652 ], + "O": [ 2653 ] + } + }, + "LUT4_a": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17244.5-17249.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1542 ], + "I1": [ 2382 ], + "I2": [ 2383 ], + "I3": [ 985 ], + "O": [ 748 ] + } + }, + "LUT4_a0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17253.5-17258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1399 ], + "I1": [ 827 ], + "I2": [ 777 ], + "I3": [ 763 ], + "O": [ 2654 ] + } + }, + "LUT4_a1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17262.5-17267.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 883 ], + "I1": [ 861 ], + "I2": [ 2372 ], + "I3": [ 2373 ], + "O": [ 430 ] + } + }, + "LUT4_a2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17271.5-17276.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 883 ], + "I1": [ 861 ], + "I2": [ 2372 ], + "I3": [ 2373 ], + "O": [ 426 ] + } + }, + "LUT4_a3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17280.5-17285.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1999 ], + "I1": [ 2000 ], + "I2": [ 2375 ], + "I3": [ 2010 ], + "O": [ 860 ] + } + }, + "LUT4_a4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17289.5-17294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2655 ], + "I1": [ 2377 ], + "I2": [ 893 ], + "I3": [ 875 ], + "O": [ 2656 ] + } + }, + "LUT4_a5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17298.5-17303.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 479 ], + "I1": [ 2657 ], + "I2": [ 2658 ], + "I3": [ 2017 ], + "O": [ 2001 ] + } + }, + "LUT4_a6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17307.5-17312.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2012 ], + "I1": [ 2006 ], + "I2": [ 865 ], + "I3": [ 2004 ], + "O": [ 2659 ] + } + }, + "LUT4_a7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17316.5-17321.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2381 ], + "I1": [ 889 ], + "I2": [ 431 ], + "I3": [ 2005 ], + "O": [ 2010 ] + } + }, + "LUT4_a8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17325.5-17330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1131 ], + "I1": [ 2660 ], + "I2": [ 435 ], + "I3": [ 385 ], + "O": [ 2661 ] + } + }, + "LUT4_a9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17334.5-17339.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 385 ], + "I1": [ 2013 ], + "I2": [ 2012 ], + "I3": [ 2662 ], + "O": [ 440 ] + } + }, + "LUT4_aa": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17343.5-17348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2009 ], + "I1": [ 572 ], + "I2": [ 524 ], + "I3": [ 415 ], + "O": [ 432 ] + } + }, + "LUT4_ab": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17352.5-17357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 815 ], + "I1": [ 723 ], + "I2": [ 875 ], + "I3": [ 789 ], + "O": [ 2578 ] + } + }, + "LUT4_ac": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17361.5-17366.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1647 ], + "I1": [ 757 ], + "I2": [ 2014 ], + "I3": [ 811 ], + "O": [ 2388 ] + } + }, + "LUT4_ad": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17370.5-17375.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 833 ], + "I1": [ 2388 ], + "I2": [ 528 ], + "I3": [ 791 ], + "O": [ 2663 ] + } + }, + "LUT4_ae": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17379.5-17384.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2390 ], + "I1": [ 791 ], + "I2": [ 869 ], + "I3": [ 881 ], + "O": [ 2664 ] + } + }, + "LUT4_af": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17388.5-17393.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2393 ], + "I1": [ 2395 ], + "I2": [ 2394 ], + "I3": [ 403 ], + "O": [ 750 ] + } + }, + "LUT4_b": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17397.5-17402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1542 ], + "I1": [ 2382 ], + "I2": [ 2383 ], + "I3": [ 985 ], + "O": [ 2232 ] + } + }, + "LUT4_b0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17406.5-17411.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2393 ], + "I1": [ 2394 ], + "I2": [ 2395 ], + "I3": [ 403 ], + "O": [ 760 ] + } + }, + "LUT4_b1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17415.5-17420.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2665 ], + "I1": [ 893 ], + "I2": [ 2354 ], + "I3": [ 2666 ], + "O": [ 2397 ] + } + }, + "LUT4_b2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17424.5-17429.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 379 ], + "I1": [ 485 ], + "I2": [ 2397 ], + "I3": [ 2398 ], + "O": [ 540 ] + } + }, + "LUT4_b3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17433.5-17438.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2002 ], + "I1": [ 397 ], + "I2": [ 1399 ], + "I3": [ 2665 ], + "O": [ 2667 ] + } + }, + "LUT4_b4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17442.5-17447.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 2668 ], + "I2": [ 901 ], + "I3": [ 2669 ], + "O": [ 2399 ] + } + }, + "LUT4_b5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17451.5-17456.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2667 ], + "I1": [ 2668 ], + "I2": [ 901 ], + "I3": [ 2669 ], + "O": [ 2016 ] + } + }, + "LUT4_b6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17460.5-17465.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 439 ], + "I1": [ 867 ], + "I2": [ 2670 ], + "I3": [ 2671 ], + "O": [ 2400 ] + } + }, + "LUT4_b7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17469.5-17474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2400 ], + "I1": [ 2401 ], + "I2": [ 2402 ], + "I3": [ 2672 ], + "O": [ 768 ] + } + }, + "LUT4_b8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17478.5-17483.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2403 ], + "I1": [ 529 ], + "I2": [ 2354 ], + "I3": [ 2668 ], + "O": [ 2017 ] + } + }, + "LUT4_b9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17487.5-17492.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 2405 ], + "I2": [ 2021 ], + "I3": [ 439 ], + "O": [ 866 ] + } + }, + "LUT4_ba": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17496.5-17501.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2407 ], + "I1": [ 2387 ], + "I2": [ 2023 ], + "I3": [ 2022 ], + "O": [ 2673 ] + } + }, + "LUT4_bb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17505.5-17510.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1125 ], + "I1": [ 2387 ], + "I2": [ 467 ], + "I3": [ 2592 ], + "O": [ 2674 ] + } + }, + "LUT4_bc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17514.5-17519.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 541 ], + "I1": [ 1399 ], + "I2": [ 383 ], + "I3": [ 895 ], + "O": [ 2675 ] + } + }, + "LUT4_bd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17523.5-17528.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2025 ], + "I1": [ 2026 ], + "I2": [ 831 ], + "I3": [ 1913 ], + "O": [ 874 ] + } + }, + "LUT4_be": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17532.5-17537.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 909 ], + "I1": [ 217 ], + "I2": [ 1131 ], + "I3": [ 2676 ], + "O": [ 464 ] + } + }, + "LUT4_bf": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17541.5-17546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2027 ], + "I1": [ 2028 ], + "I2": [ 2410 ], + "I3": [ 2409 ], + "O": [ 790 ] + } + }, + "LUT4_c": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17550.5-17555.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2163 ], + "I1": [ 2143 ], + "I2": [ 2144 ], + "I3": [ 2249 ], + "O": [ 2677 ] + } + }, + "LUT4_c0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17559.5-17564.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2678 ], + "I1": [ 2679 ], + "I2": [ 2680 ], + "I3": [ 2681 ], + "O": [ 558 ] + } + }, + "LUT4_c1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17568.5-17573.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2679 ], + "I1": [ 859 ], + "I2": [ 1624 ], + "I3": [ 2034 ], + "O": [ 472 ] + } + }, + "LUT4_c2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17577.5-17582.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1709 ], + "I1": [ 897 ], + "I2": [ 419 ], + "I3": [ 761 ], + "O": [ 2682 ] + } + }, + "LUT4_c3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17586.5-17591.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2033 ], + "I1": [ 2683 ], + "I2": [ 2407 ], + "I3": [ 1123 ], + "O": [ 468 ] + } + }, + "LUT4_c4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17595.5-17600.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 857 ], + "I1": [ 419 ], + "I2": [ 901 ], + "I3": [ 2684 ], + "O": [ 828 ] + } + }, + "LUT4_c5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17604.5-17609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1709 ], + "I1": [ 475 ], + "I2": [ 2035 ], + "I3": [ 2682 ], + "O": [ 2683 ] + } + }, + "LUT4_c6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17613.5-17618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 907 ], + "I2": [ 899 ], + "I3": [ 895 ], + "O": [ 2685 ] + } + }, + "LUT4_c7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17622.5-17627.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 195 ], + "I1": [ 471 ], + "I2": [ 1958 ], + "I3": [ 379 ], + "O": [ 2686 ] + } + }, + "LUT4_c8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17631.5-17636.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2413 ], + "I1": [ 1649 ], + "I2": [ 1966 ], + "I3": [ 2043 ], + "O": [ 814 ] + } + }, + "LUT4_c9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17640.5-17645.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2051 ], + "I1": [ 2052 ], + "I2": [ 2687 ], + "I3": [ 2688 ], + "O": [ 2689 ] + } + }, + "LUT4_ca": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17649.5-17654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2418 ], + "I1": [ 2690 ], + "I2": [ 781 ], + "I3": [ 2420 ], + "O": [ 474 ] + } + }, + "LUT4_cb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17658.5-17663.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2418 ], + "I1": [ 2690 ], + "I2": [ 781 ], + "I3": [ 2420 ], + "O": [ 848 ] + } + }, + "LUT4_cc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17667.5-17672.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2421 ], + "I1": [ 2422 ], + "I2": [ 2413 ], + "I3": [ 2691 ], + "O": [ 804 ] + } + }, + "LUT4_cd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17676.5-17681.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2676 ], + "I1": [ 549 ], + "I2": [ 543 ], + "I3": [ 2413 ], + "O": [ 2692 ] + } + }, + "LUT4_ce": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17685.5-17690.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2426 ], + "I1": [ 2055 ], + "I2": [ 2427 ], + "I3": [ 2054 ], + "O": [ 796 ] + } + }, + "LUT4_cf": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17694.5-17699.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2429 ], + "I1": [ 2059 ], + "I2": [ 933 ], + "I3": [ 2693 ], + "O": [ 1545 ] + } + }, + "LUT4_d": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17703.5-17708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1607 ], + "I1": [ 995 ], + "I2": [ 989 ], + "I3": [ 1058 ], + "O": [ 2694 ] + } + }, + "LUT4_d0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17712.5-17717.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 533 ], + "I1": [ 1611 ], + "I2": [ 1638 ], + "I3": [ 2695 ], + "O": [ 1547 ] + } + }, + "LUT4_d1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17721.5-17726.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 533 ], + "I1": [ 1611 ], + "I2": [ 1638 ], + "I3": [ 2695 ], + "O": [ 2696 ] + } + }, + "LUT4_d2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17730.5-17735.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1659 ], + "I1": [ 1638 ], + "I2": [ 1553 ], + "I3": [ 935 ], + "O": [ 2432 ] + } + }, + "LUT4_d3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17739.5-17744.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 555 ], + "I1": [ 487 ], + "I2": [ 510 ], + "I3": [ 1633 ], + "O": [ 2697 ] + } + }, + "LUT4_d4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17748.5-17753.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2435 ], + "I1": [ 2065 ], + "I2": [ 2697 ], + "I3": [ 2698 ], + "O": [ 1392 ] + } + }, + "LUT4_d5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17757.5-17762.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 989 ], + "I1": [ 1085 ], + "I2": [ 1399 ], + "I3": [ 979 ], + "O": [ 2699 ] + } + }, + "LUT4_d6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17766.5-17771.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1054 ], + "I1": [ 983 ], + "I2": [ 1378 ], + "I3": [ 2438 ], + "O": [ 2071 ] + } + }, + "LUT4_d7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17775.5-17780.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1012 ], + "I1": [ 885 ], + "I2": [ 2078 ], + "I3": [ 2700 ], + "O": [ 1179 ] + } + }, + "LUT4_d8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17784.5-17789.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2076 ], + "I1": [ 885 ], + "I2": [ 1091 ], + "I3": [ 2701 ], + "O": [ 2702 ] + } + }, + "LUT4_d9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17793.5-17798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2078 ], + "I1": [ 2077 ], + "I2": [ 2702 ], + "I3": [ 2703 ], + "O": [ 1201 ] + } + }, + "LUT4_da": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17802.5-17807.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 919 ], + "I1": [ 2094 ], + "I2": [ 2449 ], + "I3": [ 2448 ], + "O": [ 1203 ] + } + }, + "LUT4_db": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17811.5-17816.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2095 ], + "I1": [ 2096 ], + "I2": [ 2704 ], + "I3": [ 2705 ], + "O": [ 578 ] + } + }, + "LUT4_dc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17820.5-17825.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1154 ], + "I1": [ 1366 ], + "I2": [ 1091 ], + "I3": [ 1374 ], + "O": [ 2706 ] + } + }, + "LUT4_dd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17829.5-17834.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2097 ], + "I1": [ 2098 ], + "I2": [ 2103 ], + "I3": [ 2707 ], + "O": [ 2708 ] + } + }, + "LUT4_de": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17838.5-17843.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1227 ], + "I1": [ 1023 ], + "I2": [ 1376 ], + "I3": [ 1362 ], + "O": [ 2709 ] + } + }, + "LUT4_df": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17847.5-17852.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1227 ], + "I1": [ 1087 ], + "I2": [ 2710 ], + "I3": [ 577 ], + "O": [ 2711 ] + } + }, + "LUT4_e": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17856.5-17861.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1615 ], + "I1": [ 1707 ], + "I2": [ 2195 ], + "I3": [ 2196 ], + "O": [ 2200 ] + } + }, + "LUT4_e0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17865.5-17870.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2712 ], + "I1": [ 2713 ], + "I2": [ 577 ], + "I3": [ 2714 ], + "O": [ 1428 ] + } + }, + "LUT4_e1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17874.5-17879.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2712 ], + "I1": [ 2713 ], + "I2": [ 577 ], + "I3": [ 2714 ], + "O": [ 884 ] + } + }, + "LUT4_e2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17883.5-17888.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 1362 ], + "I2": [ 1089 ], + "I3": [ 1382 ], + "O": [ 2710 ] + } + }, + "LUT4_e3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17892.5-17897.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 1009 ], + "I2": [ 2715 ], + "I3": [ 2454 ], + "O": [ 476 ] + } + }, + "LUT4_e4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17901.5-17906.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 1009 ], + "I2": [ 2715 ], + "I3": [ 2454 ], + "O": [ 1118 ] + } + }, + "LUT4_e5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17910.5-17915.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2100 ], + "I1": [ 2101 ], + "I2": [ 2716 ], + "I3": [ 2099 ], + "O": [ 2717 ] + } + }, + "LUT4_e6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17919.5-17924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2457 ], + "I1": [ 2102 ], + "I2": [ 2456 ], + "I3": [ 2718 ], + "O": [ 1212 ] + } + }, + "LUT4_e7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17928.5-17933.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 2106 ], + "I2": [ 2719 ], + "I3": [ 2109 ], + "O": [ 1199 ] + } + }, + "LUT4_e8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17937.5-17942.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1401 ], + "I1": [ 2458 ], + "I2": [ 485 ], + "I3": [ 1958 ], + "O": [ 2719 ] + } + }, + "LUT4_e9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17946.5-17951.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2460 ], + "I1": [ 1600 ], + "I2": [ 2116 ], + "I3": [ 2720 ], + "O": [ 612 ] + } + }, + "LUT4_ea": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17955.5-17960.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2111 ], + "I1": [ 1278 ], + "I2": [ 1707 ], + "I3": [ 511 ], + "O": [ 2721 ] + } + }, + "LUT4_eb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17964.5-17969.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2114 ], + "I1": [ 1095 ], + "I2": [ 935 ], + "I3": [ 2722 ], + "O": [ 2466 ] + } + }, + "LUT4_ec": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17973.5-17978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2116 ], + "I1": [ 2120 ], + "I2": [ 2723 ], + "I3": [ 2115 ], + "O": [ 2724 ] + } + }, + "LUT4_ed": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17982.5-17987.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2725 ], + "I1": [ 2458 ], + "I2": [ 2117 ], + "I3": [ 985 ], + "O": [ 1108 ] + } + }, + "LUT4_ee": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:17991.5-17996.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2725 ], + "I1": [ 2458 ], + "I2": [ 2117 ], + "I3": [ 985 ], + "O": [ 1143 ] + } + }, + "LUT4_ef": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18000.5-18005.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 965 ], + "I1": [ 1446 ], + "I2": [ 577 ], + "I3": [ 960 ], + "O": [ 2726 ] + } + }, + "LUT4_f": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18009.5-18014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1615 ], + "I1": [ 2727 ], + "I2": [ 2196 ], + "I3": [ 2728 ], + "O": [ 2729 ] + } + }, + "LUT4_f0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18018.5-18023.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2704 ], + "I1": [ 2090 ], + "I2": [ 1448 ], + "I3": [ 257 ], + "O": [ 2730 ] + } + }, + "LUT4_f1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18027.5-18032.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2731 ], + "I1": [ 1448 ], + "I2": [ 2726 ], + "I3": [ 2732 ], + "O": [ 1238 ] + } + }, + "LUT4_f2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18036.5-18041.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2726 ], + "I1": [ 1448 ], + "I2": [ 2732 ], + "I3": [ 2731 ], + "O": [ 782 ] + } + }, + "LUT4_f3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18045.5-18050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2726 ], + "I1": [ 1448 ], + "I2": [ 2732 ], + "I3": [ 2731 ], + "O": [ 2733 ] + } + }, + "LUT4_f4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18054.5-18059.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1340 ], + "I1": [ 1382 ], + "I2": [ 2734 ], + "I3": [ 1391 ], + "O": [ 2472 ] + } + }, + "LUT4_f5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18063.5-18068.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2127 ], + "I1": [ 2472 ], + "I2": [ 2473 ], + "I3": [ 2129 ], + "O": [ 2125 ] + } + }, + "LUT4_f6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18072.5-18077.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1382 ], + "I1": [ 1374 ], + "I2": [ 2735 ], + "I3": [ 889 ], + "O": [ 1289 ] + } + }, + "LUT4_f7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18081.5-18086.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2133 ], + "I1": [ 2134 ], + "I2": [ 2135 ], + "I3": [ 2131 ], + "O": [ 1302 ] + } + }, + "LUT4_f8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18090.5-18095.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 979 ], + "I1": [ 983 ], + "I2": [ 1356 ], + "I3": [ 1416 ], + "O": [ 2736 ] + } + }, + "LUT4_f9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18099.5-18104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1735 ], + "I1": [ 2153 ], + "I2": [ 2737 ], + "I3": [ 217 ], + "O": [ 1306 ] + } + }, + "LUT4_fa": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18108.5-18113.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1735 ], + "I1": [ 217 ], + "I2": [ 2737 ], + "I3": [ 2153 ], + "O": [ 1322 ] + } + }, + "LUT4_fb": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18117.5-18122.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 2480 ], + "I2": [ 2481 ], + "I3": [ 2437 ], + "O": [ 1319 ] + } + }, + "LUT4_fc": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18126.5-18131.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1192 ], + "I1": [ 1258 ], + "I2": [ 1235 ], + "I3": [ 1233 ], + "O": [ 1741 ] + } + }, + "LUT4_fd": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18135.5-18140.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1450 ], + "I1": [ 1049 ], + "I2": [ 1332 ], + "I3": [ 1213 ], + "O": [ 1750 ] + } + }, + "LUT4_fe": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18144.5-18149.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1744 ], + "I1": [ 1056 ], + "I2": [ 2738 ], + "I3": [ 2739 ], + "O": [ 1310 ] + } + }, + "LUT4_ff": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18153.5-18158.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 1192 ], + "I1": [ 1330 ], + "I2": [ 1452 ], + "I3": [ 2740 ], + "O": [ 2741 ] + } + }, + "LUT5_0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18162.5-18168.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1080 ], + "I1": [ 999 ], + "I2": [ 1584 ], + "I3": [ 1435 ], + "I4": [ 2550 ], + "O": [ 2742 ] + } + }, + "LUT5_1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18172.5-18178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1001 ], + "I1": [ 1695 ], + "I2": [ 845 ], + "I3": [ 1213 ], + "I4": [ 1542 ], + "O": [ 2743 ] + } + }, + "LUT5_10": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18182.5-18188.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 867 ], + "I1": [ 1386 ], + "I2": [ 401 ], + "I3": [ 513 ], + "I4": [ 1687 ], + "O": [ 2040 ] + } + }, + "LUT5_100": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18192.5-18198.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2744 ], + "I1": [ 1258 ], + "I2": [ 2745 ], + "I3": [ 2746 ], + "I4": [ 1103 ], + "O": [ 1740 ] + } + }, + "LUT5_101": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18202.5-18208.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1211 ], + "I1": [ 1741 ], + "I2": [ 2747 ], + "I3": [ 2146 ], + "I4": [ 433 ], + "O": [ 2748 ] + } + }, + "LUT5_102": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18212.5-18218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 951 ], + "I1": [ 1033 ], + "I2": [ 867 ], + "I3": [ 1431 ], + "I4": [ 433 ], + "O": [ 2749 ] + } + }, + "LUT5_103": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18222.5-18228.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1719 ], + "I1": [ 1231 ], + "I2": [ 1442 ], + "I3": [ 1033 ], + "I4": [ 1444 ], + "O": [ 2750 ] + } + }, + "LUT5_104": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18232.5-18238.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1105 ], + "I1": [ 1446 ], + "I2": [ 1021 ], + "I3": [ 949 ], + "I4": [ 1332 ], + "O": [ 2751 ] + } + }, + "LUT5_105": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18242.5-18248.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2750 ], + "I1": [ 2150 ], + "I2": [ 867 ], + "I3": [ 681 ], + "I4": [ 2751 ], + "O": [ 2738 ] + } + }, + "LUT5_106": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18252.5-18258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2738 ], + "I1": [ 1742 ], + "I2": [ 1190 ], + "I3": [ 1450 ], + "I4": [ 2752 ], + "O": [ 2739 ] + } + }, + "LUT5_107": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18262.5-18268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1744 ], + "I1": [ 2738 ], + "I2": [ 1056 ], + "I3": [ 2739 ], + "I4": [ 1742 ], + "O": [ 1325 ] + } + }, + "LUT5_108": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18272.5-18278.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1748 ], + "I1": [ 2151 ], + "I2": [ 1060 ], + "I3": [ 1745 ], + "I4": [ 2488 ], + "O": [ 1301 ] + } + }, + "LUT5_109": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18282.5-18288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1223 ], + "I1": [ 1414 ], + "I2": [ 505 ], + "I3": [ 951 ], + "I4": [ 1480 ], + "O": [ 2740 ] + } + }, + "LUT5_10a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18292.5-18298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1246 ], + "I1": [ 979 ], + "I2": [ 1266 ], + "I3": [ 919 ], + "I4": [ 1188 ], + "O": [ 1753 ] + } + }, + "LUT5_10b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18302.5-18308.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1480 ], + "I1": [ 1744 ], + "I2": [ 1749 ], + "I3": [ 919 ], + "I4": [ 1064 ], + "O": [ 2752 ] + } + }, + "LUT5_10c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18312.5-18318.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2490 ], + "I1": [ 1254 ], + "I2": [ 401 ], + "I3": [ 937 ], + "I4": [ 2149 ], + "O": [ 2491 ] + } + }, + "LUT5_10d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18322.5-18328.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1758 ], + "I1": [ 1066 ], + "I2": [ 1001 ], + "I3": [ 2493 ], + "I4": [ 2753 ], + "O": [ 1355 ] + } + }, + "LUT5_10e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18332.5-18338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2158 ], + "I1": [ 1759 ], + "I2": [ 2754 ], + "I3": [ 1757 ], + "I4": [ 2156 ], + "O": [ 2494 ] + } + }, + "LUT5_10f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18342.5-18348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1394 ], + "I1": [ 1021 ], + "I2": [ 1223 ], + "I3": [ 1103 ], + "I4": [ 1262 ], + "O": [ 2755 ] + } + }, + "LUT5_11": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18352.5-18358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 867 ], + "I1": [ 843 ], + "I2": [ 2756 ], + "I3": [ 1701 ], + "I4": [ 2757 ], + "O": [ 2758 ] + } + }, + "LUT5_110": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18362.5-18368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2759 ], + "I1": [ 1082 ], + "I2": [ 2750 ], + "I3": [ 2167 ], + "I4": [ 375 ], + "O": [ 2495 ] + } + }, + "LUT5_111": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18372.5-18378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1401 ], + "I1": [ 1103 ], + "I2": [ 927 ], + "I3": [ 1482 ], + "I4": [ 1101 ], + "O": [ 2167 ] + } + }, + "LUT5_112": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18382.5-18388.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2168 ], + "I1": [ 1412 ], + "I2": [ 1168 ], + "I3": [ 1218 ], + "I4": [ 337 ], + "O": [ 2760 ] + } + }, + "LUT5_113": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18392.5-18398.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1394 ], + "I1": [ 1064 ], + "I2": [ 1701 ], + "I3": [ 1080 ], + "I4": [ 1482 ], + "O": [ 2759 ] + } + }, + "LUT5_114": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18402.5-18408.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1280 ], + "I1": [ 533 ], + "I2": [ 1697 ], + "I3": [ 2431 ], + "I4": [ 2755 ], + "O": [ 2761 ] + } + }, + "LUT5_115": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18412.5-18418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 989 ], + "I1": [ 533 ], + "I2": [ 1484 ], + "I3": [ 1211 ], + "I4": [ 1578 ], + "O": [ 2762 ] + } + }, + "LUT5_116": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18422.5-18428.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1765 ], + "I1": [ 1766 ], + "I2": [ 1070 ], + "I3": [ 1211 ], + "I4": [ 1578 ], + "O": [ 1285 ] + } + }, + "LUT5_117": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18432.5-18438.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2173 ], + "I1": [ 1049 ], + "I2": [ 1355 ], + "I3": [ 1275 ], + "I4": [ 2437 ], + "O": [ 1334 ] + } + }, + "LUT5_118": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18442.5-18448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1101 ], + "I1": [ 1183 ], + "I2": [ 2763 ], + "I3": [ 166 ], + "I4": [ 1486 ], + "O": [ 2501 ] + } + }, + "LUT5_119": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18452.5-18458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1460 ], + "I1": [ 1070 ], + "I2": [ 1486 ], + "I3": [ 957 ], + "I4": [ 269 ], + "O": [ 2504 ] + } + }, + "LUT5_11a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18462.5-18468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1250 ], + "I1": [ 1416 ], + "I2": [ 1107 ], + "I3": [ 443 ], + "I4": [ 1484 ], + "O": [ 2503 ] + } + }, + "LUT5_11b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18472.5-18478.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1460 ], + "I1": [ 987 ], + "I2": [ 1768 ], + "I3": [ 2503 ], + "I4": [ 269 ], + "O": [ 2764 ] + } + }, + "LUT5_11c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18482.5-18488.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1114 ], + "I1": [ 2733 ], + "I2": [ 2708 ], + "I3": [ 2496 ], + "I4": [ 1369 ], + "O": [ 1110 ] + } + }, + "LUT5_11d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18492.5-18498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1177 ], + "I1": [ 1488 ], + "I2": [ 2073 ], + "I3": [ 2100 ], + "I4": [ 2227 ], + "O": [ 1157 ] + } + }, + "LUT5_11e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18502.5-18508.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2765 ], + "I1": [ 1393 ], + "I2": [ 1770 ], + "I3": [ 2766 ], + "I4": [ 1342 ], + "O": [ 1774 ] + } + }, + "LUT5_11f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18512.5-18518.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2767 ], + "I1": [ 933 ], + "I2": [ 1462 ], + "I3": [ 1433 ], + "I4": [ 1300 ], + "O": [ 2513 ] + } + }, + "LUT5_12": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18522.5-18528.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1997 ], + "I1": [ 845 ], + "I2": [ 1636 ], + "I3": [ 1624 ], + "I4": [ 1633 ], + "O": [ 1783 ] + } + }, + "LUT5_120": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18532.5-18538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1044 ], + "I1": [ 1146 ], + "I2": [ 1139 ], + "I3": [ 2177 ], + "I4": [ 931 ], + "O": [ 2516 ] + } + }, + "LUT5_121": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18542.5-18548.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2768 ], + "I1": [ 2769 ], + "I2": [ 2770 ], + "I3": [ 1077 ], + "I4": [ 1184 ], + "O": [ 2182 ] + } + }, + "LUT5_122": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18552.5-18558.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1025 ], + "I1": [ 1346 ], + "I2": [ 1248 ], + "I3": [ 1072 ], + "I4": [ 1490 ], + "O": [ 2771 ] + } + }, + "LUT5_123": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18562.5-18568.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1492 ], + "I1": [ 1382 ], + "I2": [ 1298 ], + "I3": [ 1027 ], + "I4": [ 1403 ], + "O": [ 2183 ] + } + }, + "LUT5_124": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18572.5-18578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1288 ], + "I1": [ 1282 ], + "I2": [ 1079 ], + "I3": [ 967 ], + "I4": [ 1328 ], + "O": [ 2772 ] + } + }, + "LUT5_125": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18582.5-18588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1288 ], + "I1": [ 1079 ], + "I2": [ 2773 ], + "I3": [ 1181 ], + "I4": [ 374 ], + "O": [ 2774 ] + } + }, + "LUT5_126": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18592.5-18598.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1494 ], + "I1": [ 1419 ], + "I2": [ 1282 ], + "I3": [ 1005 ], + "I4": [ 1576 ], + "O": [ 2187 ] + } + }, + "LUT5_127": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18602.5-18608.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1792 ], + "I1": [ 2191 ], + "I2": [ 1174 ], + "I3": [ 2188 ], + "I4": [ 2186 ], + "O": [ 1165 ] + } + }, + "LUT5_128": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18612.5-18618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2772 ], + "I1": [ 1266 ], + "I2": [ 2189 ], + "I3": [ 2775 ], + "I4": [ 2185 ], + "O": [ 2776 ] + } + }, + "LUT5_129": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18622.5-18628.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1793 ], + "I1": [ 1103 ], + "I2": [ 1326 ], + "I3": [ 2524 ], + "I4": [ 2777 ], + "O": [ 1167 ] + } + }, + "LUT5_12a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18632.5-18638.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2194 ], + "I1": [ 1221 ], + "I2": [ 1037 ], + "I3": [ 1046 ], + "I4": [ 1148 ], + "O": [ 1435 ] + } + }, + "LUT5_12b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18642.5-18648.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2194 ], + "I1": [ 1795 ], + "I2": [ 1221 ], + "I3": [ 1284 ], + "I4": [ 2153 ], + "O": [ 2116 ] + } + }, + "LUT5_13": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18652.5-18658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2778 ], + "I1": [ 1578 ], + "I2": [ 2210 ], + "I3": [ 2483 ], + "I4": [ 1734 ], + "O": [ 1733 ] + } + }, + "LUT5_14": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18662.5-18668.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1019 ], + "I1": [ 2483 ], + "I2": [ 2779 ], + "I3": [ 2209 ], + "I4": [ 2780 ], + "O": [ 2781 ] + } + }, + "LUT5_15": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18672.5-18678.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2526 ], + "I1": [ 1784 ], + "I2": [ 2525 ], + "I3": [ 1783 ], + "I4": [ 1782 ], + "O": [ 1637 ] + } + }, + "LUT5_16": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18682.5-18688.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1782 ], + "I1": [ 1783 ], + "I2": [ 2525 ], + "I3": [ 2209 ], + "I4": [ 1761 ], + "O": [ 2782 ] + } + }, + "LUT5_17": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18692.5-18698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 539 ], + "I1": [ 1596 ], + "I2": [ 933 ], + "I3": [ 1685 ], + "I4": [ 433 ], + "O": [ 2783 ] + } + }, + "LUT5_18": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18702.5-18708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 833 ], + "I1": [ 2527 ], + "I2": [ 859 ], + "I3": [ 433 ], + "I4": [ 539 ], + "O": [ 2784 ] + } + }, + "LUT5_19": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18712.5-18718.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1039 ], + "I1": [ 1596 ], + "I2": [ 1661 ], + "I3": [ 1958 ], + "I4": [ 2527 ], + "O": [ 2212 ] + } + }, + "LUT5_1a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18722.5-18728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1039 ], + "I1": [ 1703 ], + "I2": [ 1019 ], + "I3": [ 577 ], + "I4": [ 2222 ], + "O": [ 2219 ] + } + }, + "LUT5_1b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18732.5-18738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 537 ], + "I1": [ 1685 ], + "I2": [ 533 ], + "I3": [ 433 ], + "I4": [ 933 ], + "O": [ 2216 ] + } + }, + "LUT5_1c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18742.5-18748.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 889 ], + "I1": [ 1723 ], + "I2": [ 227 ], + "I3": [ 379 ], + "I4": [ 1664 ], + "O": [ 2218 ] + } + }, + "LUT5_1d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18752.5-18758.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2539 ], + "I1": [ 2220 ], + "I2": [ 2219 ], + "I3": [ 2562 ], + "I4": [ 1019 ], + "O": [ 1579 ] + } + }, + "LUT5_1e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18762.5-18768.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 483 ], + "I1": [ 435 ], + "I2": [ 539 ], + "I3": [ 1624 ], + "I4": [ 1600 ], + "O": [ 2222 ] + } + }, + "LUT5_1f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18772.5-18778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 2218 ], + "I2": [ 257 ], + "I3": [ 2550 ], + "I4": [ 401 ], + "O": [ 2540 ] + } + }, + "LUT5_2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18782.5-18788.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1049 ], + "I1": [ 2694 ], + "I2": [ 1080 ], + "I3": [ 1550 ], + "I4": [ 831 ], + "O": [ 1732 ] + } + }, + "LUT5_20": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18792.5-18798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1596 ], + "I1": [ 1396 ], + "I2": [ 531 ], + "I3": [ 1666 ], + "I4": [ 1680 ], + "O": [ 2785 ] + } + }, + "LUT5_21": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18802.5-18808.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 813 ], + "I1": [ 955 ], + "I2": [ 2430 ], + "I3": [ 1683 ], + "I4": [ 1602 ], + "O": [ 2786 ] + } + }, + "LUT5_22": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18812.5-18818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1500 ], + "I1": [ 1037 ], + "I2": [ 1039 ], + "I3": [ 2223 ], + "I4": [ 2787 ], + "O": [ 2788 ] + } + }, + "LUT5_23": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18822.5-18828.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1672 ], + "I1": [ 179 ], + "I2": [ 1814 ], + "I3": [ 1984 ], + "I4": [ 763 ], + "O": [ 2224 ] + } + }, + "LUT5_24": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18832.5-18838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2541 ], + "I1": [ 1811 ], + "I2": [ 2789 ], + "I3": [ 2788 ], + "I4": [ 510 ], + "O": [ 1812 ] + } + }, + "LUT5_25": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18842.5-18848.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1572 ], + "I1": [ 1652 ], + "I2": [ 1368 ], + "I3": [ 955 ], + "I4": [ 2786 ], + "O": [ 1813 ] + } + }, + "LUT5_26": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18852.5-18858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1815 ], + "I1": [ 2545 ], + "I2": [ 1814 ], + "I3": [ 1813 ], + "I4": [ 2235 ], + "O": [ 1684 ] + } + }, + "LUT5_27": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18862.5-18868.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1713 ], + "I1": [ 233 ], + "I2": [ 1544 ], + "I3": [ 433 ], + "I4": [ 1652 ], + "O": [ 1819 ] + } + }, + "LUT5_28": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18872.5-18878.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 815 ], + "I1": [ 1818 ], + "I2": [ 1819 ], + "I3": [ 259 ], + "I4": [ 1580 ], + "O": [ 2529 ] + } + }, + "LUT5_29": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18882.5-18888.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1584 ], + "I1": [ 433 ], + "I2": [ 1544 ], + "I3": [ 257 ], + "I4": [ 815 ], + "O": [ 2790 ] + } + }, + "LUT5_2a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18892.5-18898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1318 ], + "I1": [ 233 ], + "I2": [ 1580 ], + "I3": [ 915 ], + "I4": [ 2791 ], + "O": [ 2792 ] + } + }, + "LUT5_2b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18902.5-18908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 379 ], + "I1": [ 783 ], + "I2": [ 957 ], + "I3": [ 1466 ], + "I4": [ 1666 ], + "O": [ 2228 ] + } + }, + "LUT5_2c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18912.5-18918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1836 ], + "I1": [ 1835 ], + "I2": [ 1833 ], + "I3": [ 2552 ], + "I4": [ 2793 ], + "O": [ 1686 ] + } + }, + "LUT5_2d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18922.5-18928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 837 ], + "I1": [ 947 ], + "I2": [ 1628 ], + "I3": [ 2231 ], + "I4": [ 1683 ], + "O": [ 2554 ] + } + }, + "LUT5_2e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18932.5-18938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2541 ], + "I1": [ 1664 ], + "I2": [ 859 ], + "I3": [ 2555 ], + "I4": [ 2794 ], + "O": [ 2556 ] + } + }, + "LUT5_2f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18942.5-18948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2541 ], + "I1": [ 1664 ], + "I2": [ 2556 ], + "I3": [ 2555 ], + "I4": [ 859 ], + "O": [ 1700 ] + } + }, + "LUT5_3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18952.5-18958.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 503 ], + "I2": [ 1619 ], + "I3": [ 1213 ], + "I4": [ 2249 ], + "O": [ 2482 ] + } + }, + "LUT5_30": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18962.5-18968.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 837 ], + "I1": [ 1831 ], + "I2": [ 171 ], + "I3": [ 2787 ], + "I4": [ 1619 ], + "O": [ 2795 ] + } + }, + "LUT5_31": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18972.5-18978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1837 ], + "I1": [ 1396 ], + "I2": [ 2236 ], + "I3": [ 837 ], + "I4": [ 2234 ], + "O": [ 1715 ] + } + }, + "LUT5_32": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18982.5-18988.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 225 ], + "I1": [ 1676 ], + "I2": [ 1839 ], + "I3": [ 1645 ], + "I4": [ 237 ], + "O": [ 2796 ] + } + }, + "LUT5_33": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:18992.5-18998.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1841 ], + "I1": [ 1842 ], + "I2": [ 1401 ], + "I3": [ 1847 ], + "I4": [ 2564 ], + "O": [ 1581 ] + } + }, + "LUT5_34": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19002.5-19008.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 181 ], + "I1": [ 2239 ], + "I2": [ 2238 ], + "I3": [ 1401 ], + "I4": [ 1685 ], + "O": [ 2240 ] + } + }, + "LUT5_35": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19012.5-19018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 227 ], + "I1": [ 1656 ], + "I2": [ 1394 ], + "I3": [ 1617 ], + "I4": [ 1419 ], + "O": [ 2056 ] + } + }, + "LUT5_36": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19022.5-19028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 895 ], + "I1": [ 933 ], + "I2": [ 503 ], + "I3": [ 197 ], + "I4": [ 1422 ], + "O": [ 2797 ] + } + }, + "LUT5_37": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19032.5-19038.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 255 ], + "I1": [ 529 ], + "I2": [ 551 ], + "I3": [ 2575 ], + "I4": [ 2241 ], + "O": [ 180 ] + } + }, + "LUT5_38": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19042.5-19048.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1711 ], + "I1": [ 1135 ], + "I2": [ 1109 ], + "I3": [ 1358 ], + "I4": [ 1580 ], + "O": [ 2798 ] + } + }, + "LUT5_39": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19052.5-19058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 555 ], + "I1": [ 255 ], + "I2": [ 401 ], + "I3": [ 183 ], + "I4": [ 485 ], + "O": [ 2052 ] + } + }, + "LUT5_3a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19062.5-19068.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 823 ], + "I2": [ 711 ], + "I3": [ 797 ], + "I4": [ 881 ], + "O": [ 2627 ] + } + }, + "LUT5_3b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19072.5-19078.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 553 ], + "I1": [ 765 ], + "I2": [ 995 ], + "I3": [ 1624 ], + "I4": [ 755 ], + "O": [ 2569 ] + } + }, + "LUT5_3c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19082.5-19088.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 481 ], + "I1": [ 997 ], + "I2": [ 831 ], + "I3": [ 267 ], + "I4": [ 211 ], + "O": [ 2799 ] + } + }, + "LUT5_3d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19092.5-19098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2800 ], + "I1": [ 2801 ], + "I2": [ 2798 ], + "I3": [ 1624 ], + "I4": [ 911 ], + "O": [ 2802 ] + } + }, + "LUT5_3e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19102.5-19108.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 219 ], + "I1": [ 393 ], + "I2": [ 401 ], + "I3": [ 553 ], + "I4": [ 561 ], + "O": [ 1958 ] + } + }, + "LUT5_3f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19112.5-19118.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 869 ], + "I1": [ 1849 ], + "I2": [ 519 ], + "I3": [ 859 ], + "I4": [ 1958 ], + "O": [ 2247 ] + } + }, + "LUT5_4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19122.5-19128.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2803 ], + "I1": [ 1557 ], + "I2": [ 1551 ], + "I3": [ 2232 ], + "I4": [ 1730 ], + "O": [ 680 ] + } + }, + "LUT5_40": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19132.5-19138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1851 ], + "I1": [ 2804 ], + "I2": [ 2572 ], + "I3": [ 2253 ], + "I4": [ 765 ], + "O": [ 500 ] + } + }, + "LUT5_41": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19142.5-19148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 765 ], + "I1": [ 713 ], + "I2": [ 1697 ], + "I3": [ 699 ], + "I4": [ 859 ], + "O": [ 2252 ] + } + }, + "LUT5_42": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19152.5-19158.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 267 ], + "I1": [ 237 ], + "I2": [ 261 ], + "I3": [ 263 ], + "I4": [ 265 ], + "O": [ 2106 ] + } + }, + "LUT5_43": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19162.5-19168.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 831 ], + "I1": [ 2565 ], + "I2": [ 827 ], + "I3": [ 539 ], + "I4": [ 729 ], + "O": [ 2805 ] + } + }, + "LUT5_44": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19172.5-19178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 673 ], + "I1": [ 2805 ], + "I2": [ 779 ], + "I3": [ 229 ], + "I4": [ 2791 ], + "O": [ 2806 ] + } + }, + "LUT5_45": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19182.5-19188.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 479 ], + "I1": [ 787 ], + "I2": [ 1274 ], + "I3": [ 1410 ], + "I4": [ 401 ], + "O": [ 2255 ] + } + }, + "LUT5_46": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19192.5-19198.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 479 ], + "I1": [ 787 ], + "I2": [ 1410 ], + "I3": [ 707 ], + "I4": [ 1410 ], + "O": [ 1852 ] + } + }, + "LUT5_47": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19202.5-19208.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2255 ], + "I1": [ 2257 ], + "I2": [ 2574 ], + "I3": [ 819 ], + "I4": [ 2807 ], + "O": [ 254 ] + } + }, + "LUT5_48": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19212.5-19218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2808 ], + "I1": [ 2586 ], + "I2": [ 705 ], + "I3": [ 521 ], + "I4": [ 2809 ], + "O": [ 530 ] + } + }, + "LUT5_49": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19222.5-19228.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2578 ], + "I1": [ 1854 ], + "I2": [ 1419 ], + "I3": [ 2106 ], + "I4": [ 485 ], + "O": [ 2810 ] + } + }, + "LUT5_4a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19232.5-19238.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 485 ], + "I1": [ 765 ], + "I2": [ 1419 ], + "I3": [ 2578 ], + "I4": [ 237 ], + "O": [ 2808 ] + } + }, + "LUT5_4b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19242.5-19248.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 237 ], + "I1": [ 765 ], + "I2": [ 521 ], + "I3": [ 215 ], + "I4": [ 1419 ], + "O": [ 1858 ] + } + }, + "LUT5_4c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19252.5-19258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1855 ], + "I1": [ 2106 ], + "I2": [ 2258 ], + "I3": [ 1854 ], + "I4": [ 1858 ], + "O": [ 1857 ] + } + }, + "LUT5_4d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19262.5-19268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 673 ], + "I1": [ 1641 ], + "I2": [ 687 ], + "I3": [ 441 ], + "I4": [ 567 ], + "O": [ 2811 ] + } + }, + "LUT5_4e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19272.5-19278.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 751 ], + "I1": [ 1638 ], + "I2": [ 1854 ], + "I3": [ 2258 ], + "I4": [ 2812 ], + "O": [ 2259 ] + } + }, + "LUT5_4f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19282.5-19288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2052 ], + "I1": [ 401 ], + "I2": [ 1410 ], + "I3": [ 2570 ], + "I4": [ 779 ], + "O": [ 2813 ] + } + }, + "LUT5_5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19292.5-19298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 681 ], + "I1": [ 1433 ], + "I2": [ 503 ], + "I3": [ 831 ], + "I4": [ 1042 ], + "O": [ 2814 ] + } + }, + "LUT5_50": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19302.5-19308.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1861 ], + "I1": [ 2570 ], + "I2": [ 2262 ], + "I3": [ 1859 ], + "I4": [ 1863 ], + "O": [ 454 ] + } + }, + "LUT5_51": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19312.5-19318.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2580 ], + "I1": [ 1859 ], + "I2": [ 1860 ], + "I3": [ 383 ], + "I4": [ 2570 ], + "O": [ 1126 ] + } + }, + "LUT5_52": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19322.5-19328.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 921 ], + "I1": [ 205 ], + "I2": [ 261 ], + "I3": [ 779 ], + "I4": [ 2807 ], + "O": [ 2582 ] + } + }, + "LUT5_53": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19332.5-19338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 205 ], + "I1": [ 921 ], + "I2": [ 371 ], + "I3": [ 2815 ], + "I4": [ 261 ], + "O": [ 1864 ] + } + }, + "LUT5_54": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19342.5-19348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1410 ], + "I1": [ 1039 ], + "I2": [ 217 ], + "I3": [ 705 ], + "I4": [ 1127 ], + "O": [ 1859 ] + } + }, + "LUT5_55": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19352.5-19358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2263 ], + "I1": [ 2264 ], + "I2": [ 2584 ], + "I3": [ 1132 ], + "I4": [ 2816 ], + "O": [ 184 ] + } + }, + "LUT5_56": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19362.5-19368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 393 ], + "I1": [ 1624 ], + "I2": [ 1544 ], + "I3": [ 997 ], + "I4": [ 1611 ], + "O": [ 2596 ] + } + }, + "LUT5_57": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19372.5-19378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2817 ], + "I1": [ 2818 ], + "I2": [ 2819 ], + "I3": [ 2820 ], + "I4": [ 2273 ], + "O": [ 2821 ] + } + }, + "LUT5_58": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19382.5-19388.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2822 ], + "I1": [ 1866 ], + "I2": [ 2266 ], + "I3": [ 2823 ], + "I4": [ 2824 ], + "O": [ 2825 ] + } + }, + "LUT5_59": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19392.5-19398.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1399 ], + "I1": [ 429 ], + "I2": [ 1123 ], + "I3": [ 1131 ], + "I4": [ 517 ], + "O": [ 2824 ] + } + }, + "LUT5_5a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19402.5-19408.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1869 ], + "I1": [ 479 ], + "I2": [ 1466 ], + "I3": [ 827 ], + "I4": [ 675 ], + "O": [ 2817 ] + } + }, + "LUT5_5b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19412.5-19418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2826 ], + "I1": [ 675 ], + "I2": [ 1781 ], + "I3": [ 2270 ], + "I4": [ 1873 ], + "O": [ 2827 ] + } + }, + "LUT5_5c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19422.5-19428.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2817 ], + "I1": [ 815 ], + "I2": [ 2827 ], + "I3": [ 231 ], + "I4": [ 2828 ], + "O": [ 266 ] + } + }, + "LUT5_5d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19432.5-19438.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2272 ], + "I1": [ 2829 ], + "I2": [ 215 ], + "I3": [ 561 ], + "I4": [ 2819 ], + "O": [ 2830 ] + } + }, + "LUT5_5e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19442.5-19448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 193 ], + "I2": [ 225 ], + "I3": [ 1399 ], + "I4": [ 259 ], + "O": [ 2271 ] + } + }, + "LUT5_5f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19452.5-19458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 231 ], + "I1": [ 835 ], + "I2": [ 677 ], + "I3": [ 807 ], + "I4": [ 197 ], + "O": [ 2828 ] + } + }, + "LUT5_6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19462.5-19468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 817 ], + "I1": [ 981 ], + "I2": [ 963 ], + "I3": [ 1699 ], + "I4": [ 1049 ], + "O": [ 2365 ] + } + }, + "LUT5_60": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19472.5-19478.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 237 ], + "I1": [ 479 ], + "I2": [ 365 ], + "I3": [ 695 ], + "I4": [ 307 ], + "O": [ 1877 ] + } + }, + "LUT5_61": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19482.5-19488.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 2831 ], + "I2": [ 703 ], + "I3": [ 575 ], + "I4": [ 509 ], + "O": [ 2832 ] + } + }, + "LUT5_62": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19492.5-19498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2833 ], + "I1": [ 309 ], + "I2": [ 2832 ], + "I3": [ 1916 ], + "I4": [ 751 ], + "O": [ 300 ] + } + }, + "LUT5_63": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19502.5-19508.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1889 ], + "I1": [ 2276 ], + "I2": [ 2833 ], + "I3": [ 837 ], + "I4": [ 1883 ], + "O": [ 214 ] + } + }, + "LUT5_64": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19512.5-19518.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 311 ], + "I1": [ 1649 ], + "I2": [ 625 ], + "I3": [ 275 ], + "I4": [ 831 ], + "O": [ 2592 ] + } + }, + "LUT5_65": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19522.5-19528.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 1649 ], + "I2": [ 199 ], + "I3": [ 663 ], + "I4": [ 239 ], + "O": [ 1892 ] + } + }, + "LUT5_66": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19532.5-19538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2282 ], + "I1": [ 2279 ], + "I2": [ 1904 ], + "I3": [ 2570 ], + "I4": [ 2589 ], + "O": [ 320 ] + } + }, + "LUT5_67": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19542.5-19548.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 199 ], + "I1": [ 663 ], + "I2": [ 2593 ], + "I3": [ 2280 ], + "I4": [ 2281 ], + "O": [ 562 ] + } + }, + "LUT5_68": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19552.5-19558.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2596 ], + "I1": [ 775 ], + "I2": [ 2834 ], + "I3": [ 2588 ], + "I4": [ 311 ], + "O": [ 1907 ] + } + }, + "LUT5_69": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19562.5-19568.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 199 ], + "I1": [ 271 ], + "I2": [ 1697 ], + "I3": [ 751 ], + "I4": [ 583 ], + "O": [ 2570 ] + } + }, + "LUT5_6a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19572.5-19578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1877 ], + "I1": [ 2570 ], + "I2": [ 271 ], + "I3": [ 2588 ], + "I4": [ 1401 ], + "O": [ 1910 ] + } + }, + "LUT5_6b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19582.5-19588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1911 ], + "I1": [ 2289 ], + "I2": [ 1401 ], + "I3": [ 2570 ], + "I4": [ 522 ], + "O": [ 204 ] + } + }, + "LUT5_6c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19592.5-19598.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1912 ], + "I1": [ 1697 ], + "I2": [ 1913 ], + "I3": [ 2835 ], + "I4": [ 2597 ], + "O": [ 276 ] + } + }, + "LUT5_6d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19602.5-19608.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1133 ], + "I1": [ 657 ], + "I2": [ 1649 ], + "I3": [ 485 ], + "I4": [ 315 ], + "O": [ 2836 ] + } + }, + "LUT5_6e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19612.5-19618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2837 ], + "I1": [ 639 ], + "I2": [ 567 ], + "I3": [ 657 ], + "I4": [ 2836 ], + "O": [ 2838 ] + } + }, + "LUT5_6f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19622.5-19628.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1037 ], + "I1": [ 479 ], + "I2": [ 879 ], + "I3": [ 363 ], + "I4": [ 379 ], + "O": [ 1914 ] + } + }, + "LUT5_7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19632.5-19638.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1008 ], + "I1": [ 1264 ], + "I2": [ 983 ], + "I3": [ 985 ], + "I4": [ 477 ], + "O": [ 2839 ] + } + }, + "LUT5_70": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19642.5-19648.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 595 ], + "I1": [ 2345 ], + "I2": [ 2840 ], + "I3": [ 899 ], + "I4": [ 2841 ], + "O": [ 2842 ] + } + }, + "LUT5_71": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19652.5-19658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2300 ], + "I1": [ 2602 ], + "I2": [ 327 ], + "I3": [ 517 ], + "I4": [ 2604 ], + "O": [ 334 ] + } + }, + "LUT5_72": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19662.5-19668.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1641 ], + "I1": [ 355 ], + "I2": [ 1137 ], + "I3": [ 1638 ], + "I4": [ 2306 ], + "O": [ 2843 ] + } + }, + "LUT5_73": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19672.5-19678.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2610 ], + "I1": [ 1928 ], + "I2": [ 1927 ], + "I3": [ 2611 ], + "I4": [ 591 ], + "O": [ 636 ] + } + }, + "LUT5_74": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19682.5-19688.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 341 ], + "I1": [ 1624 ], + "I2": [ 245 ], + "I3": [ 231 ], + "I4": [ 453 ], + "O": [ 2616 ] + } + }, + "LUT5_75": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19692.5-19698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1037 ], + "I1": [ 635 ], + "I2": [ 359 ], + "I3": [ 2836 ], + "I4": [ 333 ], + "O": [ 2293 ] + } + }, + "LUT5_76": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19702.5-19708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2623 ], + "I1": [ 1948 ], + "I2": [ 303 ], + "I3": [ 633 ], + "I4": [ 331 ], + "O": [ 2624 ] + } + }, + "LUT5_77": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19712.5-19718.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2314 ], + "I1": [ 203 ], + "I2": [ 365 ], + "I3": [ 609 ], + "I4": [ 2318 ], + "O": [ 2844 ] + } + }, + "LUT5_78": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19722.5-19728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 349 ], + "I1": [ 361 ], + "I2": [ 261 ], + "I3": [ 2313 ], + "I4": [ 2845 ], + "O": [ 594 ] + } + }, + "LUT5_79": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19732.5-19738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1940 ], + "I1": [ 741 ], + "I2": [ 261 ], + "I3": [ 2017 ], + "I4": [ 601 ], + "O": [ 2846 ] + } + }, + "LUT5_7a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19742.5-19748.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 331 ], + "I2": [ 843 ], + "I3": [ 303 ], + "I4": [ 517 ], + "O": [ 2316 ] + } + }, + "LUT5_7b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19752.5-19758.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 639 ], + "I2": [ 2625 ], + "I3": [ 369 ], + "I4": [ 353 ], + "O": [ 354 ] + } + }, + "LUT5_7c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19762.5-19768.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 515 ], + "I1": [ 2283 ], + "I2": [ 319 ], + "I3": [ 510 ], + "I4": [ 315 ], + "O": [ 580 ] + } + }, + "LUT5_7d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19772.5-19778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2322 ], + "I1": [ 689 ], + "I2": [ 723 ], + "I3": [ 221 ], + "I4": [ 679 ], + "O": [ 2847 ] + } + }, + "LUT5_7e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19782.5-19788.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1414 ], + "I1": [ 569 ], + "I2": [ 547 ], + "I3": [ 747 ], + "I4": [ 693 ], + "O": [ 2848 ] + } + }, + "LUT5_7f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19792.5-19798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 301 ], + "I1": [ 291 ], + "I2": [ 877 ], + "I3": [ 415 ], + "I4": [ 719 ], + "O": [ 2831 ] + } + }, + "LUT5_8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19802.5-19808.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 960 ], + "I2": [ 2406 ], + "I3": [ 2849 ], + "I4": [ 2850 ], + "O": [ 945 ] + } + }, + "LUT5_80": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19812.5-19818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2851 ], + "I1": [ 1019 ], + "I2": [ 2852 ], + "I3": [ 2853 ], + "I4": [ 2327 ], + "O": [ 2854 ] + } + }, + "LUT5_81": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19822.5-19828.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1953 ], + "I1": [ 2329 ], + "I2": [ 2323 ], + "I3": [ 2855 ], + "I4": [ 2856 ], + "O": [ 726 ] + } + }, + "LUT5_82": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19832.5-19838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 687 ], + "I1": [ 371 ], + "I2": [ 723 ], + "I3": [ 371 ], + "I4": [ 2628 ], + "O": [ 2325 ] + } + }, + "LUT5_83": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19842.5-19848.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 813 ], + "I1": [ 831 ], + "I2": [ 679 ], + "I3": [ 2798 ], + "I4": [ 719 ], + "O": [ 2857 ] + } + }, + "LUT5_84": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19852.5-19858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2629 ], + "I1": [ 2330 ], + "I2": [ 2324 ], + "I3": [ 481 ], + "I4": [ 671 ], + "O": [ 716 ] + } + }, + "LUT5_85": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19862.5-19868.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1578 ], + "I1": [ 877 ], + "I2": [ 1955 ], + "I3": [ 2831 ], + "I4": [ 689 ], + "O": [ 2858 ] + } + }, + "LUT5_86": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19872.5-19878.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 481 ], + "I1": [ 841 ], + "I2": [ 221 ], + "I3": [ 2859 ], + "I4": [ 299 ], + "O": [ 2860 ] + } + }, + "LUT5_87": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19882.5-19888.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 185 ], + "I1": [ 179 ], + "I2": [ 693 ], + "I3": [ 2857 ], + "I4": [ 2848 ], + "O": [ 2324 ] + } + }, + "LUT5_88": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19892.5-19898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1578 ], + "I1": [ 1701 ], + "I2": [ 867 ], + "I3": [ 841 ], + "I4": [ 301 ], + "O": [ 2333 ] + } + }, + "LUT5_89": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19902.5-19908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2324 ], + "I1": [ 2333 ], + "I2": [ 1578 ], + "I3": [ 481 ], + "I4": [ 2851 ], + "O": [ 2332 ] + } + }, + "LUT5_8a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19912.5-19918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2331 ], + "I1": [ 2324 ], + "I2": [ 1578 ], + "I3": [ 2332 ], + "I4": [ 2333 ], + "O": [ 730 ] + } + }, + "LUT5_8b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19922.5-19928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 741 ], + "I1": [ 1019 ], + "I2": [ 723 ], + "I3": [ 623 ], + "I4": [ 671 ], + "O": [ 2851 ] + } + }, + "LUT5_8c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19932.5-19938.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2861 ], + "I1": [ 2862 ], + "I2": [ 2863 ], + "I3": [ 1632 ], + "I4": [ 557 ], + "O": [ 1957 ] + } + }, + "LUT5_8d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19942.5-19948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 901 ], + "I1": [ 1869 ], + "I2": [ 889 ], + "I3": [ 723 ], + "I4": [ 1003 ], + "O": [ 1965 ] + } + }, + "LUT5_8e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19952.5-19958.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1039 ], + "I1": [ 2296 ], + "I2": [ 2637 ], + "I3": [ 702 ], + "I4": [ 517 ], + "O": [ 1969 ] + } + }, + "LUT5_8f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19962.5-19968.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 743 ], + "I2": [ 899 ], + "I3": [ 197 ], + "I4": [ 1137 ], + "O": [ 2634 ] + } + }, + "LUT5_9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19972.5-19978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2249 ], + "I1": [ 1304 ], + "I2": [ 1080 ], + "I3": [ 999 ], + "I4": [ 2864 ], + "O": [ 2865 ] + } + }, + "LUT5_90": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19982.5-19988.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1967 ], + "I1": [ 517 ], + "I2": [ 853 ], + "I3": [ 2338 ], + "I4": [ 728 ], + "O": [ 2635 ] + } + }, + "LUT5_91": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:19992.5-19998.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1869 ], + "I1": [ 2603 ], + "I2": [ 2639 ], + "I3": [ 2866 ], + "I4": [ 284 ], + "O": [ 732 ] + } + }, + "LUT5_92": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20002.5-20008.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 843 ], + "I2": [ 247 ], + "I3": [ 889 ], + "I4": [ 533 ], + "O": [ 2296 ] + } + }, + "LUT5_93": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20012.5-20018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 501 ], + "I2": [ 535 ], + "I3": [ 893 ], + "I4": [ 179 ], + "O": [ 2637 ] + } + }, + "LUT5_94": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20022.5-20028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1980 ], + "I1": [ 2867 ], + "I2": [ 287 ], + "I3": [ 995 ], + "I4": [ 185 ], + "O": [ 2868 ] + } + }, + "LUT5_95": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20032.5-20038.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 573 ], + "I1": [ 893 ], + "I2": [ 1997 ], + "I3": [ 2867 ], + "I4": [ 1980 ], + "O": [ 2346 ] + } + }, + "LUT5_96": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20042.5-20048.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1980 ], + "I1": [ 2867 ], + "I2": [ 175 ], + "I3": [ 185 ], + "I4": [ 995 ], + "O": [ 690 ] + } + }, + "LUT5_97": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20052.5-20058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 717 ], + "I1": [ 683 ], + "I2": [ 707 ], + "I3": [ 693 ], + "I4": [ 713 ], + "O": [ 1981 ] + } + }, + "LUT5_98": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20062.5-20068.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 871 ], + "I1": [ 1399 ], + "I2": [ 905 ], + "I3": [ 405 ], + "I4": [ 903 ], + "O": [ 2340 ] + } + }, + "LUT5_99": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20072.5-20078.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 887 ], + "I1": [ 516 ], + "I2": [ 2869 ], + "I3": [ 572 ], + "I4": [ 2647 ], + "O": [ 2358 ] + } + }, + "LUT5_9a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20082.5-20088.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1989 ], + "I1": [ 2361 ], + "I2": [ 523 ], + "I3": [ 393 ], + "I4": [ 1133 ], + "O": [ 2870 ] + } + }, + "LUT5_9b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20092.5-20098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 879 ], + "I1": [ 393 ], + "I2": [ 859 ], + "I3": [ 1133 ], + "I4": [ 493 ], + "O": [ 2360 ] + } + }, + "LUT5_9c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20102.5-20108.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2871 ], + "I1": [ 1985 ], + "I2": [ 1966 ], + "I3": [ 2014 ], + "I4": [ 2340 ], + "O": [ 1991 ] + } + }, + "LUT5_9d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20112.5-20118.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 707 ], + "I1": [ 837 ], + "I2": [ 877 ], + "I3": [ 1134 ], + "I4": [ 433 ], + "O": [ 1995 ] + } + }, + "LUT5_9e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20122.5-20128.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 841 ], + "I1": [ 2872 ], + "I2": [ 1995 ], + "I3": [ 1996 ], + "I4": [ 911 ], + "O": [ 2649 ] + } + }, + "LUT5_9f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20132.5-20138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1996 ], + "I1": [ 675 ], + "I2": [ 1995 ], + "I3": [ 387 ], + "I4": [ 861 ], + "O": [ 390 ] + } + }, + "LUT5_a": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20142.5-20148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2839 ], + "I1": [ 2865 ], + "I2": [ 2249 ], + "I3": [ 869 ], + "I4": [ 2873 ], + "O": [ 1983 ] + } + }, + "LUT5_a0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20152.5-20158.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1123 ], + "I1": [ 558 ], + "I2": [ 560 ], + "I3": [ 497 ], + "I4": [ 1992 ], + "O": [ 2368 ] + } + }, + "LUT5_a1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20162.5-20168.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 390 ], + "I1": [ 2652 ], + "I2": [ 2340 ], + "I3": [ 2370 ], + "I4": [ 2874 ], + "O": [ 424 ] + } + }, + "LUT5_a2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20172.5-20178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 379 ], + "I1": [ 839 ], + "I2": [ 793 ], + "I3": [ 1019 ], + "I4": [ 845 ], + "O": [ 2373 ] + } + }, + "LUT5_a3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20182.5-20188.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 795 ], + "I1": [ 1399 ], + "I2": [ 875 ], + "I3": [ 2654 ], + "I4": [ 407 ], + "O": [ 2875 ] + } + }, + "LUT5_a4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20192.5-20198.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 893 ], + "I1": [ 1399 ], + "I2": [ 899 ], + "I3": [ 827 ], + "I4": [ 2034 ], + "O": [ 2375 ] + } + }, + "LUT5_a5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20202.5-20208.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2876 ], + "I1": [ 1399 ], + "I2": [ 795 ], + "I3": [ 489 ], + "I4": [ 899 ], + "O": [ 2000 ] + } + }, + "LUT5_a6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20212.5-20218.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2034 ], + "I1": [ 893 ], + "I2": [ 827 ], + "I3": [ 753 ], + "I4": [ 2375 ], + "O": [ 2377 ] + } + }, + "LUT5_a7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20222.5-20228.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2877 ], + "I1": [ 831 ], + "I2": [ 2876 ], + "I3": [ 489 ], + "I4": [ 377 ], + "O": [ 2376 ] + } + }, + "LUT5_a8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20232.5-20238.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 893 ], + "I1": [ 2377 ], + "I2": [ 2376 ], + "I3": [ 2656 ], + "I4": [ 2655 ], + "O": [ 398 ] + } + }, + "LUT5_a9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20242.5-20248.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 437 ], + "I1": [ 865 ], + "I2": [ 1709 ], + "I3": [ 825 ], + "I4": [ 2370 ], + "O": [ 2380 ] + } + }, + "LUT5_aa": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20252.5-20258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1619 ], + "I1": [ 835 ], + "I2": [ 805 ], + "I3": [ 437 ], + "I4": [ 807 ], + "O": [ 2390 ] + } + }, + "LUT5_ab": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20262.5-20268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 791 ], + "I1": [ 217 ], + "I2": [ 869 ], + "I3": [ 1709 ], + "I4": [ 1003 ], + "O": [ 2878 ] + } + }, + "LUT5_ac": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20272.5-20278.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 855 ], + "I1": [ 881 ], + "I2": [ 791 ], + "I3": [ 811 ], + "I4": [ 2879 ], + "O": [ 2880 ] + } + }, + "LUT5_ad": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20282.5-20288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 227 ], + "I1": [ 847 ], + "I2": [ 901 ], + "I3": [ 915 ], + "I4": [ 811 ], + "O": [ 2881 ] + } + }, + "LUT5_ae": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20292.5-20298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2389 ], + "I1": [ 2391 ], + "I2": [ 2882 ], + "I3": [ 2663 ], + "I4": [ 881 ], + "O": [ 780 ] + } + }, + "LUT5_af": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20302.5-20308.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 528 ], + "I1": [ 833 ], + "I2": [ 791 ], + "I3": [ 2388 ], + "I4": [ 2883 ], + "O": [ 802 ] + } + }, + "LUT5_b": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20312.5-20318.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1424 ], + "I1": [ 979 ], + "I2": [ 1695 ], + "I3": [ 1435 ], + "I4": [ 1557 ], + "O": [ 2163 ] + } + }, + "LUT5_b0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20322.5-20328.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2884 ], + "I1": [ 403 ], + "I2": [ 182 ], + "I3": [ 2394 ], + "I4": [ 2885 ], + "O": [ 2393 ] + } + }, + "LUT5_b1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20332.5-20338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 819 ], + "I1": [ 777 ], + "I2": [ 481 ], + "I3": [ 1135 ], + "I4": [ 441 ], + "O": [ 1984 ] + } + }, + "LUT5_b2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20342.5-20348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1594 ], + "I1": [ 2401 ], + "I2": [ 2668 ], + "I3": [ 901 ], + "I4": [ 1399 ], + "O": [ 2404 ] + } + }, + "LUT5_b3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20352.5-20358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 223 ], + "I1": [ 2015 ], + "I2": [ 2401 ], + "I3": [ 867 ], + "I4": [ 439 ], + "O": [ 2671 ] + } + }, + "LUT5_b4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20362.5-20368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2671 ], + "I1": [ 439 ], + "I2": [ 777 ], + "I3": [ 223 ], + "I4": [ 2015 ], + "O": [ 2672 ] + } + }, + "LUT5_b5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20372.5-20378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 405 ], + "I1": [ 1399 ], + "I2": [ 219 ], + "I3": [ 567 ], + "I4": [ 757 ], + "O": [ 2002 ] + } + }, + "LUT5_b6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20382.5-20388.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2668 ], + "I1": [ 2354 ], + "I2": [ 777 ], + "I3": [ 905 ], + "I4": [ 867 ], + "O": [ 2355 ] + } + }, + "LUT5_b7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20392.5-20398.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 821 ], + "I1": [ 431 ], + "I2": [ 397 ], + "I3": [ 223 ], + "I4": [ 2354 ], + "O": [ 2019 ] + } + }, + "LUT5_b8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20402.5-20408.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 891 ], + "I1": [ 2370 ], + "I2": [ 2668 ], + "I3": [ 905 ], + "I4": [ 1641 ], + "O": [ 2886 ] + } + }, + "LUT5_b9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20412.5-20418.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2019 ], + "I1": [ 1984 ], + "I2": [ 2886 ], + "I3": [ 777 ], + "I4": [ 2887 ], + "O": [ 2018 ] + } + }, + "LUT5_ba": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20422.5-20428.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 905 ], + "I1": [ 2020 ], + "I2": [ 2888 ], + "I3": [ 788 ], + "I4": [ 2889 ], + "O": [ 784 ] + } + }, + "LUT5_bb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20432.5-20438.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 185 ], + "I2": [ 1399 ], + "I3": [ 955 ], + "I4": [ 785 ], + "O": [ 2890 ] + } + }, + "LUT5_bc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20442.5-20448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 705 ], + "I1": [ 829 ], + "I2": [ 2106 ], + "I3": [ 377 ], + "I4": [ 809 ], + "O": [ 2891 ] + } + }, + "LUT5_bd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20452.5-20458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 461 ], + "I1": [ 901 ], + "I2": [ 2387 ], + "I3": [ 1466 ], + "I4": [ 395 ], + "O": [ 2892 ] + } + }, + "LUT5_be": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20462.5-20468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2893 ], + "I1": [ 510 ], + "I2": [ 2891 ], + "I3": [ 1133 ], + "I4": [ 461 ], + "O": [ 2894 ] + } + }, + "LUT5_bf": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20472.5-20478.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 677 ], + "I2": [ 861 ], + "I3": [ 2892 ], + "I4": [ 2895 ], + "O": [ 416 ] + } + }, + "LUT5_c": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20482.5-20488.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2163 ], + "I1": [ 2249 ], + "I2": [ 1213 ], + "I3": [ 2896 ], + "I4": [ 2550 ], + "O": [ 1369 ] + } + }, + "LUT5_c0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20492.5-20498.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 895 ], + "I1": [ 1399 ], + "I2": [ 541 ], + "I3": [ 383 ], + "I4": [ 505 ], + "O": [ 2897 ] + } + }, + "LUT5_c1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20502.5-20508.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 217 ], + "I1": [ 831 ], + "I2": [ 1913 ], + "I3": [ 833 ], + "I4": [ 2026 ], + "O": [ 2025 ] + } + }, + "LUT5_c2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20512.5-20518.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2891 ], + "I1": [ 1399 ], + "I2": [ 2898 ], + "I3": [ 2409 ], + "I4": [ 2410 ], + "O": [ 2899 ] + } + }, + "LUT5_c3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20522.5-20528.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2898 ], + "I1": [ 1399 ], + "I2": [ 833 ], + "I3": [ 2891 ], + "I4": [ 909 ], + "O": [ 2028 ] + } + }, + "LUT5_c4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20532.5-20538.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 857 ], + "I2": [ 897 ], + "I3": [ 2578 ], + "I4": [ 475 ], + "O": [ 2681 ] + } + }, + "LUT5_c5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20542.5-20548.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2407 ], + "I1": [ 2034 ], + "I2": [ 425 ], + "I3": [ 1414 ], + "I4": [ 1624 ], + "O": [ 2679 ] + } + }, + "LUT5_c6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20552.5-20558.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2030 ], + "I1": [ 2678 ], + "I2": [ 2900 ], + "I3": [ 2901 ], + "I4": [ 2681 ], + "O": [ 2029 ] + } + }, + "LUT5_c7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20562.5-20568.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2030 ], + "I1": [ 2029 ], + "I2": [ 2679 ], + "I3": [ 1709 ], + "I4": [ 2902 ], + "O": [ 456 ] + } + }, + "LUT5_c8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20572.5-20578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2033 ], + "I1": [ 2031 ], + "I2": [ 897 ], + "I3": [ 2903 ], + "I4": [ 2904 ], + "O": [ 2684 ] + } + }, + "LUT5_c9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20582.5-20588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 2890 ], + "I2": [ 543 ], + "I3": [ 1399 ], + "I4": [ 425 ], + "O": [ 2905 ] + } + }, + "LUT5_ca": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20592.5-20598.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 705 ], + "I1": [ 859 ], + "I2": [ 1414 ], + "I3": [ 2033 ], + "I4": [ 2871 ], + "O": [ 2906 ] + } + }, + "LUT5_cb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20602.5-20608.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2686 ], + "I1": [ 907 ], + "I2": [ 497 ], + "I3": [ 2907 ], + "I4": [ 899 ], + "O": [ 2908 ] + } + }, + "LUT5_cc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20612.5-20618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2035 ], + "I1": [ 897 ], + "I2": [ 473 ], + "I3": [ 503 ], + "I4": [ 1466 ], + "O": [ 2909 ] + } + }, + "LUT5_cd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20622.5-20628.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2354 ], + "I1": [ 457 ], + "I2": [ 528 ], + "I3": [ 2054 ], + "I4": [ 2418 ], + "O": [ 2910 ] + } + }, + "LUT5_ce": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20632.5-20638.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 2354 ], + "I2": [ 498 ], + "I3": [ 897 ], + "I4": [ 1966 ], + "O": [ 2911 ] + } + }, + "LUT5_cf": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20642.5-20648.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2413 ], + "I1": [ 2421 ], + "I2": [ 2691 ], + "I3": [ 2422 ], + "I4": [ 433 ], + "O": [ 868 ] + } + }, + "LUT5_d": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20652.5-20658.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 831 ], + "I1": [ 1598 ], + "I2": [ 535 ], + "I3": [ 875 ], + "I4": [ 1721 ], + "O": [ 2195 ] + } + }, + "LUT5_d0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20662.5-20668.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 995 ], + "I1": [ 803 ], + "I2": [ 889 ], + "I3": [ 2676 ], + "I4": [ 1619 ], + "O": [ 2426 ] + } + }, + "LUT5_d1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20672.5-20678.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 393 ], + "I1": [ 185 ], + "I2": [ 1141 ], + "I3": [ 1005 ], + "I4": [ 510 ], + "O": [ 2912 ] + } + }, + "LUT5_d2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20682.5-20688.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2913 ], + "I1": [ 1563 ], + "I2": [ 1596 ], + "I3": [ 1009 ], + "I4": [ 2430 ], + "O": [ 2914 ] + } + }, + "LUT5_d3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20692.5-20698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 1680 ], + "I2": [ 2430 ], + "I3": [ 2915 ], + "I4": [ 2913 ], + "O": [ 2916 ] + } + }, + "LUT5_d4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20702.5-20708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 555 ], + "I1": [ 1633 ], + "I2": [ 510 ], + "I3": [ 487 ], + "I4": [ 1674 ], + "O": [ 2435 ] + } + }, + "LUT5_d5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20712.5-20718.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1054 ], + "I1": [ 2917 ], + "I2": [ 983 ], + "I3": [ 1378 ], + "I4": [ 2438 ], + "O": [ 2918 ] + } + }, + "LUT5_d6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20722.5-20728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 937 ], + "I1": [ 1290 ], + "I2": [ 1286 ], + "I3": [ 1091 ], + "I4": [ 972 ], + "O": [ 2919 ] + } + }, + "LUT5_d7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20732.5-20738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1252 ], + "I1": [ 2920 ], + "I2": [ 2720 ], + "I3": [ 2075 ], + "I4": [ 2919 ], + "O": [ 2701 ] + } + }, + "LUT5_d8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20742.5-20748.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2081 ], + "I1": [ 1396 ], + "I2": [ 2078 ], + "I3": [ 2921 ], + "I4": [ 2442 ], + "O": [ 2922 ] + } + }, + "LUT5_d9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20752.5-20758.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2081 ], + "I1": [ 1308 ], + "I2": [ 1298 ], + "I3": [ 1252 ], + "I4": [ 1346 ], + "O": [ 2923 ] + } + }, + "LUT5_da": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20762.5-20768.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1320 ], + "I1": [ 1237 ], + "I2": [ 1252 ], + "I3": [ 2082 ], + "I4": [ 2076 ], + "O": [ 2924 ] + } + }, + "LUT5_db": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20772.5-20778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1250 ], + "I1": [ 2117 ], + "I2": [ 1056 ], + "I3": [ 2925 ], + "I4": [ 1156 ], + "O": [ 2089 ] + } + }, + "LUT5_dc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20782.5-20788.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1438 ], + "I1": [ 2730 ], + "I2": [ 2721 ], + "I3": [ 1160 ], + "I4": [ 981 ], + "O": [ 2093 ] + } + }, + "LUT5_dd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20792.5-20798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2448 ], + "I1": [ 2094 ], + "I2": [ 1735 ], + "I3": [ 2926 ], + "I4": [ 2927 ], + "O": [ 2449 ] + } + }, + "LUT5_de": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20802.5-20808.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1380 ], + "I1": [ 1154 ], + "I2": [ 1416 ], + "I3": [ 1091 ], + "I4": [ 783 ], + "O": [ 2705 ] + } + }, + "LUT5_df": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20812.5-20818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1438 ], + "I1": [ 2705 ], + "I2": [ 2704 ], + "I3": [ 2113 ], + "I4": [ 2095 ], + "O": [ 2096 ] + } + }, + "LUT5_e": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20822.5-20828.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2199 ], + "I1": [ 1588 ], + "I2": [ 2106 ], + "I3": [ 1598 ], + "I4": [ 2729 ], + "O": [ 1657 ] + } + }, + "LUT5_e0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20832.5-20838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1380 ], + "I1": [ 1374 ], + "I2": [ 783 ], + "I3": [ 2928 ], + "I4": [ 2450 ], + "O": [ 2929 ] + } + }, + "LUT5_e1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20842.5-20848.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1111 ], + "I1": [ 1046 ], + "I2": [ 1009 ], + "I3": [ 1176 ], + "I4": [ 929 ], + "O": [ 2458 ] + } + }, + "LUT5_e2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20852.5-20858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1311 ], + "I1": [ 1376 ], + "I2": [ 1029 ], + "I3": [ 1672 ], + "I4": [ 1394 ], + "O": [ 2713 ] + } + }, + "LUT5_e3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20862.5-20868.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2714 ], + "I1": [ 2712 ], + "I2": [ 577 ], + "I3": [ 2713 ], + "I4": [ 1093 ], + "O": [ 2930 ] + } + }, + "LUT5_e4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20872.5-20878.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2105 ], + "I1": [ 2719 ], + "I2": [ 2109 ], + "I3": [ 2106 ], + "I4": [ 2931 ], + "O": [ 1210 ] + } + }, + "LUT5_e5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20882.5-20888.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2720 ], + "I1": [ 2460 ], + "I2": [ 1600 ], + "I3": [ 2116 ], + "I4": [ 269 ], + "O": [ 1163 ] + } + }, + "LUT5_e6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20892.5-20898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1278 ], + "I1": [ 511 ], + "I2": [ 1707 ], + "I3": [ 235 ], + "I4": [ 1391 ], + "O": [ 2111 ] + } + }, + "LUT5_e7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20902.5-20908.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2461 ], + "I1": [ 1419 ], + "I2": [ 2110 ], + "I3": [ 2141 ], + "I4": [ 2932 ], + "O": [ 1421 ] + } + }, + "LUT5_e8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20912.5-20918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1014 ], + "I1": [ 867 ], + "I2": [ 935 ], + "I3": [ 1300 ], + "I4": [ 197 ], + "O": [ 2933 ] + } + }, + "LUT5_e9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20922.5-20928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2103 ], + "I1": [ 1284 ], + "I2": [ 1176 ], + "I3": [ 1401 ], + "I4": [ 1111 ], + "O": [ 2120 ] + } + }, + "LUT5_ea": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20932.5-20938.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2121 ], + "I1": [ 2724 ], + "I2": [ 2116 ], + "I3": [ 2720 ], + "I4": [ 2934 ], + "O": [ 370 ] + } + }, + "LUT5_eb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20942.5-20948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2925 ], + "I1": [ 1163 ], + "I2": [ 302 ], + "I3": [ 1183 ], + "I4": [ 235 ], + "O": [ 1220 ] + } + }, + "LUT5_ec": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20952.5-20958.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2117 ], + "I1": [ 2725 ], + "I2": [ 985 ], + "I3": [ 2458 ], + "I4": [ 1683 ], + "O": [ 974 ] + } + }, + "LUT5_ed": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20962.5-20968.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 960 ], + "I2": [ 1270 ], + "I3": [ 1440 ], + "I4": [ 1332 ], + "O": [ 2704 ] + } + }, + "LUT5_ee": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20972.5-20978.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 933 ], + "I1": [ 2935 ], + "I2": [ 960 ], + "I3": [ 1099 ], + "I4": [ 2122 ], + "O": [ 2936 ] + } + }, + "LUT5_ef": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20982.5-20988.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1342 ], + "I1": [ 1366 ], + "I2": [ 1033 ], + "I3": [ 1376 ], + "I4": [ 987 ], + "O": [ 2937 ] + } + }, + "LUT5_f": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:20992.5-20998.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2198 ], + "I1": [ 535 ], + "I2": [ 183 ], + "I3": [ 831 ], + "I4": [ 2938 ], + "O": [ 1627 ] + } + }, + "LUT5_f0": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21002.5-21008.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2129 ], + "I1": [ 2473 ], + "I2": [ 1378 ], + "I3": [ 1346 ], + "I4": [ 1360 ], + "O": [ 2939 ] + } + }, + "LUT5_f1": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21012.5-21018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1368 ], + "I1": [ 1664 ], + "I2": [ 1389 ], + "I3": [ 505 ], + "I4": [ 1358 ], + "O": [ 2473 ] + } + }, + "LUT5_f2": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21022.5-21028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1340 ], + "I1": [ 1664 ], + "I2": [ 1223 ], + "I3": [ 2473 ], + "I4": [ 1362 ], + "O": [ 2940 ] + } + }, + "LUT5_f3": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21032.5-21038.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2940 ], + "I1": [ 2941 ], + "I2": [ 2942 ], + "I3": [ 2943 ], + "I4": [ 2944 ], + "O": [ 2945 ] + } + }, + "LUT5_f4": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21042.5-21048.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2474 ], + "I1": [ 2946 ], + "I2": [ 1348 ], + "I3": [ 1252 ], + "I4": [ 2947 ], + "O": [ 2136 ] + } + }, + "LUT5_f5": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21052.5-21058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1356 ], + "I1": [ 979 ], + "I2": [ 983 ], + "I3": [ 1416 ], + "I4": [ 2734 ], + "O": [ 2946 ] + } + }, + "LUT5_f6": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21062.5-21068.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2136 ], + "I1": [ 1335 ], + "I2": [ 2948 ], + "I3": [ 2736 ], + "I4": [ 1337 ], + "O": [ 1327 ] + } + }, + "LUT5_f7": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21072.5-21078.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1335 ], + "I1": [ 1235 ], + "I2": [ 1337 ], + "I3": [ 1252 ], + "I4": [ 981 ], + "O": [ 2139 ] + } + }, + "LUT5_f8": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21082.5-21088.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1348 ], + "I1": [ 2734 ], + "I2": [ 2949 ], + "I3": [ 1335 ], + "I4": [ 983 ], + "O": [ 2137 ] + } + }, + "LUT5_f9": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21092.5-21098.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1284 ], + "I1": [ 1431 ], + "I2": [ 1276 ], + "I3": [ 1314 ], + "I4": [ 1324 ], + "O": [ 2950 ] + } + }, + "LUT5_fa": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21102.5-21108.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 946 ], + "I1": [ 1194 ], + "I2": [ 2153 ], + "I3": [ 1741 ], + "I4": [ 1031 ], + "O": [ 2481 ] + } + }, + "LUT5_fb": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21112.5-21118.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2477 ], + "I1": [ 1082 ], + "I2": [ 1414 ], + "I3": [ 2951 ], + "I4": [ 2481 ], + "O": [ 2478 ] + } + }, + "LUT5_fc": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21122.5-21128.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1082 ], + "I1": [ 1735 ], + "I2": [ 1433 ], + "I3": [ 2951 ], + "I4": [ 2747 ], + "O": [ 2479 ] + } + }, + "LUT5_fd": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21132.5-21138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 2479 ], + "I1": [ 2481 ], + "I2": [ 2437 ], + "I3": [ 1192 ], + "I4": [ 1414 ], + "O": [ 2480 ] + } + }, + "LUT5_fe": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21142.5-21148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1233 ], + "I1": [ 946 ], + "I2": [ 2147 ], + "I3": [ 1062 ], + "I4": [ 1235 ], + "O": [ 2745 ] + } + }, + "LUT5_ff": { + "hide_name": 0, + "type": "LUT5", + "parameters": { + "INIT": "10010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21152.5-21158.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 1211 ], + "I1": [ 1433 ], + "I2": [ 2952 ], + "I3": [ 1246 ], + "I4": [ 1260 ], + "O": [ 2146 ] + } + }, + "LUT6_0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21162.5-21169.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1695 ], + "I1": [ 2743 ], + "I2": [ 1542 ], + "I3": [ 1732 ], + "I4": [ 2482 ], + "I5": [ 2742 ], + "O": [ 1731 ] + } + }, + "LUT6_1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21173.5-21180.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1731 ], + "I1": [ 1732 ], + "I2": [ 1542 ], + "I3": [ 2482 ], + "I4": [ 2743 ], + "I5": [ 1695 ], + "O": [ 442 ] + } + }, + "LUT6_10": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21184.5-21191.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1895 ], + "I1": [ 2814 ], + "I2": [ 579 ], + "I3": [ 1639 ], + "I4": [ 2619 ], + "I5": [ 1565 ], + "O": [ 1573 ] + } + }, + "LUT6_100": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21195.5-21202.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2613 ], + "I1": [ 2953 ], + "I2": [ 2306 ], + "I3": [ 265 ], + "I4": [ 2612 ], + "I5": [ 255 ], + "O": [ 2617 ] + } + }, + "LUT6_101": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21206.5-21213.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2890 ], + "I1": [ 1137 ], + "I2": [ 1869 ], + "I3": [ 319 ], + "I4": [ 231 ], + "I5": [ 245 ], + "O": [ 1929 ] + } + }, + "LUT6_102": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21217.5-21224.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 635 ], + "I1": [ 359 ], + "I2": [ 2836 ], + "I3": [ 705 ], + "I4": [ 221 ], + "I5": [ 379 ], + "O": [ 2954 ] + } + }, + "LUT6_103": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21228.5-21235.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2293 ], + "I1": [ 241 ], + "I2": [ 567 ], + "I3": [ 303 ], + "I4": [ 2954 ], + "I5": [ 631 ], + "O": [ 2621 ] + } + }, + "LUT6_104": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21239.5-21246.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1948 ], + "I1": [ 2623 ], + "I2": [ 2624 ], + "I3": [ 1939 ], + "I4": [ 195 ], + "I5": [ 303 ], + "O": [ 338 ] + } + }, + "LUT6_105": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21250.5-21257.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 617 ], + "I1": [ 583 ], + "I2": [ 303 ], + "I3": [ 887 ], + "I4": [ 325 ], + "I5": [ 843 ], + "O": [ 2623 ] + } + }, + "LUT6_106": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21261.5-21268.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 325 ], + "I1": [ 887 ], + "I2": [ 195 ], + "I3": [ 583 ], + "I4": [ 683 ], + "I5": [ 617 ], + "O": [ 1948 ] + } + }, + "LUT6_107": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21272.5-21279.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 633 ], + "I1": [ 363 ], + "I2": [ 641 ], + "I3": [ 335 ], + "I4": [ 347 ], + "I5": [ 237 ], + "O": [ 2310 ] + } + }, + "LUT6_108": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21283.5-21290.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2309 ], + "I1": [ 2955 ], + "I2": [ 2315 ], + "I3": [ 2318 ], + "I4": [ 643 ], + "I5": [ 597 ], + "O": [ 2312 ] + } + }, + "LUT6_109": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21294.5-21301.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2106 ], + "I1": [ 203 ], + "I2": [ 517 ], + "I3": [ 619 ], + "I4": [ 871 ], + "I5": [ 615 ], + "O": [ 2955 ] + } + }, + "LUT6_10a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21305.5-21312.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 619 ], + "I1": [ 2309 ], + "I2": [ 515 ], + "I3": [ 2623 ], + "I4": [ 2316 ], + "I5": [ 517 ], + "O": [ 1936 ] + } + }, + "LUT6_10b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21316.5-21323.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 261 ], + "I1": [ 361 ], + "I2": [ 619 ], + "I3": [ 615 ], + "I4": [ 2106 ], + "I5": [ 1935 ], + "O": [ 2845 ] + } + }, + "LUT6_10c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21327.5-21334.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2316 ], + "I1": [ 2625 ], + "I2": [ 2620 ], + "I3": [ 2312 ], + "I4": [ 2846 ], + "I5": [ 2624 ], + "O": [ 634 ] + } + }, + "LUT6_10d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21338.5-21345.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 281 ], + "I1": [ 597 ], + "I2": [ 2106 ], + "I3": [ 633 ], + "I4": [ 1948 ], + "I5": [ 237 ], + "O": [ 1940 ] + } + }, + "LUT6_10e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21349.5-21356.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2319 ], + "I1": [ 305 ], + "I2": [ 2283 ], + "I3": [ 353 ], + "I4": [ 588 ], + "I5": [ 1939 ], + "O": [ 326 ] + } + }, + "LUT6_10f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21360.5-21367.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2319 ], + "I1": [ 639 ], + "I2": [ 369 ], + "I3": [ 2624 ], + "I4": [ 1958 ], + "I5": [ 311 ], + "O": [ 628 ] + } + }, + "LUT6_11": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21371.5-21378.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1427 ], + "I1": [ 1048 ], + "I2": [ 545 ], + "I3": [ 1080 ], + "I4": [ 235 ], + "I5": [ 1923 ], + "O": [ 1895 ] + } + }, + "LUT6_110": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21382.5-21389.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2320 ], + "I1": [ 2956 ], + "I2": [ 2957 ], + "I3": [ 628 ], + "I4": [ 2624 ], + "I5": [ 1137 ], + "O": [ 356 ] + } + }, + "LUT6_111": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21393.5-21400.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 369 ], + "I2": [ 1948 ], + "I3": [ 825 ], + "I4": [ 599 ], + "I5": [ 639 ], + "O": [ 2957 ] + } + }, + "LUT6_112": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21404.5-21411.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1948 ], + "I1": [ 639 ], + "I2": [ 305 ], + "I3": [ 311 ], + "I4": [ 599 ], + "I5": [ 2623 ], + "O": [ 2320 ] + } + }, + "LUT6_113": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21415.5-21422.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 621 ], + "I2": [ 1948 ], + "I3": [ 369 ], + "I4": [ 825 ], + "I5": [ 603 ], + "O": [ 2956 ] + } + }, + "LUT6_114": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21426.5-21433.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2318 ], + "I1": [ 2957 ], + "I2": [ 305 ], + "I3": [ 621 ], + "I4": [ 825 ], + "I5": [ 2956 ], + "O": [ 2625 ] + } + }, + "LUT6_115": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21437.5-21444.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 311 ], + "I1": [ 358 ], + "I2": [ 1948 ], + "I3": [ 2283 ], + "I4": [ 603 ], + "I5": [ 1958 ], + "O": [ 2319 ] + } + }, + "LUT6_116": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21448.5-21455.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 599 ], + "I1": [ 1137 ], + "I2": [ 825 ], + "I3": [ 2318 ], + "I4": [ 353 ], + "I5": [ 603 ], + "O": [ 1945 ] + } + }, + "LUT6_117": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21459.5-21466.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 599 ], + "I2": [ 323 ], + "I3": [ 2623 ], + "I4": [ 707 ], + "I5": [ 369 ], + "O": [ 1946 ] + } + }, + "LUT6_118": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21470.5-21477.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 611 ], + "I1": [ 349 ], + "I2": [ 307 ], + "I3": [ 627 ], + "I4": [ 483 ], + "I5": [ 1958 ], + "O": [ 358 ] + } + }, + "LUT6_119": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21481.5-21488.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 619 ], + "I1": [ 577 ], + "I2": [ 1137 ], + "I3": [ 413 ], + "I4": [ 843 ], + "I5": [ 637 ], + "O": [ 2958 ] + } + }, + "LUT6_11a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21492.5-21499.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 637 ], + "I1": [ 2958 ], + "I2": [ 1137 ], + "I3": [ 580 ], + "I4": [ 649 ], + "I5": [ 843 ], + "O": [ 1949 ] + } + }, + "LUT6_11b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21503.5-21510.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1949 ], + "I1": [ 332 ], + "I2": [ 590 ], + "I3": [ 1950 ], + "I4": [ 577 ], + "I5": [ 358 ], + "O": [ 340 ] + } + }, + "LUT6_11c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21514.5-21521.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 371 ], + "I1": [ 1966 ], + "I2": [ 553 ], + "I3": [ 547 ], + "I4": [ 867 ], + "I5": [ 371 ], + "O": [ 2859 ] + } + }, + "LUT6_11d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21525.5-21532.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 221 ], + "I1": [ 689 ], + "I2": [ 723 ], + "I3": [ 679 ], + "I4": [ 1578 ], + "I5": [ 481 ], + "O": [ 2322 ] + } + }, + "LUT6_11e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21536.5-21543.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 729 ], + "I1": [ 719 ], + "I2": [ 689 ], + "I3": [ 1624 ], + "I4": [ 867 ], + "I5": [ 259 ], + "O": [ 2852 ] + } + }, + "LUT6_11f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21547.5-21554.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 291 ], + "I1": [ 2831 ], + "I2": [ 653 ], + "I3": [ 299 ], + "I4": [ 1952 ], + "I5": [ 831 ], + "O": [ 2855 ] + } + }, + "LUT6_12": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21558.5-21565.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1286 ], + "I1": [ 1376 ], + "I2": [ 1258 ], + "I3": [ 2959 ], + "I4": [ 477 ], + "I5": [ 613 ], + "O": [ 2364 ] + } + }, + "LUT6_120": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21569.5-21576.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 455 ], + "I1": [ 223 ], + "I2": [ 751 ], + "I3": [ 653 ], + "I4": [ 1966 ], + "I5": [ 553 ], + "O": [ 2853 ] + } + }, + "LUT6_121": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21580.5-21587.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1951 ], + "I1": [ 1952 ], + "I2": [ 2856 ], + "I3": [ 551 ], + "I4": [ 259 ], + "I5": [ 2854 ], + "O": [ 2326 ] + } + }, + "LUT6_122": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21591.5-21598.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2855 ], + "I1": [ 371 ], + "I2": [ 2323 ], + "I3": [ 2327 ], + "I4": [ 2856 ], + "I5": [ 1953 ], + "O": [ 2328 ] + } + }, + "LUT6_123": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21602.5-21609.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1953 ], + "I1": [ 2323 ], + "I2": [ 2856 ], + "I3": [ 2855 ], + "I4": [ 371 ], + "I5": [ 2327 ], + "O": [ 2329 ] + } + }, + "LUT6_124": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21613.5-21620.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 1955 ], + "I2": [ 2851 ], + "I3": [ 179 ], + "I4": [ 841 ], + "I5": [ 301 ], + "O": [ 2960 ] + } + }, + "LUT6_125": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21624.5-21631.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 689 ], + "I1": [ 1624 ], + "I2": [ 185 ], + "I3": [ 1955 ], + "I4": [ 2960 ], + "I5": [ 2333 ], + "O": [ 270 ] + } + }, + "LUT6_126": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21635.5-21642.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2333 ], + "I1": [ 867 ], + "I2": [ 2860 ], + "I3": [ 2847 ], + "I4": [ 2858 ], + "I5": [ 1701 ], + "O": [ 2331 ] + } + }, + "LUT6_127": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21646.5-21653.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 729 ], + "I1": [ 2626 ], + "I2": [ 2324 ], + "I3": [ 1624 ], + "I4": [ 2333 ], + "I5": [ 741 ], + "O": [ 2334 ] + } + }, + "LUT6_128": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21657.5-21664.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 729 ], + "I1": [ 2333 ], + "I2": [ 2334 ], + "I3": [ 2626 ], + "I4": [ 2324 ], + "I5": [ 1624 ], + "O": [ 292 ] + } + }, + "LUT6_129": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21668.5-21675.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 481 ], + "I1": [ 571 ], + "I2": [ 997 ], + "I3": [ 169 ], + "I4": [ 799 ], + "I5": [ 847 ], + "O": [ 1955 ] + } + }, + "LUT6_12a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21679.5-21686.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2290 ], + "I1": [ 700 ], + "I2": [ 2961 ], + "I3": [ 401 ], + "I4": [ 1638 ], + "I5": [ 1624 ], + "O": [ 1632 ] + } + }, + "LUT6_12b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21690.5-21697.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1544 ], + "I1": [ 1380 ], + "I2": [ 2290 ], + "I3": [ 185 ], + "I4": [ 265 ], + "I5": [ 2871 ], + "O": [ 2863 ] + } + }, + "LUT6_12c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21701.5-21708.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 901 ], + "I2": [ 1697 ], + "I3": [ 2588 ], + "I4": [ 187 ], + "I5": [ 1624 ], + "O": [ 2861 ] + } + }, + "LUT6_12d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21712.5-21719.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 555 ], + "I2": [ 899 ], + "I3": [ 393 ], + "I4": [ 761 ], + "I5": [ 1638 ], + "O": [ 2862 ] + } + }, + "LUT6_12e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21723.5-21730.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 899 ], + "I1": [ 1624 ], + "I2": [ 557 ], + "I3": [ 393 ], + "I4": [ 2863 ], + "I5": [ 1697 ], + "O": [ 2631 ] + } + }, + "LUT6_12f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21734.5-21741.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 2631 ], + "I2": [ 2630 ], + "I3": [ 751 ], + "I4": [ 555 ], + "I5": [ 725 ], + "O": [ 738 ] + } + }, + "LUT6_13": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21745.5-21752.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2213 ], + "I1": [ 477 ], + "I2": [ 2814 ], + "I3": [ 1844 ], + "I4": [ 2694 ], + "I5": [ 1364 ], + "O": [ 2962 ] + } + }, + "LUT6_130": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21756.5-21763.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 845 ], + "I2": [ 705 ], + "I3": [ 733 ], + "I4": [ 997 ], + "I5": [ 2596 ], + "O": [ 2961 ] + } + }, + "LUT6_131": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21767.5-21774.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 745 ], + "I1": [ 857 ], + "I2": [ 673 ], + "I3": [ 1414 ], + "I4": [ 873 ], + "I5": [ 721 ], + "O": [ 1952 ] + } + }, + "LUT6_132": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21778.5-21785.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2335 ], + "I1": [ 803 ], + "I2": [ 717 ], + "I3": [ 379 ], + "I4": [ 735 ], + "I5": [ 737 ], + "O": [ 2963 ] + } + }, + "LUT6_133": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21789.5-21796.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2034 ], + "I1": [ 2893 ], + "I2": [ 777 ], + "I3": [ 723 ], + "I4": [ 283 ], + "I5": [ 2668 ], + "O": [ 2964 ] + } + }, + "LUT6_134": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21800.5-21807.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2893 ], + "I1": [ 559 ], + "I2": [ 717 ], + "I3": [ 2668 ], + "I4": [ 1039 ], + "I5": [ 283 ], + "O": [ 2965 ] + } + }, + "LUT6_135": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21811.5-21818.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2651 ], + "I1": [ 691 ], + "I2": [ 2893 ], + "I3": [ 1952 ], + "I4": [ 175 ], + "I5": [ 559 ], + "O": [ 2966 ] + } + }, + "LUT6_136": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21822.5-21829.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 717 ], + "I1": [ 901 ], + "I2": [ 283 ], + "I3": [ 2965 ], + "I4": [ 2966 ], + "I5": [ 1624 ], + "O": [ 1963 ] + } + }, + "LUT6_137": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21833.5-21840.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1961 ], + "I1": [ 2335 ], + "I2": [ 1963 ], + "I3": [ 2336 ], + "I4": [ 1039 ], + "I5": [ 2668 ], + "O": [ 662 ] + } + }, + "LUT6_138": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21844.5-21851.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1578 ], + "I1": [ 1966 ], + "I2": [ 233 ], + "I3": [ 479 ], + "I4": [ 801 ], + "I5": [ 883 ], + "O": [ 2967 ] + } + }, + "LUT6_139": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21855.5-21862.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 479 ], + "I1": [ 1966 ], + "I2": [ 233 ], + "I3": [ 2967 ], + "I4": [ 1578 ], + "I5": [ 801 ], + "O": [ 664 ] + } + }, + "LUT6_13a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21866.5-21873.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1869 ], + "I1": [ 565 ], + "I2": [ 751 ], + "I3": [ 415 ], + "I4": [ 883 ], + "I5": [ 889 ], + "O": [ 2968 ] + } + }, + "LUT6_13b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21877.5-21884.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 731 ], + "I1": [ 1590 ], + "I2": [ 727 ], + "I3": [ 233 ], + "I4": [ 533 ], + "I5": [ 567 ], + "O": [ 1869 ] + } + }, + "LUT6_13c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21888.5-21895.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 801 ], + "I2": [ 723 ], + "I3": [ 567 ], + "I4": [ 843 ], + "I5": [ 479 ], + "O": [ 1967 ] + } + }, + "LUT6_13d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21899.5-21906.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 901 ], + "I1": [ 247 ], + "I2": [ 567 ], + "I3": [ 739 ], + "I4": [ 395 ], + "I5": [ 1003 ], + "O": [ 1039 ] + } + }, + "LUT6_13e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21910.5-21917.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2968 ], + "I1": [ 1687 ], + "I2": [ 2296 ], + "I3": [ 169 ], + "I4": [ 1976 ], + "I5": [ 389 ], + "O": [ 2632 ] + } + }, + "LUT6_13f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21921.5-21928.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1414 ], + "I1": [ 243 ], + "I2": [ 1966 ], + "I3": [ 2637 ], + "I4": [ 702 ], + "I5": [ 1039 ], + "O": [ 2337 ] + } + }, + "LUT6_14": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21932.5-21939.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 885 ], + "I1": [ 2249 ], + "I2": [ 1264 ], + "I3": [ 613 ], + "I4": [ 1258 ], + "I5": [ 975 ], + "O": [ 2342 ] + } + }, + "LUT6_140": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21943.5-21950.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2635 ], + "I1": [ 853 ], + "I2": [ 2338 ], + "I3": [ 743 ], + "I4": [ 1967 ], + "I5": [ 517 ], + "O": [ 656 ] + } + }, + "LUT6_141": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21954.5-21961.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 425 ], + "I1": [ 1414 ], + "I2": [ 1137 ], + "I3": [ 1966 ], + "I4": [ 567 ], + "I5": [ 2296 ], + "O": [ 2636 ] + } + }, + "LUT6_142": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21965.5-21972.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 197 ], + "I1": [ 1039 ], + "I2": [ 247 ], + "I3": [ 567 ], + "I4": [ 2296 ], + "I5": [ 723 ], + "O": [ 2969 ] + } + }, + "LUT6_143": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21976.5-21983.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 723 ], + "I2": [ 883 ], + "I3": [ 1590 ], + "I4": [ 197 ], + "I5": [ 567 ], + "O": [ 2344 ] + } + }, + "LUT6_144": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21987.5-21994.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 1039 ], + "I2": [ 2344 ], + "I3": [ 843 ], + "I4": [ 2969 ], + "I5": [ 247 ], + "O": [ 568 ] + } + }, + "LUT6_145": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:21998.5-22005.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 899 ], + "I1": [ 773 ], + "I2": [ 1649 ], + "I3": [ 1410 ], + "I4": [ 1624 ], + "I5": [ 1619 ], + "O": [ 2970 ] + } + }, + "LUT6_146": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22009.5-22016.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 501 ], + "I1": [ 397 ], + "I2": [ 179 ], + "I3": [ 777 ], + "I4": [ 287 ], + "I5": [ 625 ], + "O": [ 2350 ] + } + }, + "LUT6_147": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22020.5-22027.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 185 ], + "I1": [ 287 ], + "I2": [ 397 ], + "I3": [ 2970 ], + "I4": [ 1133 ], + "I5": [ 771 ], + "O": [ 1978 ] + } + }, + "LUT6_148": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22031.5-22038.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 287 ], + "I1": [ 185 ], + "I2": [ 501 ], + "I3": [ 535 ], + "I4": [ 1129 ], + "I5": [ 191 ], + "O": [ 2867 ] + } + }, + "LUT6_149": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22042.5-22049.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 651 ], + "I1": [ 2970 ], + "I2": [ 995 ], + "I3": [ 175 ], + "I4": [ 1997 ], + "I5": [ 777 ], + "O": [ 2640 ] + } + }, + "LUT6_14a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22053.5-22060.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1979 ], + "I1": [ 2868 ], + "I2": [ 2867 ], + "I3": [ 710 ], + "I4": [ 2350 ], + "I5": [ 893 ], + "O": [ 2348 ] + } + }, + "LUT6_14b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22064.5-22071.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 527 ], + "I1": [ 1133 ], + "I2": [ 1638 ], + "I3": [ 1594 ], + "I4": [ 2637 ], + "I5": [ 397 ], + "O": [ 2349 ] + } + }, + "LUT6_14c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22075.5-22082.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 191 ], + "I2": [ 893 ], + "I3": [ 1649 ], + "I4": [ 1133 ], + "I5": [ 710 ], + "O": [ 2351 ] + } + }, + "LUT6_14d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22086.5-22093.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 710 ], + "I1": [ 831 ], + "I2": [ 191 ], + "I3": [ 690 ], + "I4": [ 684 ], + "I5": [ 2350 ], + "O": [ 2866 ] + } + }, + "LUT6_14e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22097.5-22104.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1883 ], + "I1": [ 1880 ], + "I2": [ 573 ], + "I3": [ 191 ], + "I4": [ 831 ], + "I5": [ 2971 ], + "O": [ 2642 ] + } + }, + "LUT6_14f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22108.5-22115.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 191 ], + "I1": [ 2642 ], + "I2": [ 573 ], + "I3": [ 1883 ], + "I4": [ 831 ], + "I5": [ 1880 ], + "O": [ 188 ] + } + }, + "LUT6_15": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22119.5-22126.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2962 ], + "I1": [ 1264 ], + "I2": [ 748 ], + "I3": [ 885 ], + "I4": [ 613 ], + "I5": [ 1943 ], + "O": [ 2972 ] + } + }, + "LUT6_150": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22130.5-22137.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 711 ], + "I1": [ 697 ], + "I2": [ 701 ], + "I3": [ 703 ], + "I4": [ 687 ], + "I5": [ 741 ], + "O": [ 1883 ] + } + }, + "LUT6_151": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22141.5-22148.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1976 ], + "I1": [ 667 ], + "I2": [ 1955 ], + "I3": [ 663 ], + "I4": [ 669 ], + "I5": [ 657 ], + "O": [ 624 ] + } + }, + "LUT6_152": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22152.5-22159.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 713 ], + "I1": [ 707 ], + "I2": [ 685 ], + "I3": [ 649 ], + "I4": [ 1039 ], + "I5": [ 2338 ], + "O": [ 2643 ] + } + }, + "LUT6_153": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22163.5-22170.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 695 ], + "I1": [ 693 ], + "I2": [ 685 ], + "I3": [ 677 ], + "I4": [ 713 ], + "I5": [ 649 ], + "O": [ 2973 ] + } + }, + "LUT6_154": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22174.5-22181.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2961 ], + "I1": [ 695 ], + "I2": [ 2668 ], + "I3": [ 1039 ], + "I4": [ 2338 ], + "I5": [ 1883 ], + "O": [ 2974 ] + } + }, + "LUT6_155": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22185.5-22192.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 655 ], + "I1": [ 709 ], + "I2": [ 677 ], + "I3": [ 659 ], + "I4": [ 649 ], + "I5": [ 685 ], + "O": [ 1976 ] + } + }, + "LUT6_156": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22196.5-22203.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 699 ], + "I1": [ 2974 ], + "I2": [ 683 ], + "I3": [ 1976 ], + "I4": [ 717 ], + "I5": [ 2973 ], + "O": [ 2352 ] + } + }, + "LUT6_157": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22207.5-22214.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 713 ], + "I2": [ 1981 ], + "I3": [ 1982 ], + "I4": [ 2345 ], + "I5": [ 2643 ], + "O": [ 2975 ] + } + }, + "LUT6_158": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22218.5-22225.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 693 ], + "I1": [ 1981 ], + "I2": [ 2352 ], + "I3": [ 2353 ], + "I4": [ 2975 ], + "I5": [ 713 ], + "O": [ 650 ] + } + }, + "LUT6_159": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22229.5-22236.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 399 ], + "I1": [ 913 ], + "I2": [ 911 ], + "I3": [ 707 ], + "I4": [ 439 ], + "I5": [ 1133 ], + "O": [ 1966 ] + } + }, + "LUT6_15a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22240.5-22247.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 915 ], + "I1": [ 787 ], + "I2": [ 381 ], + "I3": [ 863 ], + "I4": [ 439 ], + "I5": [ 189 ], + "O": [ 2354 ] + } + }, + "LUT6_15b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22251.5-22258.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2014 ], + "I1": [ 859 ], + "I2": [ 479 ], + "I3": [ 543 ], + "I4": [ 887 ], + "I5": [ 757 ], + "O": [ 2976 ] + } + }, + "LUT6_15c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22262.5-22269.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2355 ], + "I1": [ 2354 ], + "I2": [ 1984 ], + "I3": [ 1274 ], + "I4": [ 2976 ], + "I5": [ 399 ], + "O": [ 2357 ] + } + }, + "LUT6_15d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22273.5-22280.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2647 ], + "I1": [ 909 ], + "I2": [ 887 ], + "I3": [ 1984 ], + "I4": [ 891 ], + "I5": [ 2355 ], + "O": [ 2361 ] + } + }, + "LUT6_15e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22284.5-22291.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 887 ], + "I1": [ 1984 ], + "I2": [ 2647 ], + "I3": [ 909 ], + "I4": [ 1274 ], + "I5": [ 2667 ], + "O": [ 2869 ] + } + }, + "LUT6_15f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22295.5-22302.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1988 ], + "I1": [ 2358 ], + "I2": [ 2977 ], + "I3": [ 2357 ], + "I4": [ 1987 ], + "I5": [ 2646 ], + "O": [ 388 ] + } + }, + "LUT6_16": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22306.5-22313.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2365 ], + "I1": [ 2364 ], + "I2": [ 1001 ], + "I3": [ 2959 ], + "I4": [ 2343 ], + "I5": [ 2972 ], + "O": [ 1589 ] + } + }, + "LUT6_160": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22317.5-22324.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1989 ], + "I1": [ 823 ], + "I2": [ 2645 ], + "I3": [ 523 ], + "I4": [ 543 ], + "I5": [ 773 ], + "O": [ 2359 ] + } + }, + "LUT6_161": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22328.5-22335.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 757 ], + "I1": [ 2362 ], + "I2": [ 423 ], + "I3": [ 399 ], + "I4": [ 2870 ], + "I5": [ 1987 ], + "O": [ 898 ] + } + }, + "LUT6_162": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22339.5-22346.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 843 ], + "I1": [ 913 ], + "I2": [ 1709 ], + "I3": [ 479 ], + "I4": [ 2354 ], + "I5": [ 431 ], + "O": [ 2648 ] + } + }, + "LUT6_163": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22350.5-22357.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 773 ], + "I1": [ 2648 ], + "I2": [ 859 ], + "I3": [ 1991 ], + "I4": [ 823 ], + "I5": [ 2363 ], + "O": [ 1990 ] + } + }, + "LUT6_164": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22361.5-22368.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2648 ], + "I1": [ 1990 ], + "I2": [ 1991 ], + "I3": [ 773 ], + "I4": [ 823 ], + "I5": [ 859 ], + "O": [ 396 ] + } + }, + "LUT6_165": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22372.5-22379.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 799 ], + "I2": [ 767 ], + "I3": [ 219 ], + "I4": [ 441 ], + "I5": [ 759 ], + "O": [ 1992 ] + } + }, + "LUT6_166": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22383.5-22390.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2369 ], + "I1": [ 675 ], + "I2": [ 2370 ], + "I3": [ 1399 ], + "I4": [ 2404 ], + "I5": [ 779 ], + "O": [ 2872 ] + } + }, + "LUT6_167": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22394.5-22401.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1995 ], + "I1": [ 841 ], + "I2": [ 2649 ], + "I3": [ 911 ], + "I4": [ 1996 ], + "I5": [ 2872 ], + "O": [ 436 ] + } + }, + "LUT6_168": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22405.5-22412.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 427 ], + "I1": [ 894 ], + "I2": [ 1996 ], + "I3": [ 1993 ], + "I4": [ 2651 ], + "I5": [ 1992 ], + "O": [ 2650 ] + } + }, + "LUT6_169": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22416.5-22423.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1996 ], + "I1": [ 427 ], + "I2": [ 2650 ], + "I3": [ 2651 ], + "I4": [ 1993 ], + "I5": [ 894 ], + "O": [ 770 ] + } + }, + "LUT6_16a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22427.5-22434.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 433 ], + "I1": [ 877 ], + "I2": [ 1992 ], + "I3": [ 767 ], + "I4": [ 759 ], + "I5": [ 1399 ], + "O": [ 894 ] + } + }, + "LUT6_16b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22438.5-22445.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2367 ], + "I1": [ 403 ], + "I2": [ 441 ], + "I3": [ 894 ], + "I4": [ 390 ], + "I5": [ 1993 ], + "O": [ 2977 ] + } + }, + "LUT6_16c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22449.5-22456.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 560 ], + "I1": [ 2644 ], + "I2": [ 2368 ], + "I3": [ 558 ], + "I4": [ 888 ], + "I5": [ 1123 ], + "O": [ 892 ] + } + }, + "LUT6_16d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22460.5-22467.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1997 ], + "I1": [ 1709 ], + "I2": [ 1966 ], + "I3": [ 1992 ], + "I4": [ 877 ], + "I5": [ 2354 ], + "O": [ 2652 ] + } + }, + "LUT6_16e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22471.5-22478.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 779 ], + "I1": [ 1399 ], + "I2": [ 841 ], + "I3": [ 773 ], + "I4": [ 479 ], + "I5": [ 897 ], + "O": [ 2370 ] + } + }, + "LUT6_16f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22482.5-22489.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2652 ], + "I1": [ 841 ], + "I2": [ 1399 ], + "I3": [ 779 ], + "I4": [ 2340 ], + "I5": [ 894 ], + "O": [ 888 ] + } + }, + "LUT6_17": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22493.5-22500.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1559 ], + "I1": [ 1550 ], + "I2": [ 1262 ], + "I3": [ 1548 ], + "I4": [ 1364 ], + "I5": [ 1427 ], + "O": [ 2864 ] + } + }, + "LUT6_170": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22504.5-22511.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2371 ], + "I1": [ 2653 ], + "I2": [ 888 ], + "I3": [ 1399 ], + "I4": [ 773 ], + "I5": [ 779 ], + "O": [ 382 ] + } + }, + "LUT6_171": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22515.5-22522.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2652 ], + "I1": [ 390 ], + "I2": [ 2340 ], + "I3": [ 2370 ], + "I4": [ 841 ], + "I5": [ 894 ], + "O": [ 2874 ] + } + }, + "LUT6_172": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22526.5-22533.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2978 ], + "I1": [ 2375 ], + "I2": [ 1887 ], + "I3": [ 1132 ], + "I4": [ 389 ], + "I5": [ 2667 ], + "O": [ 2658 ] + } + }, + "LUT6_173": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22537.5-22544.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 425 ], + "I1": [ 763 ], + "I2": [ 813 ], + "I3": [ 173 ], + "I4": [ 829 ], + "I5": [ 429 ], + "O": [ 2979 ] + } + }, + "LUT6_174": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22548.5-22555.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2978 ], + "I1": [ 2375 ], + "I2": [ 2980 ], + "I3": [ 383 ], + "I4": [ 777 ], + "I5": [ 901 ], + "O": [ 2981 ] + } + }, + "LUT6_175": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22559.5-22566.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 407 ], + "I1": [ 893 ], + "I2": [ 827 ], + "I3": [ 795 ], + "I4": [ 2034 ], + "I5": [ 899 ], + "O": [ 2978 ] + } + }, + "LUT6_176": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22570.5-22577.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 883 ], + "I1": [ 861 ], + "I2": [ 2373 ], + "I3": [ 2875 ], + "I4": [ 2978 ], + "I5": [ 795 ], + "O": [ 2372 ] + } + }, + "LUT6_177": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22581.5-22588.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 540 ], + "I1": [ 2374 ], + "I2": [ 777 ], + "I3": [ 1998 ], + "I4": [ 2981 ], + "I5": [ 901 ], + "O": [ 1999 ] + } + }, + "LUT6_178": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22592.5-22599.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 397 ], + "I1": [ 2373 ], + "I2": [ 795 ], + "I3": [ 1992 ], + "I4": [ 797 ], + "I5": [ 2688 ], + "O": [ 2655 ] + } + }, + "LUT6_179": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22603.5-22610.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 861 ], + "I1": [ 831 ], + "I2": [ 377 ], + "I3": [ 489 ], + "I4": [ 401 ], + "I5": [ 2978 ], + "O": [ 2876 ] + } + }, + "LUT6_17a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22614.5-22621.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 861 ], + "I1": [ 2034 ], + "I2": [ 407 ], + "I3": [ 2654 ], + "I4": [ 883 ], + "I5": [ 907 ], + "O": [ 2877 ] + } + }, + "LUT6_17b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22625.5-22632.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 510 ], + "I1": [ 383 ], + "I2": [ 549 ], + "I3": [ 479 ], + "I4": [ 225 ], + "I5": [ 2979 ], + "O": [ 2657 ] + } + }, + "LUT6_17c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22636.5-22643.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 225 ], + "I1": [ 789 ], + "I2": [ 481 ], + "I3": [ 383 ], + "I4": [ 2375 ], + "I5": [ 549 ], + "O": [ 2982 ] + } + }, + "LUT6_17d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22647.5-22654.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2001 ], + "I1": [ 2378 ], + "I2": [ 510 ], + "I3": [ 2982 ], + "I4": [ 2979 ], + "I5": [ 2980 ], + "O": [ 908 ] + } + }, + "LUT6_17e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22658.5-22665.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 895 ], + "I1": [ 853 ], + "I2": [ 821 ], + "I3": [ 381 ], + "I4": [ 395 ], + "I5": [ 1638 ], + "O": [ 2980 ] + } + }, + "LUT6_17f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22669.5-22676.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 865 ], + "I1": [ 391 ], + "I2": [ 917 ], + "I3": [ 833 ], + "I4": [ 2890 ], + "I5": [ 819 ], + "O": [ 2660 ] + } + }, + "LUT6_18": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22680.5-22687.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2839 ], + "I1": [ 1565 ], + "I2": [ 647 ], + "I3": [ 1008 ], + "I4": [ 845 ], + "I5": [ 477 ], + "O": [ 2983 ] + } + }, + "LUT6_180": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22691.5-22698.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 411 ], + "I1": [ 825 ], + "I2": [ 2660 ], + "I3": [ 891 ], + "I4": [ 2980 ], + "I5": [ 2354 ], + "O": [ 2381 ] + } + }, + "LUT6_181": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22702.5-22709.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2012 ], + "I1": [ 431 ], + "I2": [ 2381 ], + "I3": [ 2981 ], + "I4": [ 857 ], + "I5": [ 2379 ], + "O": [ 2384 ] + } + }, + "LUT6_182": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22713.5-22720.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2005 ], + "I1": [ 2381 ], + "I2": [ 431 ], + "I3": [ 889 ], + "I4": [ 2659 ], + "I5": [ 2384 ], + "O": [ 380 ] + } + }, + "LUT6_183": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22724.5-22731.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2660 ], + "I1": [ 1131 ], + "I2": [ 1399 ], + "I3": [ 385 ], + "I4": [ 2004 ], + "I5": [ 413 ], + "O": [ 572 ] + } + }, + "LUT6_184": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22735.5-22742.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 385 ], + "I1": [ 2012 ], + "I2": [ 413 ], + "I3": [ 1131 ], + "I4": [ 2004 ], + "I5": [ 2660 ], + "O": [ 2013 ] + } + }, + "LUT6_185": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22746.5-22753.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 807 ], + "I1": [ 227 ], + "I2": [ 403 ], + "I3": [ 881 ], + "I4": [ 849 ], + "I5": [ 855 ], + "O": [ 182 ] + } + }, + "LUT6_186": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22757.5-22764.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 867 ], + "I1": [ 1003 ], + "I2": [ 879 ], + "I3": [ 217 ], + "I4": [ 831 ], + "I5": [ 815 ], + "O": [ 2387 ] + } + }, + "LUT6_187": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22768.5-22775.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 227 ], + "I1": [ 847 ], + "I2": [ 867 ], + "I3": [ 815 ], + "I4": [ 1003 ], + "I5": [ 915 ], + "O": [ 2879 ] + } + }, + "LUT6_188": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22779.5-22786.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 227 ], + "I1": [ 789 ], + "I2": [ 915 ], + "I3": [ 879 ], + "I4": [ 2355 ], + "I5": [ 479 ], + "O": [ 2984 ] + } + }, + "LUT6_189": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22790.5-22797.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 791 ], + "I1": [ 2882 ], + "I2": [ 2984 ], + "I3": [ 498 ], + "I4": [ 2664 ], + "I5": [ 2391 ], + "O": [ 2883 ] + } + }, + "LUT6_18a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22801.5-22808.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 791 ], + "I1": [ 869 ], + "I2": [ 2390 ], + "I3": [ 881 ], + "I4": [ 867 ], + "I5": [ 1993 ], + "O": [ 2882 ] + } + }, + "LUT6_18b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22812.5-22819.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2879 ], + "I1": [ 815 ], + "I2": [ 227 ], + "I3": [ 2578 ], + "I4": [ 2881 ], + "I5": [ 847 ], + "O": [ 2884 ] + } + }, + "LUT6_18c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22823.5-22830.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2879 ], + "I1": [ 815 ], + "I2": [ 182 ], + "I3": [ 849 ], + "I4": [ 1003 ], + "I5": [ 2578 ], + "O": [ 2885 ] + } + }, + "LUT6_18d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22834.5-22841.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 403 ], + "I1": [ 815 ], + "I2": [ 1003 ], + "I3": [ 901 ], + "I4": [ 2879 ], + "I5": [ 849 ], + "O": [ 2394 ] + } + }, + "LUT6_18e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22845.5-22852.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 403 ], + "I1": [ 2394 ], + "I2": [ 182 ], + "I3": [ 2392 ], + "I4": [ 2385 ], + "I5": [ 2884 ], + "O": [ 2395 ] + } + }, + "LUT6_18f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22856.5-22863.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2355 ], + "I1": [ 2985 ], + "I2": [ 2254 ], + "I3": [ 1709 ], + "I4": [ 411 ], + "I5": [ 2890 ], + "O": [ 838 ] + } + }, + "LUT6_19": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22867.5-22874.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2425 ], + "I1": [ 1962 ], + "I2": [ 2986 ], + "I3": [ 647 ], + "I4": [ 2983 ], + "I5": [ 2839 ], + "O": [ 1639 ] + } + }, + "LUT6_190": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22878.5-22885.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 455 ], + "I1": [ 1641 ], + "I2": [ 1594 ], + "I3": [ 2354 ], + "I4": [ 2668 ], + "I5": [ 223 ], + "O": [ 2651 ] + } + }, + "LUT6_191": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22889.5-22896.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2665 ], + "I1": [ 2354 ], + "I2": [ 821 ], + "I3": [ 455 ], + "I4": [ 2396 ], + "I5": [ 879 ], + "O": [ 2398 ] + } + }, + "LUT6_192": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22900.5-22907.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 405 ], + "I1": [ 431 ], + "I2": [ 415 ], + "I3": [ 1131 ], + "I4": [ 907 ], + "I5": [ 905 ], + "O": [ 2401 ] + } + }, + "LUT6_193": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22911.5-22918.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2668 ], + "I1": [ 901 ], + "I2": [ 2667 ], + "I3": [ 2669 ], + "I4": [ 1594 ], + "I5": [ 2404 ], + "O": [ 2402 ] + } + }, + "LUT6_194": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22922.5-22929.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2401 ], + "I1": [ 2015 ], + "I2": [ 439 ], + "I3": [ 867 ], + "I4": [ 777 ], + "I5": [ 2355 ], + "O": [ 2670 ] + } + }, + "LUT6_195": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22933.5-22940.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2672 ], + "I1": [ 2401 ], + "I2": [ 2400 ], + "I3": [ 2402 ], + "I4": [ 2355 ], + "I5": [ 439 ], + "O": [ 786 ] + } + }, + "LUT6_196": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22944.5-22951.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 455 ], + "I1": [ 529 ], + "I2": [ 1984 ], + "I3": [ 777 ], + "I4": [ 867 ], + "I5": [ 439 ], + "O": [ 2887 ] + } + }, + "LUT6_197": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22955.5-22962.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2002 ], + "I1": [ 415 ], + "I2": [ 489 ], + "I3": [ 2354 ], + "I4": [ 907 ], + "I5": [ 497 ], + "O": [ 2888 ] + } + }, + "LUT6_198": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22966.5-22973.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 907 ], + "I1": [ 397 ], + "I2": [ 905 ], + "I3": [ 529 ], + "I4": [ 2002 ], + "I5": [ 2355 ], + "O": [ 2987 ] + } + }, + "LUT6_199": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22977.5-22984.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2668 ], + "I1": [ 455 ], + "I2": [ 2987 ], + "I3": [ 1984 ], + "I4": [ 2988 ], + "I5": [ 497 ], + "O": [ 788 ] + } + }, + "LUT6_19a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22988.5-22995.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 439 ], + "I2": [ 2667 ], + "I3": [ 905 ], + "I4": [ 2404 ], + "I5": [ 2668 ], + "O": [ 2021 ] + } + }, + "LUT6_19b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:22999.5-23006.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2340 ], + "I1": [ 1131 ], + "I2": [ 189 ], + "I3": [ 723 ], + "I4": [ 417 ], + "I5": [ 915 ], + "O": [ 2989 ] + } + }, + "LUT6_19c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23010.5-23017.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 861 ], + "I1": [ 2892 ], + "I2": [ 677 ], + "I3": [ 1466 ], + "I4": [ 2409 ], + "I5": [ 225 ], + "O": [ 2895 ] + } + }, + "LUT6_19d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23021.5-23028.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2674 ], + "I1": [ 2409 ], + "I2": [ 1125 ], + "I3": [ 2407 ], + "I4": [ 2894 ], + "I5": [ 677 ], + "O": [ 2408 ] + } + }, + "LUT6_19e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23032.5-23039.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 677 ], + "I1": [ 2408 ], + "I2": [ 901 ], + "I3": [ 751 ], + "I4": [ 2990 ], + "I5": [ 2894 ], + "O": [ 882 ] + } + }, + "LUT6_19f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23043.5-23050.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2592 ], + "I1": [ 2387 ], + "I2": [ 1125 ], + "I3": [ 467 ], + "I4": [ 1132 ], + "I5": [ 2673 ], + "O": [ 516 ] + } + }, + "LUT6_1a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23054.5-23061.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 1008 ], + "I2": [ 2850 ], + "I3": [ 960 ], + "I4": [ 2406 ], + "I5": [ 985 ], + "O": [ 2849 ] + } + }, + "LUT6_1a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23065.5-23072.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2025 ], + "I1": [ 831 ], + "I2": [ 1913 ], + "I3": [ 2026 ], + "I4": [ 833 ], + "I5": [ 217 ], + "O": [ 458 ] + } + }, + "LUT6_1a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23076.5-23083.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 831 ], + "I1": [ 227 ], + "I2": [ 995 ], + "I3": [ 217 ], + "I4": [ 1399 ], + "I5": [ 1399 ], + "O": [ 2676 ] + } + }, + "LUT6_1a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23087.5-23094.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 1399 ], + "I2": [ 831 ], + "I3": [ 493 ], + "I4": [ 801 ], + "I5": [ 227 ], + "O": [ 2898 ] + } + }, + "LUT6_1a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23098.5-23105.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 995 ], + "I1": [ 2891 ], + "I2": [ 2409 ], + "I3": [ 909 ], + "I4": [ 1399 ], + "I5": [ 833 ], + "O": [ 2410 ] + } + }, + "LUT6_1a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23109.5-23116.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2410 ], + "I1": [ 2028 ], + "I2": [ 2027 ], + "I3": [ 2409 ], + "I4": [ 2899 ], + "I5": [ 995 ], + "O": [ 818 ] + } + }, + "LUT6_1a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23120.5-23127.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1123 ], + "I1": [ 857 ], + "I2": [ 1414 ], + "I3": [ 2407 ], + "I4": [ 1380 ], + "I5": [ 425 ], + "O": [ 2871 ] + } + }, + "LUT6_1a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23131.5-23138.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2034 ], + "I1": [ 1709 ], + "I2": [ 1887 ], + "I3": [ 421 ], + "I4": [ 381 ], + "I5": [ 2035 ], + "O": [ 2900 ] + } + }, + "LUT6_1a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23142.5-23149.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2679 ], + "I1": [ 2678 ], + "I2": [ 1709 ], + "I3": [ 2681 ], + "I4": [ 2030 ], + "I5": [ 2900 ], + "O": [ 2902 ] + } + }, + "LUT6_1a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23153.5-23160.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 421 ], + "I2": [ 857 ], + "I3": [ 901 ], + "I4": [ 475 ], + "I5": [ 2578 ], + "O": [ 2991 ] + } + }, + "LUT6_1a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23164.5-23171.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2578 ], + "I1": [ 2036 ], + "I2": [ 2034 ], + "I3": [ 421 ], + "I4": [ 2991 ], + "I5": [ 205 ], + "O": [ 2904 ] + } + }, + "LUT6_1aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23175.5-23182.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1123 ], + "I1": [ 897 ], + "I2": [ 901 ], + "I3": [ 761 ], + "I4": [ 1380 ], + "I5": [ 2407 ], + "O": [ 2903 ] + } + }, + "LUT6_1ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23186.5-23193.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2684 ], + "I1": [ 419 ], + "I2": [ 901 ], + "I3": [ 857 ], + "I4": [ 1123 ], + "I5": [ 2032 ], + "O": [ 444 ] + } + }, + "LUT6_1ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23197.5-23204.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 1624 ], + "I2": [ 543 ], + "I3": [ 1399 ], + "I4": [ 2905 ], + "I5": [ 2890 ], + "O": [ 2990 ] + } + }, + "LUT6_1ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23208.5-23215.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 859 ], + "I1": [ 1414 ], + "I2": [ 705 ], + "I3": [ 472 ], + "I4": [ 558 ], + "I5": [ 2906 ], + "O": [ 524 ] + } + }, + "LUT6_1ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23219.5-23226.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2037 ], + "I1": [ 907 ], + "I2": [ 1632 ], + "I3": [ 2688 ], + "I4": [ 911 ], + "I5": [ 2683 ], + "O": [ 2992 ] + } + }, + "LUT6_1af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23230.5-23237.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 887 ], + "I1": [ 1131 ], + "I2": [ 1131 ], + "I3": [ 227 ], + "I4": [ 2355 ], + "I5": [ 2686 ], + "O": [ 2411 ] + } + }, + "LUT6_1b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23241.5-23248.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2443 ], + "I1": [ 2249 ], + "I2": [ 2839 ], + "I3": [ 1304 ], + "I4": [ 2444 ], + "I5": [ 869 ], + "O": [ 2873 ] + } + }, + "LUT6_1b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23252.5-23259.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 895 ], + "I1": [ 899 ], + "I2": [ 497 ], + "I3": [ 1709 ], + "I4": [ 2385 ], + "I5": [ 567 ], + "O": [ 2907 ] + } + }, + "LUT6_1b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23263.5-23270.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2385 ], + "I1": [ 1709 ], + "I2": [ 2686 ], + "I3": [ 2907 ], + "I4": [ 2039 ], + "I5": [ 497 ], + "O": [ 2993 ] + } + }, + "LUT6_1b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23274.5-23281.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 899 ], + "I1": [ 907 ], + "I2": [ 895 ], + "I3": [ 567 ], + "I4": [ 2993 ], + "I5": [ 2908 ], + "O": [ 794 ] + } + }, + "LUT6_1b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23285.5-23292.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 2904 ], + "I2": [ 2412 ], + "I3": [ 572 ], + "I4": [ 497 ], + "I5": [ 2685 ], + "O": [ 2994 ] + } + }, + "LUT6_1b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23296.5-23303.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 197 ], + "I1": [ 447 ], + "I2": [ 2688 ], + "I3": [ 707 ], + "I4": [ 2989 ], + "I5": [ 897 ], + "O": [ 2995 ] + } + }, + "LUT6_1b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23307.5-23314.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 457 ], + "I1": [ 1649 ], + "I2": [ 1997 ], + "I3": [ 447 ], + "I4": [ 2354 ], + "I5": [ 1701 ], + "O": [ 2687 ] + } + }, + "LUT6_1b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23318.5-23325.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1966 ], + "I1": [ 473 ], + "I2": [ 1641 ], + "I3": [ 498 ], + "I4": [ 2996 ], + "I5": [ 2997 ], + "O": [ 2998 ] + } + }, + "LUT6_1b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23329.5-23336.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2995 ], + "I1": [ 1641 ], + "I2": [ 2414 ], + "I3": [ 498 ], + "I4": [ 1997 ], + "I5": [ 217 ], + "O": [ 2999 ] + } + }, + "LUT6_1b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23340.5-23347.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2996 ], + "I1": [ 1641 ], + "I2": [ 473 ], + "I3": [ 459 ], + "I4": [ 2995 ], + "I5": [ 771 ], + "O": [ 2042 ] + } + }, + "LUT6_1b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23351.5-23358.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1641 ], + "I1": [ 2042 ], + "I2": [ 459 ], + "I3": [ 2995 ], + "I4": [ 2996 ], + "I5": [ 473 ], + "O": [ 752 ] + } + }, + "LUT6_1ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23362.5-23369.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 182 ], + "I1": [ 775 ], + "I2": [ 2997 ], + "I3": [ 2687 ], + "I4": [ 723 ], + "I5": [ 457 ], + "O": [ 2043 ] + } + }, + "LUT6_1bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23373.5-23380.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2413 ], + "I1": [ 2418 ], + "I2": [ 2910 ], + "I3": [ 2047 ], + "I4": [ 909 ], + "I5": [ 2998 ], + "O": [ 806 ] + } + }, + "LUT6_1bc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23384.5-23391.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1701 ], + "I1": [ 771 ], + "I2": [ 445 ], + "I3": [ 505 ], + "I4": [ 429 ], + "I5": [ 909 ], + "O": [ 3000 ] + } + }, + "LUT6_1bd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23395.5-23402.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 445 ], + "I1": [ 1958 ], + "I2": [ 771 ], + "I3": [ 707 ], + "I4": [ 431 ], + "I5": [ 1701 ], + "O": [ 2413 ] + } + }, + "LUT6_1be": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23406.5-23413.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2053 ], + "I1": [ 2689 ], + "I2": [ 2047 ], + "I3": [ 2046 ], + "I4": [ 2045 ], + "I5": [ 3001 ], + "O": [ 846 ] + } + }, + "LUT6_1bf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23417.5-23424.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 413 ], + "I1": [ 1997 ], + "I2": [ 459 ], + "I3": [ 775 ], + "I4": [ 707 ], + "I5": [ 429 ], + "O": [ 2049 ] + } + }, + "LUT6_1c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23428.5-23435.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2463 ], + "I1": [ 2445 ], + "I2": [ 2873 ], + "I3": [ 2444 ], + "I4": [ 2249 ], + "I5": [ 869 ], + "O": [ 268 ] + } + }, + "LUT6_1c0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23439.5-23446.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 909 ], + "I1": [ 2047 ], + "I2": [ 775 ], + "I3": [ 2044 ], + "I4": [ 2998 ], + "I5": [ 525 ], + "O": [ 3002 ] + } + }, + "LUT6_1c1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23450.5-23457.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 909 ], + "I1": [ 2044 ], + "I2": [ 2910 ], + "I3": [ 3002 ], + "I4": [ 2047 ], + "I5": [ 2416 ], + "O": [ 870 ] + } + }, + "LUT6_1c2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23461.5-23468.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 505 ], + "I1": [ 1649 ], + "I2": [ 2049 ], + "I3": [ 429 ], + "I4": [ 463 ], + "I5": [ 1019 ], + "O": [ 2047 ] + } + }, + "LUT6_1c3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23472.5-23479.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2417 ], + "I1": [ 2050 ], + "I2": [ 505 ], + "I3": [ 2049 ], + "I4": [ 1019 ], + "I5": [ 463 ], + "O": [ 3003 ] + } + }, + "LUT6_1c4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23483.5-23490.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2049 ], + "I1": [ 505 ], + "I2": [ 1019 ], + "I3": [ 429 ], + "I4": [ 445 ], + "I5": [ 1649 ], + "O": [ 2417 ] + } + }, + "LUT6_1c5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23494.5-23501.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 463 ], + "I1": [ 2417 ], + "I2": [ 2049 ], + "I3": [ 3003 ], + "I4": [ 505 ], + "I5": [ 2048 ], + "O": [ 766 ] + } + }, + "LUT6_1c6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23505.5-23512.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 459 ], + "I1": [ 1997 ], + "I2": [ 3000 ], + "I3": [ 723 ], + "I4": [ 2688 ], + "I5": [ 451 ], + "O": [ 3004 ] + } + }, + "LUT6_1c7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23516.5-23523.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1997 ], + "I1": [ 707 ], + "I2": [ 451 ], + "I3": [ 880 ], + "I4": [ 3004 ], + "I5": [ 723 ], + "O": [ 762 ] + } + }, + "LUT6_1c8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23527.5-23534.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2688 ], + "I1": [ 2687 ], + "I2": [ 2052 ], + "I3": [ 3000 ], + "I4": [ 459 ], + "I5": [ 217 ], + "O": [ 2051 ] + } + }, + "LUT6_1c9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23538.5-23545.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2688 ], + "I1": [ 2687 ], + "I2": [ 2051 ], + "I3": [ 2052 ], + "I4": [ 3000 ], + "I5": [ 459 ], + "O": [ 3001 ] + } + }, + "LUT6_1ca": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23549.5-23556.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 528 ], + "I1": [ 469 ], + "I2": [ 197 ], + "I3": [ 1641 ], + "I4": [ 2035 ], + "I5": [ 447 ], + "O": [ 2418 ] + } + }, + "LUT6_1cb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23560.5-23567.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2354 ], + "I1": [ 528 ], + "I2": [ 1966 ], + "I3": [ 469 ], + "I4": [ 781 ], + "I5": [ 1466 ], + "O": [ 2419 ] + } + }, + "LUT6_1cc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23571.5-23578.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2419 ], + "I1": [ 457 ], + "I2": [ 2909 ], + "I3": [ 469 ], + "I4": [ 2911 ], + "I5": [ 2054 ], + "O": [ 2690 ] + } + }, + "LUT6_1cd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23582.5-23589.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2418 ], + "I1": [ 2420 ], + "I2": [ 781 ], + "I3": [ 2690 ], + "I4": [ 528 ], + "I5": [ 2910 ], + "O": [ 466 ] + } + }, + "LUT6_1ce": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23593.5-23600.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 831 ], + "I2": [ 393 ], + "I3": [ 1003 ], + "I4": [ 751 ], + "I5": [ 2404 ], + "O": [ 3005 ] + } + }, + "LUT6_1cf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23604.5-23611.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1134 ], + "I1": [ 393 ], + "I2": [ 433 ], + "I3": [ 831 ], + "I4": [ 389 ], + "I5": [ 401 ], + "O": [ 2421 ] + } + }, + "LUT6_1d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23615.5-23622.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1296 ], + "I1": [ 373 ], + "I2": [ 1546 ], + "I3": [ 1064 ], + "I4": [ 2550 ], + "I5": [ 1213 ], + "O": [ 2145 ] + } + }, + "LUT6_1d0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23626.5-23633.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3005 ], + "I1": [ 433 ], + "I2": [ 2421 ], + "I3": [ 3006 ], + "I4": [ 1133 ], + "I5": [ 393 ], + "O": [ 2422 ] + } + }, + "LUT6_1d1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23637.5-23644.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 433 ], + "I1": [ 2413 ], + "I2": [ 831 ], + "I3": [ 3005 ], + "I4": [ 1134 ], + "I5": [ 2578 ], + "O": [ 2691 ] + } + }, + "LUT6_1d2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23648.5-23655.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 789 ], + "I1": [ 567 ], + "I2": [ 1274 ], + "I3": [ 543 ], + "I4": [ 435 ], + "I5": [ 2424 ], + "O": [ 2423 ] + } + }, + "LUT6_1d3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23659.5-23666.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2423 ], + "I1": [ 1274 ], + "I2": [ 435 ], + "I3": [ 567 ], + "I4": [ 543 ], + "I5": [ 789 ], + "O": [ 842 ] + } + }, + "LUT6_1d4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23670.5-23677.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2428 ], + "I1": [ 2057 ], + "I2": [ 1600 ], + "I3": [ 1674 ], + "I4": [ 439 ], + "I5": [ 2056 ], + "O": [ 1670 ] + } + }, + "LUT6_1d5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23681.5-23688.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 439 ], + "I1": [ 2056 ], + "I2": [ 1674 ], + "I3": [ 1414 ], + "I4": [ 1600 ], + "I5": [ 489 ], + "O": [ 3007 ] + } + }, + "LUT6_1d6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23692.5-23699.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1005 ], + "I1": [ 1035 ], + "I2": [ 1105 ], + "I3": [ 2694 ], + "I4": [ 1561 ], + "I5": [ 1563 ], + "O": [ 2913 ] + } + }, + "LUT6_1d7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23703.5-23710.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2694 ], + "I1": [ 933 ], + "I2": [ 987 ], + "I3": [ 1638 ], + "I4": [ 1701 ], + "I5": [ 2913 ], + "O": [ 2058 ] + } + }, + "LUT6_1d8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23714.5-23721.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 987 ], + "I1": [ 1701 ], + "I2": [ 2432 ], + "I3": [ 3008 ], + "I4": [ 1009 ], + "I5": [ 1611 ], + "O": [ 2850 ] + } + }, + "LUT6_1d9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23725.5-23732.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 933 ], + "I1": [ 2694 ], + "I2": [ 3009 ], + "I3": [ 3008 ], + "I4": [ 3010 ], + "I5": [ 2914 ], + "O": [ 2693 ] + } + }, + "LUT6_1da": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23736.5-23743.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2693 ], + "I1": [ 933 ], + "I2": [ 2429 ], + "I3": [ 2059 ], + "I4": [ 1701 ], + "I5": [ 2850 ], + "O": [ 1305 ] + } + }, + "LUT6_1db": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23747.5-23754.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2912 ], + "I1": [ 987 ], + "I2": [ 1680 ], + "I3": [ 1561 ], + "I4": [ 935 ], + "I5": [ 2430 ], + "O": [ 3011 ] + } + }, + "LUT6_1dc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23758.5-23765.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1563 ], + "I1": [ 1035 ], + "I2": [ 1638 ], + "I3": [ 1596 ], + "I4": [ 1105 ], + "I5": [ 2432 ], + "O": [ 3012 ] + } + }, + "LUT6_1dd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23769.5-23776.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3011 ], + "I1": [ 2850 ], + "I2": [ 1009 ], + "I3": [ 1596 ], + "I4": [ 3012 ], + "I5": [ 1035 ], + "O": [ 1554 ] + } + }, + "LUT6_1de": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23780.5-23787.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 533 ], + "I1": [ 1563 ], + "I2": [ 1638 ], + "I3": [ 1611 ], + "I4": [ 933 ], + "I5": [ 1701 ], + "O": [ 2695 ] + } + }, + "LUT6_1df": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23791.5-23798.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2061 ], + "I1": [ 2062 ], + "I2": [ 3013 ], + "I3": [ 487 ], + "I4": [ 3014 ], + "I5": [ 1574 ], + "O": [ 1564 ] + } + }, + "LUT6_1e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23802.5-23809.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2164 ], + "I1": [ 2677 ], + "I2": [ 2144 ], + "I3": [ 2143 ], + "I4": [ 2163 ], + "I5": [ 1064 ], + "O": [ 1430 ] + } + }, + "LUT6_1e0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23813.5-23820.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1574 ], + "I1": [ 3013 ], + "I2": [ 1674 ], + "I3": [ 3014 ], + "I4": [ 2060 ], + "I5": [ 487 ], + "O": [ 3015 ] + } + }, + "LUT6_1e1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23824.5-23831.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 379 ], + "I1": [ 937 ], + "I2": [ 1728 ], + "I3": [ 1685 ], + "I4": [ 833 ], + "I5": [ 1005 ], + "O": [ 2915 ] + } + }, + "LUT6_1e2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23835.5-23842.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 1615 ], + "I2": [ 185 ], + "I3": [ 1431 ], + "I4": [ 1676 ], + "I5": [ 1659 ], + "O": [ 2430 ] + } + }, + "LUT6_1e3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23846.5-23853.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2432 ], + "I1": [ 1547 ], + "I2": [ 2850 ], + "I3": [ 1403 ], + "I4": [ 1680 ], + "I5": [ 2916 ], + "O": [ 3016 ] + } + }, + "LUT6_1e4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23857.5-23864.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1403 ], + "I1": [ 1680 ], + "I2": [ 3016 ], + "I3": [ 1547 ], + "I4": [ 2432 ], + "I5": [ 2850 ], + "O": [ 1556 ] + } + }, + "LUT6_1e5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23868.5-23875.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2064 ], + "I1": [ 510 ], + "I2": [ 487 ], + "I3": [ 2431 ], + "I4": [ 2696 ], + "I5": [ 2959 ], + "O": [ 1432 ] + } + }, + "LUT6_1e6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23879.5-23886.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1680 ], + "I1": [ 2432 ], + "I2": [ 1569 ], + "I3": [ 1009 ], + "I4": [ 533 ], + "I5": [ 1553 ], + "O": [ 2070 ] + } + }, + "LUT6_1e7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23890.5-23897.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2434 ], + "I1": [ 2435 ], + "I2": [ 2433 ], + "I3": [ 2070 ], + "I4": [ 2065 ], + "I5": [ 2697 ], + "O": [ 2698 ] + } + }, + "LUT6_1e8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23901.5-23908.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2070 ], + "I1": [ 2435 ], + "I2": [ 2697 ], + "I3": [ 2433 ], + "I4": [ 2434 ], + "I5": [ 2065 ], + "O": [ 2069 ] + } + }, + "LUT6_1e9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23912.5-23919.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1580 ], + "I1": [ 1399 ], + "I2": [ 2913 ], + "I3": [ 1472 ], + "I4": [ 1474 ], + "I5": [ 257 ], + "O": [ 2917 ] + } + }, + "LUT6_1ea": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23923.5-23930.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2438 ], + "I1": [ 1378 ], + "I2": [ 1054 ], + "I3": [ 983 ], + "I4": [ 2917 ], + "I5": [ 2918 ], + "O": [ 1269 ] + } + }, + "LUT6_1eb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23934.5-23941.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1337 ], + "I1": [ 2074 ], + "I2": [ 935 ], + "I3": [ 2699 ], + "I4": [ 3017 ], + "I5": [ 1147 ], + "O": [ 1271 ] + } + }, + "LUT6_1ec": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23945.5-23952.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 2440 ], + "I2": [ 2441 ], + "I3": [ 967 ], + "I4": [ 3018 ], + "I5": [ 2232 ], + "O": [ 1209 ] + } + }, + "LUT6_1ed": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23956.5-23963.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1358 ], + "I1": [ 1162 ], + "I2": [ 1476 ], + "I3": [ 1448 ], + "I4": [ 1478 ], + "I5": [ 1458 ], + "O": [ 3019 ] + } + }, + "LUT6_1ee": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23967.5-23974.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1514 ], + "I1": [ 1396 ], + "I2": [ 1316 ], + "I3": [ 1091 ], + "I4": [ 981 ], + "I5": [ 1012 ], + "O": [ 2081 ] + } + }, + "LUT6_1ef": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23978.5-23985.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1253 ], + "I1": [ 2076 ], + "I2": [ 2078 ], + "I3": [ 2075 ], + "I4": [ 1012 ], + "I5": [ 1091 ], + "O": [ 1255 ] + } + }, + "LUT6_1f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:23989.5-23996.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2550 ], + "I1": [ 2143 ], + "I2": [ 1213 ], + "I3": [ 2249 ], + "I4": [ 2144 ], + "I5": [ 1730 ], + "O": [ 2896 ] + } + }, + "LUT6_1f0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24000.5-24007.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2075 ], + "I1": [ 981 ], + "I2": [ 1304 ], + "I3": [ 3020 ], + "I4": [ 1316 ], + "I5": [ 1320 ], + "O": [ 2076 ] + } + }, + "LUT6_1f1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24011.5-24018.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1320 ], + "I1": [ 2935 ], + "I2": [ 2115 ], + "I3": [ 1514 ], + "I4": [ 2710 ], + "I5": [ 2082 ], + "O": [ 2921 ] + } + }, + "LUT6_1f2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24022.5-24029.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 227 ], + "I1": [ 1237 ], + "I2": [ 965 ], + "I3": [ 1308 ], + "I4": [ 1316 ], + "I5": [ 1292 ], + "O": [ 3021 ] + } + }, + "LUT6_1f3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24033.5-24040.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 993 ], + "I1": [ 1298 ], + "I2": [ 1304 ], + "I3": [ 2153 ], + "I4": [ 981 ], + "I5": [ 1346 ], + "O": [ 3022 ] + } + }, + "LUT6_1f4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24044.5-24051.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1012 ], + "I1": [ 2921 ], + "I2": [ 885 ], + "I3": [ 2720 ], + "I4": [ 2078 ], + "I5": [ 3022 ], + "O": [ 2700 ] + } + }, + "LUT6_1f5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24055.5-24062.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2078 ], + "I1": [ 885 ], + "I2": [ 1012 ], + "I3": [ 2700 ], + "I4": [ 2720 ], + "I5": [ 2921 ], + "O": [ 1142 ] + } + }, + "LUT6_1f6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24066.5-24073.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1396 ], + "I1": [ 2442 ], + "I2": [ 2081 ], + "I3": [ 2922 ], + "I4": [ 2921 ], + "I5": [ 2078 ], + "O": [ 1240 ] + } + }, + "LUT6_1f7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24077.5-24084.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2710 ], + "I1": [ 2075 ], + "I2": [ 1012 ], + "I3": [ 2920 ], + "I4": [ 1316 ], + "I5": [ 1514 ], + "O": [ 2095 ] + } + }, + "LUT6_1f8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24088.5-24095.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1237 ], + "I1": [ 2082 ], + "I2": [ 1330 ], + "I3": [ 1252 ], + "I4": [ 2924 ], + "I5": [ 1320 ], + "O": [ 1425 ] + } + }, + "LUT6_1f9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24099.5-24106.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3019 ], + "I1": [ 2153 ], + "I2": [ 1298 ], + "I3": [ 1308 ], + "I4": [ 1304 ], + "I5": [ 1346 ], + "O": [ 3023 ] + } + }, + "LUT6_1fa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24110.5-24117.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1346 ], + "I1": [ 2710 ], + "I2": [ 2081 ], + "I3": [ 1304 ], + "I4": [ 1496 ], + "I5": [ 1298 ], + "O": [ 3024 ] + } + }, + "LUT6_1fb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24121.5-24128.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2923 ], + "I1": [ 3019 ], + "I2": [ 3023 ], + "I3": [ 1346 ], + "I4": [ 2078 ], + "I5": [ 3024 ], + "O": [ 2087 ] + } + }, + "LUT6_1fc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24132.5-24139.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2923 ], + "I1": [ 2153 ], + "I2": [ 3019 ], + "I3": [ 3023 ], + "I4": [ 2095 ], + "I5": [ 2710 ], + "O": [ 2086 ] + } + }, + "LUT6_1fd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24143.5-24150.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1115 ], + "I1": [ 919 ], + "I2": [ 1735 ], + "I3": [ 975 ], + "I4": [ 985 ], + "I5": [ 783 ], + "O": [ 2446 ] + } + }, + "LUT6_1fe": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24154.5-24161.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1268 ], + "I1": [ 1656 ], + "I2": [ 953 ], + "I3": [ 1350 ], + "I4": [ 919 ], + "I5": [ 1160 ], + "O": [ 2920 ] + } + }, + "LUT6_1ff": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24165.5-24172.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1208 ], + "I1": [ 1276 ], + "I2": [ 1350 ], + "I3": [ 975 ], + "I4": [ 1056 ], + "I5": [ 919 ], + "O": [ 3025 ] + } + }, + "LUT6_2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24176.5-24183.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 1213 ], + "I2": [ 503 ], + "I3": [ 2249 ], + "I4": [ 1728 ], + "I5": [ 2743 ], + "O": [ 2140 ] + } + }, + "LUT6_20": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24187.5-24194.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2145 ], + "I1": [ 373 ], + "I2": [ 1626 ], + "I3": [ 1546 ], + "I4": [ 1064 ], + "I5": [ 1730 ], + "O": [ 3026 ] + } + }, + "LUT6_200": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24198.5-24205.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1438 ], + "I1": [ 919 ], + "I2": [ 1250 ], + "I3": [ 1208 ], + "I4": [ 1350 ], + "I5": [ 1156 ], + "O": [ 3027 ] + } + }, + "LUT6_201": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24209.5-24216.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2467 ], + "I1": [ 3027 ], + "I2": [ 2090 ], + "I3": [ 2927 ], + "I4": [ 1296 ], + "I5": [ 1516 ], + "O": [ 3028 ] + } + }, + "LUT6_202": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24220.5-24227.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3027 ], + "I1": [ 2467 ], + "I2": [ 2090 ], + "I3": [ 1268 ], + "I4": [ 2447 ], + "I5": [ 2112 ], + "O": [ 3029 ] + } + }, + "LUT6_203": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24231.5-24238.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3028 ], + "I1": [ 2089 ], + "I2": [ 3029 ], + "I3": [ 2112 ], + "I4": [ 2447 ], + "I5": [ 2927 ], + "O": [ 1228 ] + } + }, + "LUT6_204": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24242.5-24249.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2467 ], + "I1": [ 3027 ], + "I2": [ 2090 ], + "I3": [ 1268 ], + "I4": [ 1089 ], + "I5": [ 985 ], + "O": [ 2091 ] + } + }, + "LUT6_205": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24253.5-24260.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2091 ], + "I1": [ 1089 ], + "I2": [ 2090 ], + "I3": [ 1268 ], + "I4": [ 2467 ], + "I5": [ 3027 ], + "O": [ 2088 ] + } + }, + "LUT6_206": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24264.5-24271.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 919 ], + "I1": [ 1656 ], + "I2": [ 1276 ], + "I3": [ 1350 ], + "I4": [ 2920 ], + "I5": [ 1296 ], + "O": [ 2927 ] + } + }, + "LUT6_207": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24275.5-24282.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1656 ], + "I1": [ 919 ], + "I2": [ 953 ], + "I3": [ 1494 ], + "I4": [ 1438 ], + "I5": [ 1160 ], + "O": [ 2094 ] + } + }, + "LUT6_208": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24286.5-24293.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2458 ], + "I1": [ 3025 ], + "I2": [ 1208 ], + "I3": [ 2716 ], + "I4": [ 1494 ], + "I5": [ 975 ], + "O": [ 2926 ] + } + }, + "LUT6_209": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24297.5-24304.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2705 ], + "I1": [ 1438 ], + "I2": [ 2704 ], + "I3": [ 2850 ], + "I4": [ 681 ], + "I5": [ 3030 ], + "O": [ 2928 ] + } + }, + "LUT6_20a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24308.5-24315.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2095 ], + "I1": [ 2705 ], + "I2": [ 2096 ], + "I3": [ 2704 ], + "I4": [ 2113 ], + "I5": [ 1438 ], + "O": [ 1217 ] + } + }, + "LUT6_20b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24319.5-24326.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1091 ], + "I1": [ 1374 ], + "I2": [ 1366 ], + "I3": [ 1154 ], + "I4": [ 1416 ], + "I5": [ 2103 ], + "O": [ 2707 ] + } + }, + "LUT6_20c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24330.5-24337.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3025 ], + "I1": [ 2707 ], + "I2": [ 2706 ], + "I3": [ 2103 ], + "I4": [ 2097 ], + "I5": [ 2082 ], + "O": [ 2098 ] + } + }, + "LUT6_20d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24341.5-24348.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2103 ], + "I1": [ 2097 ], + "I2": [ 2707 ], + "I3": [ 2098 ], + "I4": [ 3025 ], + "I5": [ 2706 ], + "O": [ 1149 ] + } + }, + "LUT6_20e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24352.5-24359.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1227 ], + "I1": [ 1023 ], + "I2": [ 1410 ], + "I3": [ 1288 ], + "I4": [ 1394 ], + "I5": [ 1029 ], + "O": [ 2716 ] + } + }, + "LUT6_20f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24363.5-24370.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1227 ], + "I1": [ 1288 ], + "I2": [ 1410 ], + "I3": [ 1672 ], + "I4": [ 1362 ], + "I5": [ 1029 ], + "O": [ 3031 ] + } + }, + "LUT6_21": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24374.5-24381.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 373 ], + "I1": [ 1626 ], + "I2": [ 1546 ], + "I3": [ 3026 ], + "I4": [ 1296 ], + "I5": [ 2145 ], + "O": [ 1591 ] + } + }, + "LUT6_210": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24385.5-24392.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1410 ], + "I1": [ 995 ], + "I2": [ 1348 ], + "I3": [ 1382 ], + "I4": [ 1023 ], + "I5": [ 1362 ], + "O": [ 3030 ] + } + }, + "LUT6_211": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24396.5-24403.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1227 ], + "I1": [ 3031 ], + "I2": [ 1087 ], + "I3": [ 2713 ], + "I4": [ 2709 ], + "I5": [ 2710 ], + "O": [ 3032 ] + } + }, + "LUT6_212": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24407.5-24414.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2710 ], + "I1": [ 2097 ], + "I2": [ 2709 ], + "I3": [ 3031 ], + "I4": [ 2928 ], + "I5": [ 2716 ], + "O": [ 3033 ] + } + }, + "LUT6_213": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24418.5-24425.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1087 ], + "I1": [ 3032 ], + "I2": [ 3033 ], + "I3": [ 1288 ], + "I4": [ 2710 ], + "I5": [ 1227 ], + "O": [ 1112 ] + } + }, + "LUT6_214": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24429.5-24436.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1089 ], + "I1": [ 1382 ], + "I2": [ 1498 ], + "I3": [ 1003 ], + "I4": [ 577 ], + "I5": [ 1672 ], + "O": [ 3034 ] + } + }, + "LUT6_215": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24440.5-24447.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1362 ], + "I1": [ 1382 ], + "I2": [ 1003 ], + "I3": [ 1089 ], + "I4": [ 1498 ], + "I5": [ 3034 ], + "O": [ 2097 ] + } + }, + "LUT6_216": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24451.5-24458.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1376 ], + "I1": [ 999 ], + "I2": [ 1382 ], + "I3": [ 1311 ], + "I4": [ 1348 ], + "I5": [ 1046 ], + "O": [ 3035 ] + } + }, + "LUT6_217": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24462.5-24469.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2452 ], + "I1": [ 2451 ], + "I2": [ 2097 ], + "I3": [ 1410 ], + "I4": [ 1003 ], + "I5": [ 201 ], + "O": [ 2773 ] + } + }, + "LUT6_218": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24473.5-24480.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1394 ], + "I1": [ 1288 ], + "I2": [ 2456 ], + "I3": [ 1326 ], + "I4": [ 1009 ], + "I5": [ 1403 ], + "O": [ 3036 ] + } + }, + "LUT6_219": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24484.5-24491.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1326 ], + "I1": [ 1288 ], + "I2": [ 1394 ], + "I3": [ 1009 ], + "I4": [ 3036 ], + "I5": [ 2456 ], + "O": [ 1263 ] + } + }, + "LUT6_21a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24495.5-24502.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2455 ], + "I1": [ 3037 ], + "I2": [ 2097 ], + "I3": [ 2717 ], + "I4": [ 2453 ], + "I5": [ 1326 ], + "O": [ 1173 ] + } + }, + "LUT6_21b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24506.5-24513.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 2454 ], + "I2": [ 1009 ], + "I3": [ 3038 ], + "I4": [ 3037 ], + "I5": [ 1403 ], + "O": [ 2715 ] + } + }, + "LUT6_21c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24517.5-24524.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1540 ], + "I1": [ 2104 ], + "I2": [ 1468 ], + "I3": [ 2467 ], + "I4": [ 3039 ], + "I5": [ 3040 ], + "O": [ 1259 ] + } + }, + "LUT6_21d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24528.5-24535.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1707 ], + "I1": [ 1518 ], + "I2": [ 1600 ], + "I3": [ 997 ], + "I4": [ 1401 ], + "I5": [ 485 ], + "O": [ 3039 ] + } + }, + "LUT6_21e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24539.5-24546.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 999 ], + "I1": [ 1408 ], + "I2": [ 929 ], + "I3": [ 2115 ], + "I4": [ 1248 ], + "I5": [ 3039 ], + "O": [ 3041 ] + } + }, + "LUT6_21f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24550.5-24557.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2103 ], + "I1": [ 1340 ], + "I2": [ 2704 ], + "I3": [ 1518 ], + "I4": [ 1520 ], + "I5": [ 997 ], + "O": [ 2105 ] + } + }, + "LUT6_22": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24561.5-24568.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 989 ], + "I1": [ 1607 ], + "I2": [ 1058 ], + "I3": [ 995 ], + "I4": [ 336 ], + "I5": [ 1668 ], + "O": [ 1175 ] + } + }, + "LUT6_220": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24572.5-24579.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1609 ], + "I1": [ 1344 ], + "I2": [ 1707 ], + "I3": [ 1368 ], + "I4": [ 1391 ], + "I5": [ 3030 ], + "O": [ 3042 ] + } + }, + "LUT6_221": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24583.5-24590.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2106 ], + "I1": [ 3041 ], + "I2": [ 2719 ], + "I3": [ 2459 ], + "I4": [ 2105 ], + "I5": [ 3042 ], + "O": [ 2109 ] + } + }, + "LUT6_222": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24594.5-24601.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2106 ], + "I1": [ 2719 ], + "I2": [ 2105 ], + "I3": [ 3041 ], + "I4": [ 3042 ], + "I5": [ 2459 ], + "O": [ 2931 ] + } + }, + "LUT6_223": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24605.5-24612.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2720 ], + "I1": [ 2116 ], + "I2": [ 269 ], + "I3": [ 1600 ], + "I4": [ 2108 ], + "I5": [ 1324 ], + "O": [ 2460 ] + } + }, + "LUT6_224": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24616.5-24623.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 235 ], + "I1": [ 1278 ], + "I2": [ 511 ], + "I3": [ 1391 ], + "I4": [ 1328 ], + "I5": [ 1111 ], + "O": [ 2461 ] + } + }, + "LUT6_225": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24627.5-24634.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1419 ], + "I1": [ 2110 ], + "I2": [ 2141 ], + "I3": [ 2461 ], + "I4": [ 1246 ], + "I5": [ 2925 ], + "O": [ 2932 ] + } + }, + "LUT6_226": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24638.5-24645.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2933 ], + "I1": [ 2720 ], + "I2": [ 2114 ], + "I3": [ 2117 ], + "I4": [ 1683 ], + "I5": [ 2113 ], + "O": [ 1195 ] + } + }, + "LUT6_227": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24649.5-24656.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1300 ], + "I1": [ 991 ], + "I2": [ 1353 ], + "I3": [ 1472 ], + "I4": [ 1176 ], + "I5": [ 1014 ], + "O": [ 2720 ] + } + }, + "LUT6_228": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24660.5-24667.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1095 ], + "I1": [ 935 ], + "I2": [ 867 ], + "I3": [ 1294 ], + "I4": [ 1342 ], + "I5": [ 985 ], + "O": [ 2722 ] + } + }, + "LUT6_229": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24671.5-24678.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1095 ], + "I1": [ 2114 ], + "I2": [ 2722 ], + "I3": [ 2720 ], + "I4": [ 935 ], + "I5": [ 2933 ], + "O": [ 3043 ] + } + }, + "LUT6_22a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24682.5-24689.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1095 ], + "I1": [ 2722 ], + "I2": [ 2114 ], + "I3": [ 935 ], + "I4": [ 3043 ], + "I5": [ 2720 ], + "O": [ 1257 ] + } + }, + "LUT6_22b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24693.5-24700.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2115 ], + "I1": [ 2467 ], + "I2": [ 1683 ], + "I3": [ 235 ], + "I4": [ 2720 ], + "I5": [ 1284 ], + "O": [ 2925 ] + } + }, + "LUT6_22c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24704.5-24711.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 371 ], + "I1": [ 235 ], + "I2": [ 1683 ], + "I3": [ 1244 ], + "I4": [ 269 ], + "I5": [ 1609 ], + "O": [ 2467 ] + } + }, + "LUT6_22d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24715.5-24722.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1344 ], + "I1": [ 371 ], + "I2": [ 269 ], + "I3": [ 1300 ], + "I4": [ 1244 ], + "I5": [ 2467 ], + "O": [ 2117 ] + } + }, + "LUT6_22e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24726.5-24733.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2113 ], + "I1": [ 1391 ], + "I2": [ 2468 ], + "I3": [ 2721 ], + "I4": [ 1360 ], + "I5": [ 1540 ], + "O": [ 2934 ] + } + }, + "LUT6_22f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24737.5-24744.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 409 ], + "I1": [ 2720 ], + "I2": [ 2116 ], + "I3": [ 2704 ], + "I4": [ 1408 ], + "I5": [ 1340 ], + "O": [ 2723 ] + } + }, + "LUT6_23": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24748.5-24755.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 869 ], + "I1": [ 2797 ], + "I2": [ 1643 ], + "I3": [ 1416 ], + "I4": [ 2201 ], + "I5": [ 1725 ], + "O": [ 2727 ] + } + }, + "LUT6_230": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24759.5-24766.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2723 ], + "I1": [ 2120 ], + "I2": [ 2115 ], + "I3": [ 2116 ], + "I4": [ 2118 ], + "I5": [ 409 ], + "O": [ 1698 ] + } + }, + "LUT6_231": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24770.5-24777.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2117 ], + "I1": [ 1683 ], + "I2": [ 2458 ], + "I3": [ 985 ], + "I4": [ 935 ], + "I5": [ 2112 ], + "O": [ 2725 ] + } + }, + "LUT6_232": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24781.5-24788.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1414 ], + "I1": [ 1386 ], + "I2": [ 1522 ], + "I3": [ 1524 ], + "I4": [ 960 ], + "I5": [ 1314 ], + "O": [ 2935 ] + } + }, + "LUT6_233": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24792.5-24799.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1001 ], + "I1": [ 1522 ], + "I2": [ 443 ], + "I3": [ 960 ], + "I4": [ 1172 ], + "I5": [ 1048 ], + "O": [ 2090 ] + } + }, + "LUT6_234": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24803.5-24810.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3044 ], + "I1": [ 2470 ], + "I2": [ 2471 ], + "I3": [ 2704 ], + "I4": [ 2730 ], + "I5": [ 1048 ], + "O": [ 1230 ] + } + }, + "LUT6_235": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24814.5-24821.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1062 ], + "I1": [ 1522 ], + "I2": [ 1414 ], + "I3": [ 1524 ], + "I4": [ 1386 ], + "I5": [ 977 ], + "O": [ 3044 ] + } + }, + "LUT6_236": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24825.5-24832.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1314 ], + "I1": [ 1001 ], + "I2": [ 2090 ], + "I3": [ 3044 ], + "I4": [ 1172 ], + "I5": [ 2704 ], + "O": [ 3045 ] + } + }, + "LUT6_237": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24836.5-24843.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1018 ], + "I1": [ 443 ], + "I2": [ 933 ], + "I3": [ 2122 ], + "I4": [ 2935 ], + "I5": [ 2471 ], + "O": [ 3046 ] + } + }, + "LUT6_238": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24847.5-24854.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2469 ], + "I1": [ 2124 ], + "I2": [ 960 ], + "I3": [ 3046 ], + "I4": [ 933 ], + "I5": [ 2470 ], + "O": [ 2496 ] + } + }, + "LUT6_239": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24858.5-24865.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3045 ], + "I1": [ 443 ], + "I2": [ 1018 ], + "I3": [ 1270 ], + "I4": [ 1099 ], + "I5": [ 2123 ], + "O": [ 3047 ] + } + }, + "LUT6_23a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24869.5-24876.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1099 ], + "I1": [ 1270 ], + "I2": [ 1018 ], + "I3": [ 3047 ], + "I4": [ 443 ], + "I5": [ 3045 ], + "O": [ 1265 ] + } + }, + "LUT6_23b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24880.5-24887.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2470 ], + "I1": [ 2471 ], + "I2": [ 1270 ], + "I3": [ 933 ], + "I4": [ 3045 ], + "I5": [ 2929 ], + "O": [ 1185 ] + } + }, + "LUT6_23c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24891.5-24898.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2935 ], + "I1": [ 1018 ], + "I2": [ 443 ], + "I3": [ 960 ], + "I4": [ 1280 ], + "I5": [ 2936 ], + "O": [ 3048 ] + } + }, + "LUT6_23d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24902.5-24909.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 960 ], + "I1": [ 1018 ], + "I2": [ 1280 ], + "I3": [ 443 ], + "I4": [ 3048 ], + "I5": [ 2935 ], + "O": [ 1232 ] + } + }, + "LUT6_23e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24913.5-24920.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2935 ], + "I1": [ 2726 ], + "I2": [ 2704 ], + "I3": [ 2730 ], + "I4": [ 1448 ], + "I5": [ 2090 ], + "O": [ 2732 ] + } + }, + "LUT6_23f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24924.5-24931.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1064 ], + "I1": [ 2704 ], + "I2": [ 2730 ], + "I3": [ 2090 ], + "I4": [ 2935 ], + "I5": [ 2927 ], + "O": [ 2731 ] + } + }, + "LUT6_24": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24935.5-24942.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1588 ], + "I1": [ 183 ], + "I2": [ 535 ], + "I3": [ 1598 ], + "I4": [ 831 ], + "I5": [ 2106 ], + "O": [ 2938 ] + } + }, + "LUT6_240": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24946.5-24953.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 993 ], + "I1": [ 889 ], + "I2": [ 1304 ], + "I3": [ 1664 ], + "I4": [ 1344 ], + "I5": [ 505 ], + "O": [ 2130 ] + } + }, + "LUT6_241": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24957.5-24964.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2473 ], + "I1": [ 1391 ], + "I2": [ 1368 ], + "I3": [ 2138 ], + "I4": [ 1286 ], + "I5": [ 2939 ], + "O": [ 2735 ] + } + }, + "LUT6_242": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24968.5-24975.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2128 ], + "I1": [ 2127 ], + "I2": [ 2735 ], + "I3": [ 2130 ], + "I4": [ 2138 ], + "I5": [ 1054 ], + "O": [ 1299 ] + } + }, + "LUT6_243": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24979.5-24986.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1664 ], + "I1": [ 999 ], + "I2": [ 1223 ], + "I3": [ 1380 ], + "I4": [ 1286 ], + "I5": [ 1054 ], + "O": [ 2734 ] + } + }, + "LUT6_244": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:24990.5-24997.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1353 ], + "I1": [ 2734 ], + "I2": [ 1311 ], + "I3": [ 1386 ], + "I4": [ 919 ], + "I5": [ 993 ], + "O": [ 2944 ] + } + }, + "LUT6_245": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25001.5-25008.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1152 ], + "I1": [ 1223 ], + "I2": [ 1340 ], + "I3": [ 1358 ], + "I4": [ 1353 ], + "I5": [ 993 ], + "O": [ 2943 ] + } + }, + "LUT6_246": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25012.5-25019.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2940 ], + "I1": [ 2134 ], + "I2": [ 2132 ], + "I3": [ 2734 ], + "I4": [ 2473 ], + "I5": [ 1152 ], + "O": [ 1147 ] + } + }, + "LUT6_247": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25023.5-25030.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1311 ], + "I1": [ 1152 ], + "I2": [ 505 ], + "I3": [ 1368 ], + "I4": [ 919 ], + "I5": [ 1386 ], + "O": [ 2941 ] + } + }, + "LUT6_248": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25034.5-25041.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2134 ], + "I1": [ 2135 ], + "I2": [ 2131 ], + "I3": [ 2133 ], + "I4": [ 1344 ], + "I5": [ 2945 ], + "O": [ 1317 ] + } + }, + "LUT6_249": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25045.5-25052.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2947 ], + "I1": [ 1235 ], + "I2": [ 2130 ], + "I3": [ 2437 ], + "I4": [ 2138 ], + "I5": [ 975 ], + "O": [ 2948 ] + } + }, + "LUT6_24a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25056.5-25063.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1235 ], + "I1": [ 981 ], + "I2": [ 977 ], + "I3": [ 2139 ], + "I4": [ 533 ], + "I5": [ 1194 ], + "O": [ 2947 ] + } + }, + "LUT6_24b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25067.5-25074.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 533 ], + "I1": [ 1194 ], + "I2": [ 2130 ], + "I3": [ 977 ], + "I4": [ 2437 ], + "I5": [ 1356 ], + "O": [ 2949 ] + } + }, + "LUT6_24c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25078.5-25085.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 985 ], + "I1": [ 1350 ], + "I2": [ 1021 ], + "I3": [ 1244 ], + "I4": [ 1384 ], + "I5": [ 1320 ], + "O": [ 2475 ] + } + }, + "LUT6_24d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25089.5-25096.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1553 ], + "I1": [ 2475 ], + "I2": [ 1284 ], + "I3": [ 985 ], + "I4": [ 2129 ], + "I5": [ 1735 ], + "O": [ 1737 ] + } + }, + "LUT6_24e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25100.5-25107.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2476 ], + "I1": [ 2129 ], + "I2": [ 1326 ], + "I3": [ 217 ], + "I4": [ 2153 ], + "I5": [ 1738 ], + "O": [ 1287 ] + } + }, + "LUT6_24f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25111.5-25118.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2475 ], + "I1": [ 985 ], + "I2": [ 2939 ], + "I3": [ 2139 ], + "I4": [ 2476 ], + "I5": [ 2950 ], + "O": [ 1236 ] + } + }, + "LUT6_25": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25122.5-25129.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 831 ], + "I1": [ 535 ], + "I2": [ 2938 ], + "I3": [ 183 ], + "I4": [ 2195 ], + "I5": [ 2024 ], + "O": [ 2198 ] + } + }, + "LUT6_250": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25133.5-25140.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2129 ], + "I1": [ 2153 ], + "I2": [ 1735 ], + "I3": [ 1553 ], + "I4": [ 1326 ], + "I5": [ 217 ], + "O": [ 3049 ] + } + }, + "LUT6_251": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25144.5-25151.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1736 ], + "I1": [ 1553 ], + "I2": [ 1737 ], + "I3": [ 2735 ], + "I4": [ 1236 ], + "I5": [ 1326 ], + "O": [ 1279 ] + } + }, + "LUT6_252": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25155.5-25162.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1735 ], + "I1": [ 217 ], + "I2": [ 2153 ], + "I3": [ 2129 ], + "I4": [ 1316 ], + "I5": [ 3049 ], + "O": [ 2737 ] + } + }, + "LUT6_253": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25166.5-25173.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 951 ], + "I1": [ 409 ], + "I2": [ 1031 ], + "I3": [ 987 ], + "I4": [ 175 ], + "I5": [ 1103 ], + "O": [ 2951 ] + } + }, + "LUT6_254": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25177.5-25184.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1260 ], + "I1": [ 1194 ], + "I2": [ 2153 ], + "I3": [ 946 ], + "I4": [ 1211 ], + "I5": [ 175 ], + "O": [ 2477 ] + } + }, + "LUT6_255": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25188.5-25195.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1741 ], + "I1": [ 2147 ], + "I2": [ 1019 ], + "I3": [ 889 ], + "I4": [ 175 ], + "I5": [ 1250 ], + "O": [ 2744 ] + } + }, + "LUT6_256": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25199.5-25206.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 217 ], + "I1": [ 1290 ], + "I2": [ 409 ], + "I3": [ 987 ], + "I4": [ 1031 ], + "I5": [ 951 ], + "O": [ 2952 ] + } + }, + "LUT6_257": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25210.5-25217.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1526 ], + "I1": [ 217 ], + "I2": [ 535 ], + "I3": [ 2008 ], + "I4": [ 1258 ], + "I5": [ 993 ], + "O": [ 2157 ] + } + }, + "LUT6_258": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25221.5-25228.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 889 ], + "I1": [ 1433 ], + "I2": [ 1152 ], + "I3": [ 1003 ], + "I4": [ 1256 ], + "I5": [ 1641 ], + "O": [ 3050 ] + } + }, + "LUT6_259": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25232.5-25239.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1049 ], + "I1": [ 1056 ], + "I2": [ 1190 ], + "I3": [ 1408 ], + "I4": [ 1060 ], + "I5": [ 1750 ], + "O": [ 2488 ] + } + }, + "LUT6_25a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25243.5-25250.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1408 ], + "I1": [ 1749 ], + "I2": [ 1750 ], + "I3": [ 995 ], + "I4": [ 3050 ], + "I5": [ 1049 ], + "O": [ 1747 ] + } + }, + "LUT6_25b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25254.5-25261.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 979 ], + "I1": [ 919 ], + "I2": [ 1452 ], + "I3": [ 1101 ], + "I4": [ 1035 ], + "I5": [ 1652 ], + "O": [ 1744 ] + } + }, + "LUT6_25c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25265.5-25272.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3051 ], + "I1": [ 2752 ], + "I2": [ 2487 ], + "I3": [ 859 ], + "I4": [ 2489 ], + "I5": [ 3052 ], + "O": [ 1291 ] + } + }, + "LUT6_25d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25276.5-25283.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 409 ], + "I1": [ 2484 ], + "I2": [ 2152 ], + "I3": [ 1752 ], + "I4": [ 1540 ], + "I5": [ 1754 ], + "O": [ 2485 ] + } + }, + "LUT6_25e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25287.5-25294.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2485 ], + "I1": [ 2152 ], + "I2": [ 1540 ], + "I3": [ 2484 ], + "I4": [ 409 ], + "I5": [ 1752 ], + "O": [ 1375 ] + } + }, + "LUT6_25f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25298.5-25305.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1233 ], + "I1": [ 1751 ], + "I2": [ 1744 ], + "I3": [ 2486 ], + "I4": [ 2751 ], + "I5": [ 1236 ], + "O": [ 3051 ] + } + }, + "LUT6_26": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25309.5-25316.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 955 ], + "I1": [ 555 ], + "I2": [ 935 ], + "I3": [ 1839 ], + "I4": [ 777 ], + "I5": [ 1403 ], + "O": [ 3053 ] + } + }, + "LUT6_260": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25320.5-25327.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1749 ], + "I1": [ 1753 ], + "I2": [ 1743 ], + "I3": [ 2488 ], + "I4": [ 2741 ], + "I5": [ 1031 ], + "O": [ 3052 ] + } + }, + "LUT6_261": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25331.5-25338.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1528 ], + "I1": [ 1414 ], + "I2": [ 1223 ], + "I3": [ 1031 ], + "I4": [ 1744 ], + "I5": [ 1749 ], + "O": [ 2154 ] + } + }, + "LUT6_262": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25342.5-25349.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1480 ], + "I1": [ 2752 ], + "I2": [ 957 ], + "I3": [ 919 ], + "I4": [ 2488 ], + "I5": [ 951 ], + "O": [ 1756 ] + } + }, + "LUT6_263": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25353.5-25360.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1215 ], + "I1": [ 1005 ], + "I2": [ 2749 ], + "I3": [ 1264 ], + "I4": [ 1298 ], + "I5": [ 1019 ], + "O": [ 2753 ] + } + }, + "LUT6_264": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25364.5-25371.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2490 ], + "I1": [ 1166 ], + "I2": [ 1039 ], + "I3": [ 401 ], + "I4": [ 2149 ], + "I5": [ 2148 ], + "O": [ 2754 ] + } + }, + "LUT6_265": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25375.5-25382.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1757 ], + "I1": [ 2156 ], + "I2": [ 2493 ], + "I3": [ 1666 ], + "I4": [ 1758 ], + "I5": [ 2157 ], + "O": [ 2160 ] + } + }, + "LUT6_266": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25386.5-25393.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2160 ], + "I1": [ 1759 ], + "I2": [ 2159 ], + "I3": [ 2494 ], + "I4": [ 1355 ], + "I5": [ 1755 ], + "O": [ 1295 ] + } + }, + "LUT6_267": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25397.5-25404.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2759 ], + "I1": [ 1070 ], + "I2": [ 1318 ], + "I3": [ 927 ], + "I4": [ 1158 ], + "I5": [ 1758 ], + "O": [ 2492 ] + } + }, + "LUT6_268": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25408.5-25415.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 531 ], + "I1": [ 1553 ], + "I2": [ 1396 ], + "I3": [ 1031 ], + "I4": [ 1444 ], + "I5": [ 1530 ], + "O": [ 2499 ] + } + }, + "LUT6_269": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25419.5-25426.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1532 ], + "I1": [ 2148 ], + "I2": [ 1158 ], + "I3": [ 1318 ], + "I4": [ 3054 ], + "I5": [ 1260 ], + "O": [ 2161 ] + } + }, + "LUT6_26a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25430.5-25437.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2499 ], + "I1": [ 1412 ], + "I2": [ 1168 ], + "I3": [ 1278 ], + "I4": [ 1218 ], + "I5": [ 1194 ], + "O": [ 3055 ] + } + }, + "LUT6_26b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25441.5-25448.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2499 ], + "I1": [ 3055 ], + "I2": [ 1168 ], + "I3": [ 1194 ], + "I4": [ 2495 ], + "I5": [ 1278 ], + "O": [ 2165 ] + } + }, + "LUT6_26c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25452.5-25459.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1412 ], + "I1": [ 2165 ], + "I2": [ 175 ], + "I3": [ 2162 ], + "I4": [ 2161 ], + "I5": [ 1218 ], + "O": [ 1373 ] + } + }, + "LUT6_26d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25463.5-25470.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1262 ], + "I1": [ 2249 ], + "I2": [ 337 ], + "I3": [ 993 ], + "I4": [ 1103 ], + "I5": [ 2749 ], + "O": [ 3056 ] + } + }, + "LUT6_26e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25474.5-25481.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1394 ], + "I1": [ 946 ], + "I2": [ 1223 ], + "I3": [ 1070 ], + "I4": [ 1300 ], + "I5": [ 477 ], + "O": [ 3054 ] + } + }, + "LUT6_26f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25485.5-25492.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 946 ], + "I1": [ 1262 ], + "I2": [ 1394 ], + "I3": [ 1070 ], + "I4": [ 1223 ], + "I5": [ 1735 ], + "O": [ 3057 ] + } + }, + "LUT6_27": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25496.5-25503.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3053 ], + "I1": [ 1633 ], + "I2": [ 1839 ], + "I3": [ 1613 ], + "I4": [ 1582 ], + "I5": [ 217 ], + "O": [ 2041 ] + } + }, + "LUT6_270": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25507.5-25514.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1021 ], + "I1": [ 946 ], + "I2": [ 3056 ], + "I3": [ 3057 ], + "I4": [ 1223 ], + "I5": [ 1070 ], + "O": [ 2498 ] + } + }, + "LUT6_271": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25518.5-25525.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1262 ], + "I1": [ 477 ], + "I2": [ 1394 ], + "I3": [ 1300 ], + "I4": [ 2161 ], + "I5": [ 3054 ], + "O": [ 3058 ] + } + }, + "LUT6_272": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25529.5-25536.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1762 ], + "I1": [ 1158 ], + "I2": [ 2760 ], + "I3": [ 2497 ], + "I4": [ 927 ], + "I5": [ 993 ], + "O": [ 1275 ] + } + }, + "LUT6_273": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25540.5-25547.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1763 ], + "I1": [ 2492 ], + "I2": [ 2431 ], + "I3": [ 1345 ], + "I4": [ 533 ], + "I5": [ 2759 ], + "O": [ 1343 ] + } + }, + "LUT6_274": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25551.5-25558.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2492 ], + "I1": [ 1280 ], + "I2": [ 2761 ], + "I3": [ 1697 ], + "I4": [ 1664 ], + "I5": [ 925 ], + "O": [ 2169 ] + } + }, + "LUT6_275": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25562.5-25569.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1280 ], + "I1": [ 1697 ], + "I2": [ 2169 ], + "I3": [ 2761 ], + "I4": [ 1664 ], + "I5": [ 2492 ], + "O": [ 1357 ] + } + }, + "LUT6_276": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25573.5-25580.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1288 ], + "I1": [ 1502 ], + "I2": [ 1117 ], + "I3": [ 1332 ], + "I4": [ 1168 ], + "I5": [ 749 ], + "O": [ 3059 ] + } + }, + "LUT6_277": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25584.5-25591.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1735 ], + "I1": [ 166 ], + "I2": [ 989 ], + "I3": [ 1235 ], + "I4": [ 1218 ], + "I5": [ 1416 ], + "O": [ 2437 ] + } + }, + "LUT6_278": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25595.5-25602.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 166 ], + "I1": [ 1458 ], + "I2": [ 989 ], + "I3": [ 1182 ], + "I4": [ 1484 ], + "I5": [ 1460 ], + "O": [ 1735 ] + } + }, + "LUT6_279": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25606.5-25613.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1049 ], + "I1": [ 1460 ], + "I2": [ 166 ], + "I3": [ 1105 ], + "I4": [ 1235 ], + "I5": [ 1484 ], + "O": [ 3060 ] + } + }, + "LUT6_27a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25617.5-25624.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1416 ], + "I1": [ 1458 ], + "I2": [ 443 ], + "I3": [ 1290 ], + "I4": [ 1504 ], + "I5": [ 1735 ], + "O": [ 3061 ] + } + }, + "LUT6_27b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25628.5-25635.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1484 ], + "I1": [ 1764 ], + "I2": [ 1105 ], + "I3": [ 1178 ], + "I4": [ 3060 ], + "I5": [ 1250 ], + "O": [ 3062 ] + } + }, + "LUT6_27c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25639.5-25646.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3061 ], + "I1": [ 533 ], + "I2": [ 3062 ], + "I3": [ 2762 ], + "I4": [ 989 ], + "I5": [ 1218 ], + "O": [ 1766 ] + } + }, + "LUT6_27d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25650.5-25657.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1211 ], + "I1": [ 1460 ], + "I2": [ 533 ], + "I3": [ 989 ], + "I4": [ 1182 ], + "I5": [ 2762 ], + "O": [ 2172 ] + } + }, + "LUT6_27e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25661.5-25668.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1105 ], + "I1": [ 166 ], + "I2": [ 1484 ], + "I3": [ 1235 ], + "I4": [ 1578 ], + "I5": [ 1605 ], + "O": [ 3063 ] + } + }, + "LUT6_27f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25672.5-25679.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1605 ], + "I1": [ 166 ], + "I2": [ 1578 ], + "I3": [ 1250 ], + "I4": [ 1484 ], + "I5": [ 3063 ], + "O": [ 2171 ] + } + }, + "LUT6_28": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25683.5-25690.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1582 ], + "I1": [ 3053 ], + "I2": [ 2200 ], + "I3": [ 1839 ], + "I4": [ 1633 ], + "I5": [ 1613 ], + "O": [ 3064 ] + } + }, + "LUT6_280": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25694.5-25701.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1486 ], + "I1": [ 1101 ], + "I2": [ 1031 ], + "I3": [ 1735 ], + "I4": [ 1674 ], + "I5": [ 3059 ], + "O": [ 2763 ] + } + }, + "LUT6_281": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25705.5-25712.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1250 ], + "I1": [ 269 ], + "I2": [ 1070 ], + "I3": [ 1458 ], + "I4": [ 1460 ], + "I5": [ 1416 ], + "O": [ 2153 ] + } + }, + "LUT6_282": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25716.5-25723.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1767 ], + "I1": [ 2153 ], + "I2": [ 2502 ], + "I3": [ 2437 ], + "I4": [ 1080 ], + "I5": [ 1105 ], + "O": [ 3065 ] + } + }, + "LUT6_283": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25727.5-25734.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 443 ], + "I1": [ 1735 ], + "I2": [ 1250 ], + "I3": [ 1107 ], + "I4": [ 1484 ], + "I5": [ 957 ], + "O": [ 1768 ] + } + }, + "LUT6_284": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25738.5-25745.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2506 ], + "I1": [ 1769 ], + "I2": [ 3065 ], + "I3": [ 1416 ], + "I4": [ 2505 ], + "I5": [ 1486 ], + "O": [ 1354 ] + } + }, + "LUT6_285": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25749.5-25756.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1460 ], + "I1": [ 1768 ], + "I2": [ 987 ], + "I3": [ 269 ], + "I4": [ 2503 ], + "I5": [ 2764 ], + "O": [ 1303 ] + } + }, + "LUT6_286": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25760.5-25767.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2522 ], + "I1": [ 1141 ], + "I2": [ 1186 ], + "I3": [ 1490 ], + "I4": [ 1403 ], + "I5": [ 1506 ], + "O": [ 3066 ] + } + }, + "LUT6_287": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25771.5-25778.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1344 ], + "I1": [ 1072 ], + "I2": [ 1490 ], + "I3": [ 1346 ], + "I4": [ 1141 ], + "I5": [ 1235 ], + "O": [ 2522 ] + } + }, + "LUT6_288": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25782.5-25789.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3067 ], + "I1": [ 2768 ], + "I2": [ 2770 ], + "I3": [ 2522 ], + "I4": [ 3066 ], + "I5": [ 1346 ], + "O": [ 3068 ] + } + }, + "LUT6_289": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25793.5-25800.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1298 ], + "I1": [ 1332 ], + "I2": [ 1403 ], + "I3": [ 1180 ], + "I4": [ 1206 ], + "I5": [ 1506 ], + "O": [ 2766 ] + } + }, + "LUT6_28a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25804.5-25811.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1490 ], + "I1": [ 1393 ], + "I2": [ 1735 ], + "I3": [ 1342 ], + "I4": [ 1332 ], + "I5": [ 1141 ], + "O": [ 3067 ] + } + }, + "LUT6_28b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25815.5-25822.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1490 ], + "I1": [ 1141 ], + "I2": [ 1735 ], + "I3": [ 1332 ], + "I4": [ 1342 ], + "I5": [ 1344 ], + "O": [ 2765 ] + } + }, + "LUT6_28c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25826.5-25833.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1332 ], + "I1": [ 1490 ], + "I2": [ 1141 ], + "I3": [ 1186 ], + "I4": [ 1735 ], + "I5": [ 1298 ], + "O": [ 1771 ] + } + }, + "LUT6_28d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25837.5-25844.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1735 ], + "I1": [ 1186 ], + "I2": [ 3067 ], + "I3": [ 1490 ], + "I4": [ 1332 ], + "I5": [ 1141 ], + "O": [ 1773 ] + } + }, + "LUT6_28e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25848.5-25855.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2767 ], + "I1": [ 1775 ], + "I2": [ 1435 ], + "I3": [ 1072 ], + "I4": [ 975 ], + "I5": [ 1492 ], + "O": [ 3069 ] + } + }, + "LUT6_28f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25859.5-25866.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1144 ], + "I1": [ 2507 ], + "I2": [ 1384 ], + "I3": [ 1366 ], + "I4": [ 1001 ], + "I5": [ 1508 ], + "O": [ 2508 ] + } + }, + "LUT6_29": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25870.5-25877.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2040 ], + "I1": [ 2200 ], + "I2": [ 2041 ], + "I3": [ 3064 ], + "I4": [ 3070 ], + "I5": [ 3071 ], + "O": [ 1623 ] + } + }, + "LUT6_290": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25881.5-25888.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3069 ], + "I1": [ 1119 ], + "I2": [ 1144 ], + "I3": [ 2508 ], + "I4": [ 1254 ], + "I5": [ 2507 ], + "O": [ 3072 ] + } + }, + "LUT6_291": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25892.5-25899.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2507 ], + "I1": [ 1144 ], + "I2": [ 2508 ], + "I3": [ 2768 ], + "I4": [ 3069 ], + "I5": [ 1119 ], + "O": [ 2509 ] + } + }, + "LUT6_292": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25903.5-25910.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1254 ], + "I1": [ 2509 ], + "I2": [ 2508 ], + "I3": [ 2507 ], + "I4": [ 3072 ], + "I5": [ 1144 ], + "O": [ 646 ] + } + }, + "LUT6_293": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25914.5-25921.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1382 ], + "I1": [ 983 ], + "I2": [ 1294 ], + "I3": [ 1353 ], + "I4": [ 963 ], + "I5": [ 1074 ], + "O": [ 2767 ] + } + }, + "LUT6_294": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25925.5-25932.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1025 ], + "I1": [ 963 ], + "I2": [ 2522 ], + "I3": [ 1510 ], + "I4": [ 1362 ], + "I5": [ 1687 ], + "O": [ 3073 ] + } + }, + "LUT6_295": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25936.5-25943.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1775 ], + "I1": [ 2767 ], + "I2": [ 1248 ], + "I3": [ 2770 ], + "I4": [ 1294 ], + "I5": [ 983 ], + "O": [ 3074 ] + } + }, + "LUT6_296": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25947.5-25954.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1776 ], + "I1": [ 2174 ], + "I2": [ 1382 ], + "I3": [ 3068 ], + "I4": [ 3074 ], + "I5": [ 1222 ], + "O": [ 1538 ] + } + }, + "LUT6_297": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25958.5-25965.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1775 ], + "I1": [ 1382 ], + "I2": [ 3073 ], + "I3": [ 1353 ], + "I4": [ 2767 ], + "I5": [ 1027 ], + "O": [ 3075 ] + } + }, + "LUT6_298": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25969.5-25976.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1777 ], + "I1": [ 3066 ], + "I2": [ 1116 ], + "I3": [ 2175 ], + "I4": [ 3068 ], + "I5": [ 2770 ], + "O": [ 1499 ] + } + }, + "LUT6_299": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25980.5-25987.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 983 ], + "I1": [ 1248 ], + "I2": [ 1294 ], + "I3": [ 1074 ], + "I4": [ 1335 ], + "I5": [ 1353 ], + "O": [ 2510 ] + } + }, + "LUT6_29a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:25991.5-25998.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1248 ], + "I2": [ 2510 ], + "I3": [ 1335 ], + "I4": [ 1294 ], + "I5": [ 983 ], + "O": [ 2768 ] + } + }, + "LUT6_29b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26002.5-26009.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1276 ], + "I1": [ 2519 ], + "I2": [ 2518 ], + "I3": [ 1211 ], + "I4": [ 1311 ], + "I5": [ 2179 ], + "O": [ 1779 ] + } + }, + "LUT6_29c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26013.5-26020.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1412 ], + "I1": [ 2768 ], + "I2": [ 2512 ], + "I3": [ 1410 ], + "I4": [ 1292 ], + "I5": [ 1308 ], + "O": [ 2511 ] + } + }, + "LUT6_29d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26024.5-26031.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1044 ], + "I1": [ 2512 ], + "I2": [ 1356 ], + "I3": [ 1290 ], + "I4": [ 889 ], + "I5": [ 1250 ], + "O": [ 1780 ] + } + }, + "LUT6_29e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26035.5-26042.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1356 ], + "I1": [ 1044 ], + "I2": [ 1037 ], + "I3": [ 1340 ], + "I4": [ 1231 ], + "I5": [ 1438 ], + "O": [ 2512 ] + } + }, + "LUT6_29f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26046.5-26053.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2514 ], + "I1": [ 1044 ], + "I2": [ 2516 ], + "I3": [ 2515 ], + "I4": [ 1146 ], + "I5": [ 1781 ], + "O": [ 1405 ] + } + }, + "LUT6_2_0": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26057.5-26065.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1264 ], + "I1": [ 960 ], + "I2": [ 1001 ], + "I3": [ 925 ], + "I4": [ 1304 ], + "I5": [ 979 ], + "O5": [ 1728 ], + "O6": [ 1729 ] + } + }, + "LUT6_2_1": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26069.5-26077.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1001 ], + "I1": [ 1048 ], + "I2": [ 1042 ], + "I3": [ 681 ], + "I4": [ 1565 ], + "I5": [ 845 ], + "O5": [ 2558 ], + "O6": [ 1923 ] + } + }, + "LUT6_2_10": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26081.5-26089.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1666 ], + "I1": [ 1416 ], + "I2": [ 2106 ], + "I3": [ 1656 ], + "I4": [ 1412 ], + "I5": [ 1626 ], + "O5": [ 1826 ], + "O6": [ 2551 ] + } + }, + "LUT6_2_11": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26093.5-26101.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1664 ], + "I1": [ 1656 ], + "I2": [ 859 ], + "I3": [ 401 ], + "I4": [ 2233 ], + "I5": [ 687 ], + "O5": [ 2555 ], + "O6": [ 2794 ] + } + }, + "LUT6_2_12": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26105.5-26113.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1412 ], + "I1": [ 1615 ], + "I2": [ 217 ], + "I3": [ 951 ], + "I4": [ 907 ], + "I5": [ 931 ], + "O5": [ 1839 ], + "O6": [ 2778 ] + } + }, + "LUT6_2_13": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26117.5-26125.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1839 ], + "I1": [ 715 ], + "I2": [ 1605 ], + "I3": [ 2550 ], + "I4": [ 3076 ], + "I5": [ 2208 ], + "O5": [ 2237 ], + "O6": [ 1840 ] + } + }, + "LUT6_2_14": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26129.5-26137.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 199 ], + "I2": [ 2570 ], + "I3": [ 755 ], + "I4": [ 1611 ], + "I5": [ 2340 ], + "O5": [ 1867 ], + "O6": [ 3077 ] + } + }, + "LUT6_2_15": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26141.5-26149.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1641 ], + "I1": [ 2799 ], + "I2": [ 1984 ], + "I3": [ 237 ], + "I4": [ 659 ], + "I5": [ 495 ], + "O5": [ 3078 ], + "O6": [ 3079 ] + } + }, + "LUT6_2_16": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26153.5-26161.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 3080 ], + "I1": [ 3081 ], + "I2": [ 3078 ], + "I3": [ 2802 ], + "I4": [ 3077 ], + "I5": [ 2800 ], + "O5": [ 480 ], + "O6": [ 506 ] + } + }, + "LUT6_2_17": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26165.5-26173.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2246 ], + "I1": [ 2799 ], + "I2": [ 659 ], + "I3": [ 3079 ], + "I4": [ 1880 ], + "I5": [ 237 ], + "O5": [ 3080 ], + "O6": [ 3081 ] + } + }, + "LUT6_2_18": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26177.5-26185.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2568 ], + "I1": [ 2581 ], + "I2": [ 2566 ], + "I3": [ 522 ], + "I4": [ 393 ], + "I5": [ 500 ], + "O5": [ 552 ], + "O6": [ 566 ] + } + }, + "LUT6_2_19": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26189.5-26197.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1850 ], + "I1": [ 3082 ], + "I2": [ 1958 ], + "I3": [ 859 ], + "I4": [ 1136 ], + "I5": [ 3083 ], + "O5": [ 206 ], + "O6": [ 478 ] + } + }, + "LUT6_2_1a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26201.5-26209.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1867 ], + "I1": [ 1638 ], + "I2": [ 561 ], + "I3": [ 995 ], + "I4": [ 401 ], + "I5": [ 219 ], + "O5": [ 1851 ], + "O6": [ 2804 ] + } + }, + "LUT6_2_1b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26213.5-26221.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 433 ], + "I1": [ 435 ], + "I2": [ 687 ], + "I3": [ 171 ], + "I4": [ 513 ], + "I5": [ 1380 ], + "O5": [ 2812 ], + "O6": [ 3084 ] + } + }, + "LUT6_2_1c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26225.5-26233.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 997 ], + "I1": [ 531 ], + "I2": [ 261 ], + "I3": [ 1410 ], + "I4": [ 441 ], + "I5": [ 1466 ], + "O5": [ 3085 ], + "O6": [ 2258 ] + } + }, + "LUT6_2_1d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26237.5-26245.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2106 ], + "I1": [ 485 ], + "I2": [ 753 ], + "I3": [ 2258 ], + "I4": [ 1863 ], + "I5": [ 215 ], + "O5": [ 2576 ], + "O6": [ 2809 ] + } + }, + "LUT6_2_1e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26249.5-26257.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 765 ], + "I1": [ 1860 ], + "I2": [ 487 ], + "I3": [ 177 ], + "I4": [ 1380 ], + "I5": [ 1641 ], + "O5": [ 2584 ], + "O6": [ 2264 ] + } + }, + "LUT6_2_1f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26261.5-26269.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2268 ], + "I1": [ 2596 ], + "I2": [ 1003 ], + "I3": [ 2274 ], + "I4": [ 2818 ], + "I5": [ 1866 ], + "O5": [ 2275 ], + "O6": [ 3086 ] + } + }, + "LUT6_2_2": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26273.5-26281.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1391 ], + "I1": [ 985 ], + "I2": [ 1378 ], + "I3": [ 1839 ], + "I4": [ 953 ], + "I5": [ 1033 ], + "O5": [ 2618 ], + "O6": [ 1871 ] + } + }, + "LUT6_2_20": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26285.5-26293.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1893 ], + "I1": [ 1894 ], + "I2": [ 1898 ], + "I3": [ 1897 ], + "I4": [ 1890 ], + "I5": [ 2590 ], + "O5": [ 514 ], + "O6": [ 534 ] + } + }, + "LUT6_2_21": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26297.5-26305.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1899 ], + "I1": [ 2290 ], + "I2": [ 2594 ], + "I3": [ 685 ], + "I4": [ 3087 ], + "I5": [ 629 ], + "O5": [ 2595 ], + "O6": [ 1900 ] + } + }, + "LUT6_2_22": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26309.5-26317.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 849 ], + "I1": [ 1976 ], + "I2": [ 951 ], + "I3": [ 595 ], + "I4": [ 1567 ], + "I5": [ 1619 ], + "O5": [ 2837 ], + "O6": [ 3088 ] + } + }, + "LUT6_2_23": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26321.5-26329.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2291 ], + "I1": [ 2292 ], + "I2": [ 567 ], + "I3": [ 639 ], + "I4": [ 669 ], + "I5": [ 1133 ], + "O5": [ 3089 ], + "O6": [ 2277 ] + } + }, + "LUT6_2_24": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26333.5-26341.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 595 ], + "I1": [ 1380 ], + "I2": [ 411 ], + "I3": [ 309 ], + "I4": [ 2834 ], + "I5": [ 901 ], + "O5": [ 2294 ], + "O6": [ 2601 ] + } + }, + "LUT6_2_25": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26345.5-26353.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2834 ], + "I1": [ 2296 ], + "I2": [ 517 ], + "I3": [ 1380 ], + "I4": [ 309 ], + "I5": [ 1921 ], + "O5": [ 3090 ], + "O6": [ 2297 ] + } + }, + "LUT6_2_26": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26357.5-26365.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2615 ], + "I1": [ 319 ], + "I2": [ 589 ], + "I3": [ 2611 ], + "I4": [ 1624 ], + "I5": [ 593 ], + "O5": [ 2304 ], + "O6": [ 2305 ] + } + }, + "LUT6_2_27": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26369.5-26377.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1869 ], + "I1": [ 319 ], + "I2": [ 453 ], + "I3": [ 231 ], + "I4": [ 341 ], + "I5": [ 901 ], + "O5": [ 2953 ], + "O6": [ 2613 ] + } + }, + "LUT6_2_28": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26381.5-26389.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2318 ], + "I1": [ 365 ], + "I2": [ 2955 ], + "I3": [ 2314 ], + "I4": [ 203 ], + "I5": [ 1948 ], + "O5": [ 1938 ], + "O6": [ 2308 ] + } + }, + "LUT6_2_29": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26393.5-26401.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1936 ], + "I1": [ 1938 ], + "I2": [ 2308 ], + "I3": [ 609 ], + "I4": [ 2844 ], + "I5": [ 1937 ], + "O5": [ 350 ], + "O6": [ 366 ] + } + }, + "LUT6_2_2a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26405.5-26413.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 551 ], + "I1": [ 1952 ], + "I2": [ 259 ], + "I3": [ 255 ], + "I4": [ 1953 ], + "I5": [ 415 ], + "O5": [ 1951 ], + "O6": [ 2856 ] + } + }, + "LUT6_2_2b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26417.5-26425.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 691 ], + "I1": [ 2964 ], + "I2": [ 707 ], + "I3": [ 2963 ], + "I4": [ 661 ], + "I5": [ 2855 ], + "O5": [ 1960 ], + "O6": [ 1959 ] + } + }, + "LUT6_2_2c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26429.5-26437.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2337 ], + "I1": [ 517 ], + "I2": [ 1967 ], + "I3": [ 1414 ], + "I4": [ 853 ], + "I5": [ 243 ], + "O5": [ 244 ], + "O6": [ 2633 ] + } + }, + "LUT6_2_2d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26441.5-26449.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2340 ], + "I1": [ 664 ], + "I2": [ 553 ], + "I3": [ 2345 ], + "I4": [ 1869 ], + "I5": [ 997 ], + "O5": [ 2341 ], + "O6": [ 2638 ] + } + }, + "LUT6_2_2e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26453.5-26461.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 771 ], + "I1": [ 2349 ], + "I2": [ 567 ], + "I3": [ 2641 ], + "I4": [ 179 ], + "I5": [ 2640 ], + "O5": [ 240 ], + "O6": [ 688 ] + } + }, + "LUT6_2_2f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26465.5-26473.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 660 ], + "I1": [ 2668 ], + "I2": [ 1883 ], + "I3": [ 1976 ], + "I4": [ 624 ], + "I5": [ 2338 ], + "O5": [ 2603 ], + "O6": [ 652 ] + } + }, + "LUT6_2_3": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26477.5-26485.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2366 ], + "I1": [ 2600 ], + "I2": [ 613 ], + "I3": [ 2959 ], + "I4": [ 1001 ], + "I5": [ 885 ], + "O5": [ 1552 ], + "O6": [ 1562 ] + } + }, + "LUT6_2_30": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26489.5-26497.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 699 ], + "I1": [ 673 ], + "I2": [ 665 ], + "I3": [ 623 ], + "I4": [ 705 ], + "I5": [ 675 ], + "O5": [ 2668 ], + "O6": [ 2338 ] + } + }, + "LUT6_2_31": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26501.5-26509.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 523 ], + "I1": [ 543 ], + "I2": [ 2359 ], + "I3": [ 2645 ], + "I4": [ 1989 ], + "I5": [ 823 ], + "O5": [ 394 ], + "O6": [ 400 ] + } + }, + "LUT6_2_32": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26513.5-26521.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 857 ], + "I1": [ 865 ], + "I2": [ 431 ], + "I3": [ 437 ], + "I4": [ 1709 ], + "I5": [ 785 ], + "O5": [ 2379 ], + "O6": [ 2006 ] + } + }, + "LUT6_2_33": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26525.5-26533.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 1399 ], + "I2": [ 2006 ], + "I3": [ 2385 ], + "I4": [ 705 ], + "I5": [ 2379 ], + "O5": [ 3091 ], + "O6": [ 3092 ] + } + }, + "LUT6_2_34": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26537.5-26545.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 572 ], + "I1": [ 2386 ], + "I2": [ 3092 ], + "I3": [ 2661 ], + "I4": [ 3091 ], + "I5": [ 435 ], + "O5": [ 2662 ], + "O6": [ 904 ] + } + }, + "LUT6_2_35": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26549.5-26557.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 815 ], + "I1": [ 789 ], + "I2": [ 723 ], + "I3": [ 875 ], + "I4": [ 2880 ], + "I5": [ 2878 ], + "O5": [ 2005 ], + "O6": [ 800 ] + } + }, + "LUT6_2_36": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26561.5-26569.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 881 ], + "I1": [ 867 ], + "I2": [ 553 ], + "I3": [ 831 ], + "I4": [ 2392 ], + "I5": [ 567 ], + "O5": [ 498 ], + "O6": [ 528 ] + } + }, + "LUT6_2_37": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26573.5-26581.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 821 ], + "I1": [ 879 ], + "I2": [ 489 ], + "I3": [ 529 ], + "I4": [ 891 ], + "I5": [ 905 ], + "O5": [ 2665 ], + "O6": [ 2666 ] + } + }, + "LUT6_2_38": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26585.5-26593.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2370 ], + "I1": [ 497 ], + "I2": [ 567 ], + "I3": [ 693 ], + "I4": [ 757 ], + "I5": [ 2651 ], + "O5": [ 2669 ], + "O6": [ 764 ] + } + }, + "LUT6_2_39": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26597.5-26605.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 891 ], + "I1": [ 2651 ], + "I2": [ 777 ], + "I3": [ 2354 ], + "I4": [ 415 ], + "I5": [ 223 ], + "O5": [ 3093 ], + "O6": [ 2988 ] + } + }, + "LUT6_2_3a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26609.5-26617.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 905 ], + "I1": [ 2888 ], + "I2": [ 489 ], + "I3": [ 821 ], + "I4": [ 3093 ], + "I5": [ 2668 ], + "O5": [ 2020 ], + "O6": [ 2889 ] + } + }, + "LUT6_2_3b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26621.5-26629.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2022 ], + "I1": [ 2676 ], + "I2": [ 493 ], + "I3": [ 2891 ], + "I4": [ 1131 ], + "I5": [ 567 ], + "O5": [ 2026 ], + "O6": [ 3094 ] + } + }, + "LUT6_2_3c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26633.5-26641.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2897 ], + "I1": [ 2675 ], + "I2": [ 2409 ], + "I3": [ 464 ], + "I4": [ 3094 ], + "I5": [ 1399 ], + "O5": [ 462 ], + "O6": [ 470 ] + } + }, + "LUT6_2_3d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26645.5-26653.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2035 ], + "I1": [ 761 ], + "I2": [ 205 ], + "I3": [ 901 ], + "I4": [ 1123 ], + "I5": [ 2890 ], + "O5": [ 2678 ], + "O6": [ 2680 ] + } + }, + "LUT6_2_3e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26657.5-26665.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 705 ], + "I1": [ 2407 ], + "I2": [ 1380 ], + "I3": [ 2034 ], + "I4": [ 419 ], + "I5": [ 859 ], + "O5": [ 2901 ], + "O6": [ 2030 ] + } + }, + "LUT6_2_3f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26669.5-26677.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 379 ], + "I1": [ 471 ], + "I2": [ 911 ], + "I3": [ 497 ], + "I4": [ 449 ], + "I5": [ 763 ], + "O5": [ 420 ], + "O6": [ 2688 ] + } + }, + "LUT6_2_4": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26681.5-26689.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 963 ], + "I1": [ 2052 ], + "I2": [ 1584 ], + "I3": [ 2432 ], + "I4": [ 1542 ], + "I5": [ 1619 ], + "O5": [ 2986 ], + "O6": [ 2443 ] + } + }, + "LUT6_2_40": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26693.5-26701.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1958 ], + "I1": [ 471 ], + "I2": [ 195 ], + "I3": [ 379 ], + "I4": [ 2992 ], + "I5": [ 2994 ], + "O5": [ 418 ], + "O6": [ 460 ] + } + }, + "LUT6_2_41": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26705.5-26713.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 431 ], + "I2": [ 469 ], + "I3": [ 451 ], + "I4": [ 525 ], + "I5": [ 197 ], + "O5": [ 2997 ], + "O6": [ 2996 ] + } + }, + "LUT6_2_42": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26717.5-26725.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2996 ], + "I1": [ 909 ], + "I2": [ 503 ], + "I3": [ 2414 ], + "I4": [ 2999 ], + "I5": [ 2998 ], + "O5": [ 836 ], + "O6": [ 756 ] + } + }, + "LUT6_2_43": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26729.5-26737.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 182 ], + "I1": [ 771 ], + "I2": [ 2413 ], + "I3": [ 2989 ], + "I4": [ 413 ], + "I5": [ 1958 ], + "O5": [ 2044 ], + "O6": [ 2415 ] + } + }, + "LUT6_2_44": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26741.5-26749.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1619 ], + "I1": [ 465 ], + "I2": [ 995 ], + "I3": [ 803 ], + "I4": [ 2413 ], + "I5": [ 705 ], + "O5": [ 2054 ], + "O6": [ 3006 ] + } + }, + "LUT6_2_45": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26753.5-26761.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2426 ], + "I1": [ 2054 ], + "I2": [ 3006 ], + "I3": [ 2687 ], + "I4": [ 2692 ], + "I5": [ 2998 ], + "O5": [ 2055 ], + "O6": [ 2427 ] + } + }, + "LUT6_2_46": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26765.5-26773.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1600 ], + "I1": [ 1414 ], + "I2": [ 2056 ], + "I3": [ 439 ], + "I4": [ 1674 ], + "I5": [ 3007 ], + "O5": [ 1662 ], + "O6": [ 3095 ] + } + }, + "LUT6_2_47": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26777.5-26785.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1680 ], + "I1": [ 1035 ], + "I2": [ 533 ], + "I3": [ 1105 ], + "I4": [ 935 ], + "I5": [ 1561 ], + "O5": [ 3008 ], + "O6": [ 2429 ] + } + }, + "LUT6_2_48": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26789.5-26797.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1701 ], + "I1": [ 987 ], + "I2": [ 2912 ], + "I3": [ 2432 ], + "I4": [ 1638 ], + "I5": [ 1611 ], + "O5": [ 3010 ], + "O6": [ 3009 ] + } + }, + "LUT6_2_49": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26801.5-26809.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 533 ], + "I1": [ 1611 ], + "I2": [ 1638 ], + "I3": [ 2695 ], + "I4": [ 933 ], + "I5": [ 1563 ], + "O5": [ 1571 ], + "O6": [ 2959 ] + } + }, + "LUT6_2_4a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26813.5-26821.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2060 ], + "I1": [ 1574 ], + "I2": [ 1674 ], + "I3": [ 487 ], + "I4": [ 2912 ], + "I5": [ 1730 ], + "O5": [ 3013 ], + "O6": [ 3014 ] + } + }, + "LUT6_2_4b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26825.5-26833.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2060 ], + "I1": [ 1574 ], + "I2": [ 1674 ], + "I3": [ 3015 ], + "I4": [ 3013 ], + "I5": [ 3014 ], + "O5": [ 1533 ], + "O6": [ 1549 ] + } + }, + "LUT6_2_4c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26837.5-26845.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 983 ], + "I1": [ 1085 ], + "I2": [ 1580 ], + "I3": [ 1009 ], + "I4": [ 995 ], + "I5": [ 257 ], + "O5": [ 3017 ], + "O6": [ 2436 ] + } + }, + "LUT6_2_4d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26849.5-26857.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1082 ], + "I1": [ 1009 ], + "I2": [ 995 ], + "I3": [ 1384 ], + "I4": [ 1713 ], + "I5": [ 1282 ], + "O5": [ 2439 ], + "O6": [ 2440 ] + } + }, + "LUT6_2_4e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26861.5-26869.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2439 ], + "I1": [ 2232 ], + "I2": [ 2440 ], + "I3": [ 967 ], + "I4": [ 2073 ], + "I5": [ 1039 ], + "O5": [ 2441 ], + "O6": [ 3018 ] + } + }, + "LUT6_2_4f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26873.5-26881.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1335 ], + "I1": [ 1356 ], + "I2": [ 931 ], + "I3": [ 1330 ], + "I4": [ 851 ], + "I5": [ 1496 ], + "O5": [ 2442 ], + "O6": [ 3020 ] + } + }, + "LUT6_2_5": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26885.5-26893.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1721 ], + "I1": [ 535 ], + "I2": [ 1615 ], + "I3": [ 831 ], + "I4": [ 1408 ], + "I5": [ 175 ], + "O5": [ 2728 ], + "O6": [ 2196 ] + } + }, + "LUT6_2_50": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26897.5-26905.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1012 ], + "I1": [ 1389 ], + "I2": [ 3020 ], + "I3": [ 3022 ], + "I4": [ 3021 ], + "I5": [ 3019 ], + "O5": [ 2703 ], + "O6": [ 2078 ] + } + }, + "LUT6_2_51": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26909.5-26917.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 2713 ], + "I2": [ 1093 ], + "I3": [ 989 ], + "I4": [ 2711 ], + "I5": [ 3030 ], + "O5": [ 2714 ], + "O6": [ 2712 ] + } + }, + "LUT6_2_52": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26921.5-26929.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 201 ], + "I1": [ 1410 ], + "I2": [ 1403 ], + "I3": [ 1288 ], + "I4": [ 1326 ], + "I5": [ 2456 ], + "O5": [ 3038 ], + "O6": [ 3037 ] + } + }, + "LUT6_2_53": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26933.5-26941.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 1672 ], + "I2": [ 2710 ], + "I3": [ 1498 ], + "I4": [ 3035 ], + "I5": [ 3030 ], + "O5": [ 2101 ], + "O6": [ 2718 ] + } + }, + "LUT6_2_54": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26945.5-26953.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1468 ], + "I1": [ 1540 ], + "I2": [ 3039 ], + "I3": [ 2467 ], + "I4": [ 1244 ], + "I5": [ 371 ], + "O5": [ 2104 ], + "O6": [ 3040 ] + } + }, + "LUT6_2_55": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26957.5-26965.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2462 ], + "I1": [ 2464 ], + "I2": [ 2461 ], + "I3": [ 2110 ], + "I4": [ 2925 ], + "I5": [ 1419 ], + "O5": [ 1183 ], + "O6": [ 1423 ] + } + }, + "LUT6_2_56": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26969.5-26977.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2130 ], + "I1": [ 1304 ], + "I2": [ 999 ], + "I3": [ 2734 ], + "I4": [ 1391 ], + "I5": [ 337 ], + "O5": [ 2134 ], + "O6": [ 2132 ] + } + }, + "LUT6_2_57": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26981.5-26989.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2937 ], + "I1": [ 1248 ], + "I2": [ 1739 ], + "I3": [ 2473 ], + "I4": [ 1282 ], + "I5": [ 2475 ], + "O5": [ 2138 ], + "O6": [ 2942 ] + } + }, + "LUT6_2_58": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:26993.5-27001.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1233 ], + "I1": [ 1433 ], + "I2": [ 1260 ], + "I3": [ 1246 ], + "I4": [ 1258 ], + "I5": [ 1062 ], + "O5": [ 2747 ], + "O6": [ 2746 ] + } + }, + "LUT6_2_59": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27005.5-27013.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1740 ], + "I1": [ 2146 ], + "I2": [ 1741 ], + "I3": [ 2748 ], + "I4": [ 2747 ], + "I5": [ 1211 ], + "O5": [ 1313 ], + "O6": [ 1377 ] + } + }, + "LUT6_2_5a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27017.5-27025.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1262 ], + "I1": [ 2166 ], + "I2": [ 2498 ], + "I3": [ 2167 ], + "I4": [ 477 ], + "I5": [ 3058 ], + "O5": [ 1367 ], + "O6": [ 1315 ] + } + }, + "LUT6_2_5b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27029.5-27037.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2761 ], + "I1": [ 1763 ], + "I2": [ 2755 ], + "I3": [ 1361 ], + "I4": [ 1755 ], + "I5": [ 1355 ], + "O5": [ 1379 ], + "O6": [ 1383 ] + } + }, + "LUT6_2_5c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27041.5-27049.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1775 ], + "I1": [ 1382 ], + "I2": [ 1353 ], + "I3": [ 3075 ], + "I4": [ 3073 ], + "I5": [ 2767 ], + "O5": [ 1536 ], + "O6": [ 1207 ] + } + }, + "LUT6_2_5d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27053.5-27061.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1074 ], + "I1": [ 1687 ], + "I2": [ 1340 ], + "I3": [ 1254 ], + "I4": [ 931 ], + "I5": [ 1292 ], + "O5": [ 2178 ], + "O6": [ 2517 ] + } + }, + "LUT6_2_5e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27065.5-27073.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 889 ], + "I1": [ 1298 ], + "I2": [ 1344 ], + "I3": [ 1403 ], + "I4": [ 1382 ], + "I5": [ 1292 ], + "O5": [ 3096 ], + "O6": [ 3097 ] + } + }, + "LUT6_2_5f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27077.5-27085.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2183 ], + "I1": [ 1344 ], + "I2": [ 1790 ], + "I3": [ 889 ], + "I4": [ 3097 ], + "I5": [ 3098 ], + "O5": [ 2227 ], + "O6": [ 2073 ] + } + }, + "LUT6_2_6": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27089.5-27097.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2041 ], + "I1": [ 1839 ], + "I2": [ 1582 ], + "I3": [ 1613 ], + "I4": [ 3053 ], + "I5": [ 1633 ], + "O5": [ 3070 ], + "O6": [ 3071 ] + } + }, + "LUT6_2_60": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27101.5-27109.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1229 ], + "I1": [ 1215 ], + "I2": [ 947 ], + "I3": [ 1196 ], + "I4": [ 1095 ], + "I5": [ 1320 ], + "O5": [ 3099 ], + "O6": [ 3100 ] + } + }, + "LUT6_2_61": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27113.5-27121.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1256 ], + "I1": [ 1239 ], + "I2": [ 2437 ], + "I3": [ 3099 ], + "I4": [ 1624 ], + "I5": [ 1470 ], + "O5": [ 1797 ], + "O6": [ 3101 ] + } + }, + "LUT6_2_62": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27125.5-27133.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1464 ], + "I1": [ 1164 ], + "I2": [ 1433 ], + "I3": [ 947 ], + "I4": [ 1215 ], + "I5": [ 1170 ], + "O5": [ 1796 ], + "O6": [ 1800 ] + } + }, + "LUT6_2_63": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27137.5-27145.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1164 ], + "I1": [ 1464 ], + "I2": [ 3102 ], + "I3": [ 1113 ], + "I4": [ 1796 ], + "I5": [ 3101 ], + "O5": [ 3103 ], + "O6": [ 1802 ] + } + }, + "LUT6_2_7": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27149.5-27157.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1607 ], + "I1": [ 2040 ], + "I2": [ 1641 ], + "I3": [ 1580 ], + "I4": [ 1630 ], + "I5": [ 1316 ], + "O5": [ 2757 ], + "O6": [ 2204 ] + } + }, + "LUT6_2_8": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27161.5-27169.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 2201 ], + "I2": [ 1701 ], + "I3": [ 1358 ], + "I4": [ 843 ], + "I5": [ 867 ], + "O5": [ 3104 ], + "O6": [ 2203 ] + } + }, + "LUT6_2_9": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27173.5-27181.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2119 ], + "I1": [ 2056 ], + "I2": [ 2203 ], + "I3": [ 777 ], + "I4": [ 2757 ], + "I5": [ 3104 ], + "O5": [ 3105 ], + "O6": [ 2202 ] + } + }, + "LUT6_2_a": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27185.5-27193.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2203 ], + "I1": [ 2056 ], + "I2": [ 2202 ], + "I3": [ 2119 ], + "I4": [ 777 ], + "I5": [ 3105 ], + "O5": [ 1618 ], + "O6": [ 1658 ] + } + }, + "LUT6_2_b": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27197.5-27205.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 2778 ], + "I1": [ 1578 ], + "I2": [ 1664 ], + "I3": [ 537 ], + "I4": [ 483 ], + "I5": [ 175 ], + "O5": [ 3106 ], + "O6": [ 3107 ] + } + }, + "LUT6_2_c": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27209.5-27217.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 723 ], + "I1": [ 535 ], + "I2": [ 257 ], + "I3": [ 433 ], + "I4": [ 1695 ], + "I5": [ 2052 ], + "O5": [ 2209 ], + "O6": [ 2525 ] + } + }, + "LUT6_2_d": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27221.5-27229.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1695 ], + "I1": [ 723 ], + "I2": [ 1680 ], + "I3": [ 931 ], + "I4": [ 531 ], + "I5": [ 1578 ], + "O5": [ 3108 ], + "O6": [ 2532 ] + } + }, + "LUT6_2_e": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27233.5-27241.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 1813 ], + "I1": [ 1814 ], + "I2": [ 2230 ], + "I3": [ 2545 ], + "I4": [ 2544 ], + "I5": [ 2226 ], + "O5": [ 2547 ], + "O6": [ 2546 ] + } + }, + "LUT6_2_f": { + "hide_name": 0, + "type": "LUT6_2", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27245.5-27253.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O5": "output", + "O6": "output" + }, + "connections": { + "I0": [ 783 ], + "I1": [ 257 ], + "I2": [ 1666 ], + "I3": [ 759 ], + "I4": [ 2791 ], + "I5": [ 1666 ], + "O5": [ 1828 ], + "O6": [ 3109 ] + } + }, + "LUT6_2a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27257.5-27264.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1316 ], + "I1": [ 1137 ], + "I2": [ 485 ], + "I3": [ 375 ], + "I4": [ 533 ], + "I5": [ 955 ], + "O": [ 2067 ] + } + }, + "LUT6_2a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27268.5-27275.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1077 ], + "I1": [ 1775 ], + "I2": [ 1356 ], + "I3": [ 2176 ], + "I4": [ 2512 ], + "I5": [ 1298 ], + "O": [ 2770 ] + } + }, + "LUT6_2a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27279.5-27286.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1027 ], + "I1": [ 975 ], + "I2": [ 1546 ], + "I3": [ 889 ], + "I4": [ 1231 ], + "I5": [ 1064 ], + "O": [ 3110 ] + } + }, + "LUT6_2a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27290.5-27297.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1308 ], + "I1": [ 963 ], + "I2": [ 931 ], + "I3": [ 1492 ], + "I4": [ 1144 ], + "I5": [ 983 ], + "O": [ 1785 ] + } + }, + "LUT6_2a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27301.5-27308.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1139 ], + "I1": [ 1462 ], + "I2": [ 1360 ], + "I3": [ 1410 ], + "I4": [ 1292 ], + "I5": [ 1785 ], + "O": [ 2520 ] + } + }, + "LUT6_2a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27312.5-27319.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1374 ], + "I1": [ 1462 ], + "I2": [ 1074 ], + "I3": [ 1348 ], + "I4": [ 1785 ], + "I5": [ 3110 ], + "O": [ 2521 ] + } + }, + "LUT6_2a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27323.5-27330.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1788 ], + "I1": [ 2770 ], + "I2": [ 1161 ], + "I3": [ 1200 ], + "I4": [ 1340 ], + "I5": [ 2522 ], + "O": [ 1786 ] + } + }, + "LUT6_2a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27334.5-27341.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1362 ], + "I1": [ 1200 ], + "I2": [ 1184 ], + "I3": [ 2522 ], + "I4": [ 1077 ], + "I5": [ 1119 ], + "O": [ 2769 ] + } + }, + "LUT6_2a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27345.5-27352.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1276 ], + "I1": [ 1298 ], + "I2": [ 2182 ], + "I3": [ 1340 ], + "I4": [ 2523 ], + "I5": [ 1786 ], + "O": [ 1363 ] + } + }, + "LUT6_2a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27356.5-27363.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1789 ], + "I1": [ 1222 ], + "I2": [ 1153 ], + "I3": [ 2771 ], + "I4": [ 1371 ], + "I5": [ 1161 ], + "O": [ 1465 ] + } + }, + "LUT6_2a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27367.5-27374.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1791 ], + "I1": [ 2184 ], + "I2": [ 1790 ], + "I3": [ 3096 ], + "I4": [ 1492 ], + "I5": [ 1382 ], + "O": [ 2100 ] + } + }, + "LUT6_2aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27378.5-27385.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3097 ], + "I1": [ 1790 ], + "I2": [ 1344 ], + "I3": [ 2183 ], + "I4": [ 889 ], + "I5": [ 1789 ], + "O": [ 3098 ] + } + }, + "LUT6_2ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27389.5-27396.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1282 ], + "I1": [ 1174 ], + "I2": [ 1494 ], + "I3": [ 1669 ], + "I4": [ 1079 ], + "I5": [ 1324 ], + "O": [ 3111 ] + } + }, + "LUT6_2ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27400.5-27407.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1079 ], + "I1": [ 1005 ], + "I2": [ 1288 ], + "I3": [ 1150 ], + "I4": [ 929 ], + "I5": [ 531 ], + "O": [ 2775 ] + } + }, + "LUT6_2ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27411.5-27418.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1794 ], + "I1": [ 1233 ], + "I2": [ 1204 ], + "I3": [ 2772 ], + "I4": [ 1512 ], + "I5": [ 1328 ], + "O": [ 374 ] + } + }, + "LUT6_2ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27422.5-27429.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1324 ], + "I1": [ 1669 ], + "I2": [ 1079 ], + "I3": [ 1288 ], + "I4": [ 967 ], + "I5": [ 2774 ], + "O": [ 714 ] + } + }, + "LUT6_2af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27433.5-27440.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 977 ], + "I1": [ 1260 ], + "I2": [ 1278 ], + "I3": [ 1174 ], + "I4": [ 1794 ], + "I5": [ 2775 ], + "O": [ 1181 ] + } + }, + "LUT6_2b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27444.5-27451.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3112 ], + "I1": [ 1689 ], + "I2": [ 555 ], + "I3": [ 533 ], + "I4": [ 2728 ], + "I5": [ 375 ], + "O": [ 2756 ] + } + }, + "LUT6_2b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27455.5-27462.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 977 ], + "I1": [ 1576 ], + "I2": [ 1278 ], + "I3": [ 1260 ], + "I4": [ 3111 ], + "I5": [ 1794 ], + "O": [ 2186 ] + } + }, + "LUT6_2b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27466.5-27473.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1252 ], + "I1": [ 2187 ], + "I2": [ 977 ], + "I3": [ 2190 ], + "I4": [ 2189 ], + "I5": [ 1193 ], + "O": [ 1189 ] + } + }, + "LUT6_2b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27477.5-27484.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2193 ], + "I1": [ 2192 ], + "I2": [ 1326 ], + "I3": [ 1103 ], + "I4": [ 1793 ], + "I5": [ 2524 ], + "O": [ 1224 ] + } + }, + "LUT6_2b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27488.5-27495.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1793 ], + "I1": [ 1103 ], + "I2": [ 1326 ], + "I3": [ 2524 ], + "I4": [ 2776 ], + "I5": [ 1535 ], + "O": [ 2777 ] + } + }, + "LUT6_2b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27499.5-27506.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1256 ], + "I1": [ 1284 ], + "I2": [ 1229 ], + "I3": [ 947 ], + "I4": [ 1148 ], + "I5": [ 1464 ], + "O": [ 1433 ] + } + }, + "LUT6_2b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27510.5-27517.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2194 ], + "I1": [ 1237 ], + "I2": [ 2153 ], + "I3": [ 1799 ], + "I4": [ 1798 ], + "I5": [ 2930 ], + "O": [ 1159 ] + } + }, + "LUT6_2b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27521.5-27528.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3100 ], + "I1": [ 1121 ], + "I2": [ 1237 ], + "I3": [ 1037 ], + "I4": [ 1221 ], + "I5": [ 1202 ], + "O": [ 3113 ] + } + }, + "LUT6_2b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27532.5-27539.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1237 ], + "I1": [ 1221 ], + "I2": [ 3113 ], + "I3": [ 1229 ], + "I4": [ 3100 ], + "I5": [ 972 ], + "O": [ 3114 ] + } + }, + "LUT6_2b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27543.5-27550.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2153 ], + "I1": [ 1037 ], + "I2": [ 1121 ], + "I3": [ 1046 ], + "I4": [ 1202 ], + "I5": [ 1284 ], + "O": [ 3115 ] + } + }, + "LUT6_2b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27554.5-27561.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1046 ], + "I1": [ 1121 ], + "I2": [ 1037 ], + "I3": [ 3115 ], + "I4": [ 2153 ], + "I5": [ 3114 ], + "O": [ 1426 ] + } + }, + "LUT6_2ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27565.5-27572.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1470 ], + "I1": [ 1239 ], + "I2": [ 1164 ], + "I3": [ 3099 ], + "I4": [ 1113 ], + "I5": [ 1215 ], + "O": [ 3102 ] + } + }, + "LUT6_2bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27576.5-27583.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1164 ], + "I1": [ 1802 ], + "I2": [ 1801 ], + "I3": [ 1798 ], + "I4": [ 1797 ], + "I5": [ 3103 ], + "O": [ 1171 ] + } + }, + "LUT6_2c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27587.5-27594.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 955 ], + "I1": [ 485 ], + "I2": [ 1678 ], + "I3": [ 505 ], + "I4": [ 1697 ], + "I5": [ 935 ], + "O": [ 3112 ] + } + }, + "LUT6_2d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27598.5-27605.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 867 ], + "I1": [ 2066 ], + "I2": [ 2067 ], + "I3": [ 1403 ], + "I4": [ 3112 ], + "I5": [ 1701 ], + "O": [ 2206 ] + } + }, + "LUT6_2e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27609.5-27616.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1701 ], + "I1": [ 2056 ], + "I2": [ 2201 ], + "I3": [ 777 ], + "I4": [ 867 ], + "I5": [ 2066 ], + "O": [ 2205 ] + } + }, + "LUT6_2f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27620.5-27627.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2068 ], + "I1": [ 1403 ], + "I2": [ 2756 ], + "I3": [ 2758 ], + "I4": [ 2066 ], + "I5": [ 2207 ], + "O": [ 1604 ] + } + }, + "LUT6_3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27631.5-27638.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2140 ], + "I1": [ 1619 ], + "I2": [ 2482 ], + "I3": [ 2249 ], + "I4": [ 1213 ], + "I5": [ 1732 ], + "O": [ 1560 ] + } + }, + "LUT6_30": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27642.5-27649.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2205 ], + "I1": [ 2204 ], + "I2": [ 2206 ], + "I3": [ 2197 ], + "I4": [ 3112 ], + "I5": [ 2067 ], + "O": [ 1648 ] + } + }, + "LUT6_31": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27653.5-27660.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1611 ], + "I1": [ 197 ], + "I2": [ 543 ], + "I3": [ 1803 ], + "I4": [ 2527 ], + "I5": [ 489 ], + "O": [ 2780 ] + } + }, + "LUT6_32": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27664.5-27671.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1734 ], + "I1": [ 1733 ], + "I2": [ 1578 ], + "I3": [ 2483 ], + "I4": [ 2210 ], + "I5": [ 2778 ], + "O": [ 1646 ] + } + }, + "LUT6_33": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27675.5-27682.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 535 ], + "I1": [ 2052 ], + "I2": [ 1719 ], + "I3": [ 1695 ], + "I4": [ 723 ], + "I5": [ 849 ], + "O": [ 2483 ] + } + }, + "LUT6_34": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27686.5-27693.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1783 ], + "I1": [ 257 ], + "I2": [ 1654 ], + "I3": [ 3107 ], + "I4": [ 1019 ], + "I5": [ 2209 ], + "O": [ 2779 ] + } + }, + "LUT6_35": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27697.5-27704.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1654 ], + "I1": [ 433 ], + "I2": [ 1783 ], + "I3": [ 257 ], + "I4": [ 3107 ], + "I5": [ 3106 ], + "O": [ 3116 ] + } + }, + "LUT6_36": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27708.5-27715.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 257 ], + "I1": [ 3116 ], + "I2": [ 3107 ], + "I3": [ 433 ], + "I4": [ 2781 ], + "I5": [ 1654 ], + "O": [ 1667 ] + } + }, + "LUT6_37": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27719.5-27726.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 433 ], + "I1": [ 1761 ], + "I2": [ 257 ], + "I3": [ 3116 ], + "I4": [ 1783 ], + "I5": [ 3107 ], + "O": [ 1760 ] + } + }, + "LUT6_38": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27730.5-27737.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 723 ], + "I1": [ 2483 ], + "I2": [ 3106 ], + "I3": [ 1654 ], + "I4": [ 1680 ], + "I5": [ 1719 ], + "O": [ 1782 ] + } + }, + "LUT6_39": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27741.5-27748.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1761 ], + "I1": [ 1783 ], + "I2": [ 1782 ], + "I3": [ 2525 ], + "I4": [ 2782 ], + "I5": [ 2209 ], + "O": [ 1616 ] + } + }, + "LUT6_3a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27752.5-27759.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 933 ], + "I1": [ 1596 ], + "I2": [ 1685 ], + "I3": [ 539 ], + "I4": [ 433 ], + "I5": [ 2783 ], + "O": [ 1734 ] + } + }, + "LUT6_3b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27763.5-27770.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1713 ], + "I1": [ 1703 ], + "I2": [ 479 ], + "I3": [ 1685 ], + "I4": [ 859 ], + "I5": [ 833 ], + "O": [ 2528 ] + } + }, + "LUT6_3c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27774.5-27781.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 577 ], + "I1": [ 2784 ], + "I2": [ 2531 ], + "I3": [ 2530 ], + "I4": [ 1804 ], + "I5": [ 1734 ], + "O": [ 1625 ] + } + }, + "LUT6_3d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27785.5-27792.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1695 ], + "I1": [ 1578 ], + "I2": [ 931 ], + "I3": [ 531 ], + "I4": [ 723 ], + "I5": [ 1680 ], + "O": [ 3117 ] + } + }, + "LUT6_3e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27796.5-27803.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2532 ], + "I1": [ 1680 ], + "I2": [ 1695 ], + "I3": [ 931 ], + "I4": [ 3108 ], + "I5": [ 723 ], + "O": [ 3118 ] + } + }, + "LUT6_3f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27807.5-27814.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 3117 ], + "I2": [ 1717 ], + "I3": [ 531 ], + "I4": [ 2219 ], + "I5": [ 1703 ], + "O": [ 3119 ] + } + }, + "LUT6_4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27818.5-27825.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 885 ], + "I1": [ 579 ], + "I2": [ 981 ], + "I3": [ 1839 ], + "I4": [ 1391 ], + "I5": [ 1557 ], + "O": [ 2803 ] + } + }, + "LUT6_40": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27829.5-27836.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1705 ], + "I1": [ 845 ], + "I2": [ 393 ], + "I3": [ 3119 ], + "I4": [ 531 ], + "I5": [ 3117 ], + "O": [ 1603 ] + } + }, + "LUT6_41": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27840.5-27847.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 1717 ], + "I2": [ 1633 ], + "I3": [ 1680 ], + "I4": [ 1705 ], + "I5": [ 393 ], + "O": [ 1805 ] + } + }, + "LUT6_42": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27851.5-27858.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1039 ], + "I1": [ 937 ], + "I2": [ 1584 ], + "I3": [ 510 ], + "I4": [ 433 ], + "I5": [ 1019 ], + "O": [ 2214 ] + } + }, + "LUT6_43": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27862.5-27869.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 937 ], + "I1": [ 433 ], + "I2": [ 2214 ], + "I3": [ 510 ], + "I4": [ 1039 ], + "I5": [ 1584 ], + "O": [ 3120 ] + } + }, + "LUT6_44": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27873.5-27880.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2533 ], + "I1": [ 2536 ], + "I2": [ 2215 ], + "I3": [ 1140 ], + "I4": [ 2537 ], + "I5": [ 3118 ], + "O": [ 3121 ] + } + }, + "LUT6_45": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27884.5-27891.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2217 ], + "I1": [ 2222 ], + "I2": [ 2535 ], + "I3": [ 3121 ], + "I4": [ 933 ], + "I5": [ 533 ], + "O": [ 1673 ] + } + }, + "LUT6_46": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27895.5-27902.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 537 ], + "I1": [ 2534 ], + "I2": [ 433 ], + "I3": [ 1685 ], + "I4": [ 2216 ], + "I5": [ 1806 ], + "O": [ 2538 ] + } + }, + "LUT6_47": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27906.5-27913.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2538 ], + "I1": [ 2216 ], + "I2": [ 537 ], + "I3": [ 433 ], + "I4": [ 1685 ], + "I5": [ 2534 ], + "O": [ 2563 ] + } + }, + "LUT6_48": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27917.5-27924.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2222 ], + "I1": [ 379 ], + "I2": [ 539 ], + "I3": [ 1019 ], + "I4": [ 2550 ], + "I5": [ 543 ], + "O": [ 1761 ] + } + }, + "LUT6_49": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27928.5-27935.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1019 ], + "I1": [ 2218 ], + "I2": [ 1761 ], + "I3": [ 2219 ], + "I4": [ 3120 ], + "I5": [ 2562 ], + "O": [ 3122 ] + } + }, + "LUT6_4a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27939.5-27946.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1019 ], + "I1": [ 2218 ], + "I2": [ 2219 ], + "I3": [ 1761 ], + "I4": [ 3120 ], + "I5": [ 3122 ], + "O": [ 1634 ] + } + }, + "LUT6_4b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27950.5-27957.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 535 ], + "I1": [ 2778 ], + "I2": [ 1661 ], + "I3": [ 435 ], + "I4": [ 487 ], + "I5": [ 833 ], + "O": [ 3123 ] + } + }, + "LUT6_4c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27961.5-27968.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 539 ], + "I1": [ 543 ], + "I2": [ 1624 ], + "I3": [ 1380 ], + "I4": [ 1605 ], + "I5": [ 185 ], + "O": [ 2221 ] + } + }, + "LUT6_4d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27972.5-27979.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2540 ], + "I1": [ 2221 ], + "I2": [ 1138 ], + "I3": [ 2222 ], + "I4": [ 3123 ], + "I5": [ 1807 ], + "O": [ 1808 ] + } + }, + "LUT6_4e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27983.5-27990.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1683 ], + "I1": [ 229 ], + "I2": [ 1652 ], + "I3": [ 1368 ], + "I4": [ 1137 ], + "I5": [ 955 ], + "O": [ 2542 ] + } + }, + "LUT6_4f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:27994.5-28001.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 895 ], + "I1": [ 2542 ], + "I2": [ 1674 ], + "I3": [ 175 ], + "I4": [ 229 ], + "I5": [ 763 ], + "O": [ 2789 ] + } + }, + "LUT6_5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28005.5-28012.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1048 ], + "I1": [ 1548 ], + "I2": [ 993 ], + "I3": [ 1559 ], + "I4": [ 1729 ], + "I5": [ 2008 ], + "O": [ 3124 ] + } + }, + "LUT6_50": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28016.5-28023.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1241 ], + "I1": [ 763 ], + "I2": [ 179 ], + "I3": [ 1141 ], + "I4": [ 1814 ], + "I5": [ 1466 ], + "O": [ 3125 ] + } + }, + "LUT6_51": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28027.5-28034.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1640 ], + "I1": [ 3125 ], + "I2": [ 2225 ], + "I3": [ 2224 ], + "I4": [ 2229 ], + "I5": [ 175 ], + "O": [ 1614 ] + } + }, + "LUT6_52": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28038.5-28045.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2224 ], + "I1": [ 2229 ], + "I2": [ 229 ], + "I3": [ 2225 ], + "I4": [ 175 ], + "I5": [ 3125 ], + "O": [ 2543 ] + } + }, + "LUT6_53": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28049.5-28056.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2224 ], + "I1": [ 2543 ], + "I2": [ 2225 ], + "I3": [ 229 ], + "I4": [ 2229 ], + "I5": [ 175 ], + "O": [ 1694 ] + } + }, + "LUT6_54": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28060.5-28067.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1814 ], + "I1": [ 2547 ], + "I2": [ 1813 ], + "I3": [ 2546 ], + "I4": [ 2545 ], + "I5": [ 2230 ], + "O": [ 1606 ] + } + }, + "LUT6_55": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28071.5-28078.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1466 ], + "I1": [ 1318 ], + "I2": [ 2227 ], + "I3": [ 1580 ], + "I4": [ 1816 ], + "I5": [ 2548 ], + "O": [ 1817 ] + } + }, + "LUT6_56": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28082.5-28089.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1580 ], + "I1": [ 1817 ], + "I2": [ 2227 ], + "I3": [ 1318 ], + "I4": [ 1466 ], + "I5": [ 1816 ], + "O": [ 1635 ] + } + }, + "LUT6_57": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28093.5-28100.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 259 ], + "I1": [ 1580 ], + "I2": [ 815 ], + "I3": [ 1819 ], + "I4": [ 783 ], + "I5": [ 555 ], + "O": [ 1818 ] + } + }, + "LUT6_58": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28104.5-28111.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2785 ], + "I1": [ 901 ], + "I2": [ 1633 ], + "I3": [ 1680 ], + "I4": [ 781 ], + "I5": [ 555 ], + "O": [ 3126 ] + } + }, + "LUT6_59": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28115.5-28122.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1652 ], + "I1": [ 2790 ], + "I2": [ 2228 ], + "I3": [ 3126 ], + "I4": [ 1139 ], + "I5": [ 1827 ], + "O": [ 3127 ] + } + }, + "LUT6_5a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28126.5-28133.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1652 ], + "I1": [ 2228 ], + "I2": [ 1139 ], + "I3": [ 2790 ], + "I4": [ 3126 ], + "I5": [ 3127 ], + "O": [ 1702 ] + } + }, + "LUT6_5b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28137.5-28144.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1823 ], + "I1": [ 957 ], + "I2": [ 379 ], + "I3": [ 2228 ], + "I4": [ 783 ], + "I5": [ 2230 ], + "O": [ 1704 ] + } + }, + "LUT6_5c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28148.5-28155.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 783 ], + "I1": [ 379 ], + "I2": [ 957 ], + "I3": [ 1820 ], + "I4": [ 2228 ], + "I5": [ 2792 ], + "O": [ 1822 ] + } + }, + "LUT6_5d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28159.5-28166.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1822 ], + "I1": [ 957 ], + "I2": [ 1820 ], + "I3": [ 379 ], + "I4": [ 2228 ], + "I5": [ 783 ], + "O": [ 1629 ] + } + }, + "LUT6_5e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28170.5-28177.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2549 ], + "I1": [ 687 ], + "I2": [ 1824 ], + "I3": [ 1316 ], + "I4": [ 1314 ], + "I5": [ 859 ], + "O": [ 1811 ] + } + }, + "LUT6_5f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28181.5-28188.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1416 ], + "I1": [ 1656 ], + "I2": [ 1719 ], + "I3": [ 531 ], + "I4": [ 1396 ], + "I5": [ 1592 ], + "O": [ 1825 ] + } + }, + "LUT6_6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28192.5-28199.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2432 ], + "I1": [ 2008 ], + "I2": [ 993 ], + "I3": [ 2142 ], + "I4": [ 2803 ], + "I5": [ 1559 ], + "O": [ 1821 ] + } + }, + "LUT6_60": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28203.5-28210.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1825 ], + "I1": [ 2106 ], + "I2": [ 2551 ], + "I3": [ 1826 ], + "I4": [ 1666 ], + "I5": [ 1416 ], + "O": [ 2793 ] + } + }, + "LUT6_61": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28214.5-28221.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1592 ], + "I1": [ 1622 ], + "I2": [ 2787 ], + "I3": [ 3109 ], + "I4": [ 2542 ], + "I5": [ 1626 ], + "O": [ 2553 ] + } + }, + "LUT6_62": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28225.5-28232.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1719 ], + "I1": [ 687 ], + "I2": [ 2106 ], + "I3": [ 1664 ], + "I4": [ 1314 ], + "I5": [ 937 ], + "O": [ 2787 ] + } + }, + "LUT6_63": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28236.5-28243.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1622 ], + "I1": [ 759 ], + "I2": [ 947 ], + "I3": [ 1592 ], + "I4": [ 1628 ], + "I5": [ 2106 ], + "O": [ 1831 ] + } + }, + "LUT6_64": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28247.5-28254.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2555 ], + "I1": [ 859 ], + "I2": [ 1664 ], + "I3": [ 2541 ], + "I4": [ 1656 ], + "I5": [ 1631 ], + "O": [ 1663 ] + } + }, + "LUT6_65": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28258.5-28265.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1416 ], + "I1": [ 531 ], + "I2": [ 1683 ], + "I3": [ 1827 ], + "I4": [ 2795 ], + "I5": [ 1314 ], + "O": [ 2559 ] + } + }, + "LUT6_66": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28269.5-28276.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1396 ], + "I1": [ 837 ], + "I2": [ 2234 ], + "I3": [ 1837 ], + "I4": [ 2795 ], + "I5": [ 1719 ], + "O": [ 2236 ] + } + }, + "LUT6_67": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28280.5-28287.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 715 ], + "I2": [ 833 ], + "I3": [ 2696 ], + "I4": [ 951 ], + "I5": [ 1586 ], + "O": [ 3128 ] + } + }, + "LUT6_68": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28291.5-28298.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 2796 ], + "I2": [ 907 ], + "I3": [ 1626 ], + "I4": [ 1659 ], + "I5": [ 1607 ], + "O": [ 3076 ] + } + }, + "LUT6_69": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28302.5-28309.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3128 ], + "I1": [ 1605 ], + "I2": [ 715 ], + "I3": [ 2208 ], + "I4": [ 3076 ], + "I5": [ 2550 ], + "O": [ 3129 ] + } + }, + "LUT6_6a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28313.5-28320.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3076 ], + "I1": [ 2208 ], + "I2": [ 2550 ], + "I3": [ 715 ], + "I4": [ 3129 ], + "I5": [ 3128 ], + "O": [ 1599 ] + } + }, + "LUT6_6b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28324.5-28331.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1412 ], + "I1": [ 927 ], + "I2": [ 375 ], + "I3": [ 1386 ], + "I4": [ 1659 ], + "I5": [ 977 ], + "O": [ 1845 ] + } + }, + "LUT6_6c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28335.5-28342.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 193 ], + "I1": [ 487 ], + "I2": [ 1685 ], + "I3": [ 889 ], + "I4": [ 1401 ], + "I5": [ 1399 ], + "O": [ 2560 ] + } + }, + "LUT6_6d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28346.5-28353.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1615 ], + "I1": [ 889 ], + "I2": [ 181 ], + "I3": [ 1330 ], + "I4": [ 1672 ], + "I5": [ 533 ], + "O": [ 2239 ] + } + }, + "LUT6_6e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28357.5-28364.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2561 ], + "I1": [ 1330 ], + "I2": [ 1597 ], + "I3": [ 1577 ], + "I4": [ 1403 ], + "I5": [ 1843 ], + "O": [ 1708 ] + } + }, + "LUT6_6f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28368.5-28375.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 217 ], + "I1": [ 1615 ], + "I2": [ 1003 ], + "I3": [ 1394 ], + "I4": [ 1672 ], + "I5": [ 1244 ], + "O": [ 3130 ] + } + }, + "LUT6_7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28379.5-28386.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2803 ], + "I1": [ 993 ], + "I2": [ 2008 ], + "I3": [ 3124 ], + "I4": [ 545 ], + "I5": [ 1048 ], + "O": [ 3131 ] + } + }, + "LUT6_70": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28390.5-28397.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1672 ], + "I1": [ 217 ], + "I2": [ 3130 ], + "I3": [ 1003 ], + "I4": [ 1615 ], + "I5": [ 1394 ], + "O": [ 2242 ] + } + }, + "LUT6_71": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28401.5-28408.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 217 ], + "I1": [ 1003 ], + "I2": [ 2242 ], + "I3": [ 1615 ], + "I4": [ 1672 ], + "I5": [ 3130 ], + "O": [ 1712 ] + } + }, + "LUT6_72": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28412.5-28419.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1555 ], + "I1": [ 1845 ], + "I2": [ 1396 ], + "I3": [ 2778 ], + "I4": [ 1399 ], + "I5": [ 487 ], + "O": [ 1846 ] + } + }, + "LUT6_73": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28423.5-28430.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1399 ], + "I1": [ 1846 ], + "I2": [ 1396 ], + "I3": [ 1845 ], + "I4": [ 1555 ], + "I5": [ 2778 ], + "O": [ 1577 ] + } + }, + "LUT6_74": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28434.5-28441.21" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 505 ], + "I1": [ 1638 ], + "I2": [ 1572 ], + "I3": [ 935 ], + "I4": [ 1408 ], + "I5": [ 1605 ], + "O": [ 1019 ] + } + }, + "LUT6_75": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28445.5-28452.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2688 ], + "I1": [ 1869 ], + "I2": [ 1966 ], + "I3": [ 3095 ], + "I4": [ 491 ], + "I5": [ 2798 ], + "O": [ 2244 ] + } + }, + "LUT6_76": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28456.5-28463.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1676 ], + "I1": [ 707 ], + "I2": [ 1709 ], + "I3": [ 901 ], + "I4": [ 257 ], + "I5": [ 995 ], + "O": [ 1849 ] + } + }, + "LUT6_77": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28467.5-28474.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2798 ], + "I1": [ 911 ], + "I2": [ 2799 ], + "I3": [ 765 ], + "I4": [ 237 ], + "I5": [ 1624 ], + "O": [ 2801 ] + } + }, + "LUT6_78": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28478.5-28485.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 495 ], + "I1": [ 659 ], + "I2": [ 765 ], + "I3": [ 3077 ], + "I4": [ 2799 ], + "I5": [ 1984 ], + "O": [ 2800 ] + } + }, + "LUT6_79": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28489.5-28496.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3079 ], + "I1": [ 3078 ], + "I2": [ 2246 ], + "I3": [ 659 ], + "I4": [ 237 ], + "I5": [ 765 ], + "O": [ 492 ] + } + }, + "LUT6_7a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28500.5-28507.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3077 ], + "I1": [ 765 ], + "I2": [ 237 ], + "I3": [ 1641 ], + "I4": [ 1984 ], + "I5": [ 2799 ], + "O": [ 2246 ] + } + }, + "LUT6_7b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28511.5-28518.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2566 ], + "I1": [ 1867 ], + "I2": [ 497 ], + "I3": [ 249 ], + "I4": [ 393 ], + "I5": [ 1638 ], + "O": [ 1880 ] + } + }, + "LUT6_7c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28522.5-28529.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1695 ], + "I1": [ 199 ], + "I2": [ 553 ], + "I3": [ 1867 ], + "I4": [ 249 ], + "I5": [ 497 ], + "O": [ 1848 ] + } + }, + "LUT6_7d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28533.5-28540.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1867 ], + "I1": [ 713 ], + "I2": [ 553 ], + "I3": [ 2002 ], + "I4": [ 249 ], + "I5": [ 831 ], + "O": [ 2567 ] + } + }, + "LUT6_7e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28544.5-28551.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 713 ], + "I1": [ 2002 ], + "I2": [ 831 ], + "I3": [ 1867 ], + "I4": [ 553 ], + "I5": [ 2567 ], + "O": [ 2248 ] + } + }, + "LUT6_7f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28555.5-28562.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3082 ], + "I1": [ 1850 ], + "I2": [ 869 ], + "I3": [ 519 ], + "I4": [ 1136 ], + "I5": [ 1958 ], + "O": [ 3083 ] + } + }, + "LUT6_8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28566.5-28573.17" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1548 ], + "I1": [ 2142 ], + "I2": [ 1729 ], + "I3": [ 2432 ], + "I4": [ 3131 ], + "I5": [ 2803 ], + "O": [ 165 ] + } + }, + "LUT6_80": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28577.5-28584.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 865 ], + "I1": [ 519 ], + "I2": [ 1958 ], + "I3": [ 537 ], + "I4": [ 869 ], + "I5": [ 2566 ], + "O": [ 3082 ] + } + }, + "LUT6_81": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28588.5-28595.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2570 ], + "I1": [ 865 ], + "I2": [ 2569 ], + "I3": [ 1869 ], + "I4": [ 1695 ], + "I5": [ 553 ], + "O": [ 2571 ] + } + }, + "LUT6_82": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28599.5-28606.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 859 ], + "I2": [ 713 ], + "I3": [ 865 ], + "I4": [ 553 ], + "I5": [ 699 ], + "O": [ 2572 ] + } + }, + "LUT6_83": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28610.5-28617.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2252 ], + "I1": [ 2572 ], + "I2": [ 2571 ], + "I3": [ 865 ], + "I4": [ 1851 ], + "I5": [ 2253 ], + "O": [ 3132 ] + } + }, + "LUT6_84": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28621.5-28628.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2253 ], + "I1": [ 2252 ], + "I2": [ 1851 ], + "I3": [ 2573 ], + "I4": [ 3132 ], + "I5": [ 2250 ], + "O": [ 252 ] + } + }, + "LUT6_85": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28632.5-28639.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 659 ], + "I1": [ 693 ], + "I2": [ 567 ], + "I3": [ 1590 ], + "I4": [ 1647 ], + "I5": [ 567 ], + "O": [ 1132 ] + } + }, + "LUT6_86": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28643.5-28650.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 177 ], + "I1": [ 171 ], + "I2": [ 765 ], + "I3": [ 751 ], + "I4": [ 1641 ], + "I5": [ 1466 ], + "O": [ 2791 ] + } + }, + "LUT6_87": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28654.5-28661.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2893 ], + "I1": [ 2258 ], + "I2": [ 2807 ], + "I3": [ 2812 ], + "I4": [ 1854 ], + "I5": [ 3084 ], + "O": [ 2985 ] + } + }, + "LUT6_88": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28665.5-28672.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2256 ], + "I1": [ 3133 ], + "I2": [ 1853 ], + "I3": [ 2806 ], + "I4": [ 1274 ], + "I5": [ 2255 ], + "O": [ 520 ] + } + }, + "LUT6_89": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28676.5-28683.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3085 ], + "I1": [ 1274 ], + "I2": [ 401 ], + "I3": [ 2255 ], + "I4": [ 1852 ], + "I5": [ 2806 ], + "O": [ 3133 ] + } + }, + "LUT6_8a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28687.5-28694.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 1274 ], + "I2": [ 1852 ], + "I3": [ 2255 ], + "I4": [ 3085 ], + "I5": [ 3133 ], + "O": [ 526 ] + } + }, + "LUT6_8b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28698.5-28705.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1127 ], + "I1": [ 2255 ], + "I2": [ 921 ], + "I3": [ 3084 ], + "I4": [ 2812 ], + "I5": [ 699 ], + "O": [ 2893 ] + } + }, + "LUT6_8c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28709.5-28716.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2574 ], + "I1": [ 2807 ], + "I2": [ 2255 ], + "I3": [ 819 ], + "I4": [ 1127 ], + "I5": [ 921 ], + "O": [ 2257 ] + } + }, + "LUT6_8d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28720.5-28727.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2810 ], + "I1": [ 765 ], + "I2": [ 485 ], + "I3": [ 2106 ], + "I4": [ 1709 ], + "I5": [ 237 ], + "O": [ 2577 ] + } + }, + "LUT6_8e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28731.5-28738.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1854 ], + "I1": [ 2578 ], + "I2": [ 2577 ], + "I3": [ 2576 ], + "I4": [ 2808 ], + "I5": [ 1419 ], + "O": [ 554 ] + } + }, + "LUT6_8f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28742.5-28749.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 753 ], + "I1": [ 1419 ], + "I2": [ 237 ], + "I3": [ 215 ], + "I4": [ 705 ], + "I5": [ 2578 ], + "O": [ 1854 ] + } + }, + "LUT6_9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28753.5-28760.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1008 ], + "I1": [ 1001 ], + "I2": [ 647 ], + "I3": [ 613 ], + "I4": [ 2432 ], + "I5": [ 1286 ], + "O": [ 2444 ] + } + }, + "LUT6_90": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28764.5-28771.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1856 ], + "I1": [ 1858 ], + "I2": [ 1855 ], + "I3": [ 2258 ], + "I4": [ 2106 ], + "I5": [ 1854 ], + "O": [ 196 ] + } + }, + "LUT6_91": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28775.5-28782.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1858 ], + "I1": [ 1854 ], + "I2": [ 1855 ], + "I3": [ 1857 ], + "I4": [ 2106 ], + "I5": [ 2258 ], + "O": [ 574 ] + } + }, + "LUT6_92": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28786.5-28793.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 751 ], + "I1": [ 2811 ], + "I2": [ 171 ], + "I3": [ 711 ], + "I4": [ 3084 ], + "I5": [ 831 ], + "O": [ 2807 ] + } + }, + "LUT6_93": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28797.5-28804.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2260 ], + "I1": [ 2579 ], + "I2": [ 1854 ], + "I3": [ 2258 ], + "I4": [ 1638 ], + "I5": [ 751 ], + "O": [ 210 ] + } + }, + "LUT6_94": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28808.5-28815.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2259 ], + "I1": [ 2258 ], + "I2": [ 751 ], + "I3": [ 2812 ], + "I4": [ 1638 ], + "I5": [ 1854 ], + "O": [ 2579 ] + } + }, + "LUT6_95": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28819.5-28826.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 401 ], + "I1": [ 2052 ], + "I2": [ 2813 ], + "I3": [ 2404 ], + "I4": [ 767 ], + "I5": [ 3084 ], + "O": [ 2574 ] + } + }, + "LUT6_96": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28830.5-28837.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1859 ], + "I1": [ 1860 ], + "I2": [ 383 ], + "I3": [ 2570 ], + "I4": [ 439 ], + "I5": [ 2262 ], + "O": [ 2580 ] + } + }, + "LUT6_97": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28841.5-28848.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 251 ], + "I1": [ 439 ], + "I2": [ 753 ], + "I3": [ 229 ], + "I4": [ 2258 ], + "I5": [ 531 ], + "O": [ 3134 ] + } + }, + "LUT6_98": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28852.5-28859.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2574 ], + "I1": [ 1136 ], + "I2": [ 1037 ], + "I3": [ 3134 ], + "I4": [ 2261 ], + "I5": [ 2893 ], + "O": [ 2583 ] + } + }, + "LUT6_99": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28863.5-28870.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 921 ], + "I1": [ 371 ], + "I2": [ 205 ], + "I3": [ 261 ], + "I4": [ 705 ], + "I5": [ 2812 ], + "O": [ 2815 ] + } + }, + "LUT6_9a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28874.5-28881.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1854 ], + "I1": [ 2261 ], + "I2": [ 673 ], + "I3": [ 485 ], + "I4": [ 765 ], + "I5": [ 2262 ], + "O": [ 2585 ] + } + }, + "LUT6_9b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28885.5-28892.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1865 ], + "I1": [ 2584 ], + "I2": [ 2263 ], + "I3": [ 2264 ], + "I4": [ 1132 ], + "I5": [ 765 ], + "O": [ 2265 ] + } + }, + "LUT6_9c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28896.5-28903.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2264 ], + "I1": [ 2265 ], + "I2": [ 1132 ], + "I3": [ 2584 ], + "I4": [ 1865 ], + "I5": [ 2263 ], + "O": [ 262 ] + } + }, + "LUT6_9d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28907.5-28914.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 203 ], + "I1": [ 2264 ], + "I2": [ 699 ], + "I3": [ 2585 ], + "I4": [ 2584 ], + "I5": [ 1859 ], + "O": [ 2816 ] + } + }, + "LUT6_9e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28918.5-28925.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1135 ], + "I1": [ 805 ], + "I2": [ 827 ], + "I3": [ 1869 ], + "I4": [ 219 ], + "I5": [ 182 ], + "O": [ 2822 ] + } + }, + "LUT6_9f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28929.5-28936.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 807 ], + "I1": [ 253 ], + "I2": [ 2340 ], + "I3": [ 177 ], + "I4": [ 567 ], + "I5": [ 173 ], + "O": [ 2266 ] + } + }, + "LUT6_a": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28940.5-28947.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1699 ], + "I1": [ 963 ], + "I2": [ 1048 ], + "I3": [ 885 ], + "I4": [ 545 ], + "I5": [ 1433 ], + "O": [ 3135 ] + } + }, + "LUT6_a0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28951.5-28958.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 759 ], + "I1": [ 2266 ], + "I2": [ 481 ], + "I3": [ 2818 ], + "I4": [ 1019 ], + "I5": [ 2819 ], + "O": [ 2268 ] + } + }, + "LUT6_a1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28962.5-28969.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2266 ], + "I1": [ 2822 ], + "I2": [ 1867 ], + "I3": [ 2824 ], + "I4": [ 1866 ], + "I5": [ 759 ], + "O": [ 2823 ] + } + }, + "LUT6_a2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28973.5-28980.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1868 ], + "I1": [ 2267 ], + "I2": [ 2824 ], + "I3": [ 2266 ], + "I4": [ 1867 ], + "I5": [ 2823 ], + "O": [ 236 ] + } + }, + "LUT6_a3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28984.5-28991.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1867 ], + "I1": [ 1866 ], + "I2": [ 2822 ], + "I3": [ 2824 ], + "I4": [ 2825 ], + "I5": [ 2823 ], + "O": [ 220 ] + } + }, + "LUT6_a4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:28995.5-29002.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1019 ], + "I1": [ 481 ], + "I2": [ 2268 ], + "I3": [ 1879 ], + "I4": [ 3136 ], + "I5": [ 2821 ], + "O": [ 570 ] + } + }, + "LUT6_a5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29006.5-29013.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2819 ], + "I1": [ 805 ], + "I2": [ 479 ], + "I3": [ 561 ], + "I4": [ 415 ], + "I5": [ 215 ], + "O": [ 3136 ] + } + }, + "LUT6_a6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29017.5-29024.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 479 ], + "I1": [ 231 ], + "I2": [ 805 ], + "I3": [ 415 ], + "I4": [ 827 ], + "I5": [ 227 ], + "O": [ 2819 ] + } + }, + "LUT6_a7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29028.5-29035.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 805 ], + "I1": [ 227 ], + "I2": [ 415 ], + "I3": [ 2824 ], + "I4": [ 479 ], + "I5": [ 827 ], + "O": [ 2826 ] + } + }, + "LUT6_a8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29039.5-29046.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 231 ], + "I1": [ 1466 ], + "I2": [ 2817 ], + "I3": [ 815 ], + "I4": [ 2340 ], + "I5": [ 2828 ], + "O": [ 2587 ] + } + }, + "LUT6_a9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29050.5-29057.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 561 ], + "I1": [ 191 ], + "I2": [ 215 ], + "I3": [ 2272 ], + "I4": [ 2829 ], + "I5": [ 2830 ], + "O": [ 190 ] + } + }, + "LUT6_aa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29061.5-29068.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 229 ], + "I1": [ 177 ], + "I2": [ 213 ], + "I3": [ 173 ], + "I4": [ 547 ], + "I5": [ 191 ], + "O": [ 1866 ] + } + }, + "LUT6_ab": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29072.5-29079.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 225 ], + "I1": [ 193 ], + "I2": [ 1003 ], + "I3": [ 259 ], + "I4": [ 1399 ], + "I5": [ 2829 ], + "O": [ 546 ] + } + }, + "LUT6_ac": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29083.5-29090.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 193 ], + "I1": [ 2271 ], + "I2": [ 1003 ], + "I3": [ 1399 ], + "I4": [ 259 ], + "I5": [ 225 ], + "O": [ 2820 ] + } + }, + "LUT6_ad": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29094.5-29101.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 567 ], + "I1": [ 219 ], + "I2": [ 1877 ], + "I3": [ 182 ], + "I4": [ 1003 ], + "I5": [ 2596 ], + "O": [ 3137 ] + } + }, + "LUT6_ae": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29105.5-29112.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2340 ], + "I1": [ 815 ], + "I2": [ 1131 ], + "I3": [ 553 ], + "I4": [ 189 ], + "I5": [ 1135 ], + "O": [ 2818 ] + } + }, + "LUT6_af": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29116.5-29123.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2596 ], + "I1": [ 3137 ], + "I2": [ 219 ], + "I3": [ 2791 ], + "I4": [ 2828 ], + "I5": [ 567 ], + "O": [ 2274 ] + } + }, + "LUT6_b": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29127.5-29134.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 845 ], + "I1": [ 991 ], + "I2": [ 993 ], + "I3": [ 489 ], + "I4": [ 3135 ], + "I5": [ 2558 ], + "O": [ 2497 ] + } + }, + "LUT6_b0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29138.5-29145.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1003 ], + "I1": [ 182 ], + "I2": [ 499 ], + "I3": [ 1877 ], + "I4": [ 2340 ], + "I5": [ 209 ], + "O": [ 3138 ] + } + }, + "LUT6_b1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29149.5-29156.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 182 ], + "I1": [ 1003 ], + "I2": [ 499 ], + "I3": [ 1877 ], + "I4": [ 2340 ], + "I5": [ 209 ], + "O": [ 3139 ] + } + }, + "LUT6_b2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29160.5-29167.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3139 ], + "I1": [ 209 ], + "I2": [ 1003 ], + "I3": [ 3138 ], + "I4": [ 2340 ], + "I5": [ 1877 ], + "O": [ 2829 ] + } + }, + "LUT6_b3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29171.5-29178.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1876 ], + "I1": [ 2274 ], + "I2": [ 3139 ], + "I3": [ 1874 ], + "I4": [ 182 ], + "I5": [ 499 ], + "O": [ 1875 ] + } + }, + "LUT6_b4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29182.5-29189.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2274 ], + "I1": [ 729 ], + "I2": [ 2596 ], + "I3": [ 1003 ], + "I4": [ 2268 ], + "I5": [ 1866 ], + "O": [ 3140 ] + } + }, + "LUT6_b5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29193.5-29200.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1877 ], + "I1": [ 1878 ], + "I2": [ 2275 ], + "I3": [ 3140 ], + "I4": [ 3086 ], + "I5": [ 729 ], + "O": [ 1130 ] + } + }, + "LUT6_b6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29204.5-29211.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 193 ], + "I1": [ 255 ], + "I2": [ 1701 ], + "I3": [ 1274 ], + "I4": [ 997 ], + "I5": [ 207 ], + "O": [ 3141 ] + } + }, + "LUT6_b7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29215.5-29222.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1880 ], + "I1": [ 257 ], + "I2": [ 3141 ], + "I3": [ 237 ], + "I4": [ 705 ], + "I5": [ 2801 ], + "O": [ 3142 ] + } + }, + "LUT6_b8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29226.5-29233.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 237 ], + "I1": [ 1880 ], + "I2": [ 3142 ], + "I3": [ 257 ], + "I4": [ 3141 ], + "I5": [ 705 ], + "O": [ 258 ] + } + }, + "LUT6_b9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29237.5-29244.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 237 ], + "I1": [ 703 ], + "I2": [ 1883 ], + "I3": [ 293 ], + "I4": [ 751 ], + "I5": [ 309 ], + "O": [ 3143 ] + } + }, + "LUT6_ba": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29248.5-29255.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 297 ], + "I1": [ 1877 ], + "I2": [ 751 ], + "I3": [ 1884 ], + "I4": [ 377 ], + "I5": [ 837 ], + "O": [ 1885 ] + } + }, + "LUT6_bb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29259.5-29266.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 295 ], + "I1": [ 2961 ], + "I2": [ 171 ], + "I3": [ 1884 ], + "I4": [ 3143 ], + "I5": [ 273 ], + "O": [ 2833 ] + } + }, + "LUT6_bc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29270.5-29277.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1917 ], + "I1": [ 837 ], + "I2": [ 1888 ], + "I3": [ 1883 ], + "I4": [ 1887 ], + "I5": [ 2833 ], + "O": [ 3144 ] + } + }, + "LUT6_bd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29281.5-29288.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1888 ], + "I1": [ 837 ], + "I2": [ 1887 ], + "I3": [ 1883 ], + "I4": [ 3144 ], + "I5": [ 1917 ], + "O": [ 1122 ] + } + }, + "LUT6_be": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29292.5-29299.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 393 ], + "I1": [ 2688 ], + "I2": [ 739 ], + "I3": [ 1955 ], + "I4": [ 1958 ], + "I5": [ 271 ], + "O": [ 2588 ] + } + }, + "LUT6_bf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29303.5-29310.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 219 ], + "I1": [ 2338 ], + "I2": [ 1401 ], + "I3": [ 439 ], + "I4": [ 655 ], + "I5": [ 2596 ], + "O": [ 3145 ] + } + }, + "LUT6_c": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29314.5-29321.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3135 ], + "I1": [ 2232 ], + "I2": [ 991 ], + "I3": [ 1082 ], + "I4": [ 2558 ], + "I5": [ 845 ], + "O": [ 3146 ] + } + }, + "LUT6_c0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29325.5-29332.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 335 ], + "I1": [ 625 ], + "I2": [ 597 ], + "I3": [ 685 ], + "I4": [ 775 ], + "I5": [ 781 ], + "O": [ 1904 ] + } + }, + "LUT6_c1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29336.5-29343.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2283 ], + "I1": [ 583 ], + "I2": [ 667 ], + "I3": [ 311 ], + "I4": [ 793 ], + "I5": [ 597 ], + "O": [ 2590 ] + } + }, + "LUT6_c2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29347.5-29354.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 629 ], + "I1": [ 751 ], + "I2": [ 685 ], + "I3": [ 709 ], + "I4": [ 831 ], + "I5": [ 1641 ], + "O": [ 3147 ] + } + }, + "LUT6_c3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29358.5-29365.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1649 ], + "I1": [ 2570 ], + "I2": [ 3145 ], + "I3": [ 345 ], + "I4": [ 3147 ], + "I5": [ 2592 ], + "O": [ 3148 ] + } + }, + "LUT6_c4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29369.5-29376.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1904 ], + "I1": [ 2589 ], + "I2": [ 2279 ], + "I3": [ 2570 ], + "I4": [ 1891 ], + "I5": [ 3148 ], + "O": [ 2282 ] + } + }, + "LUT6_c5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29380.5-29387.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 625 ], + "I1": [ 1892 ], + "I2": [ 2591 ], + "I3": [ 242 ], + "I4": [ 1877 ], + "I5": [ 2290 ], + "O": [ 1898 ] + } + }, + "LUT6_c6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29391.5-29398.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1697 ], + "I1": [ 831 ], + "I2": [ 1649 ], + "I3": [ 2834 ], + "I4": [ 2283 ], + "I5": [ 199 ], + "O": [ 1899 ] + } + }, + "LUT6_c7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29402.5-29409.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 335 ], + "I1": [ 1641 ], + "I2": [ 685 ], + "I3": [ 663 ], + "I4": [ 2290 ], + "I5": [ 311 ], + "O": [ 3087 ] + } + }, + "LUT6_c8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29413.5-29420.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1641 ], + "I1": [ 629 ], + "I2": [ 2290 ], + "I3": [ 685 ], + "I4": [ 663 ], + "I5": [ 335 ], + "O": [ 2594 ] + } + }, + "LUT6_c9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29424.5-29431.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2594 ], + "I1": [ 629 ], + "I2": [ 335 ], + "I3": [ 1641 ], + "I4": [ 663 ], + "I5": [ 311 ], + "O": [ 3149 ] + } + }, + "LUT6_ca": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29435.5-29442.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1899 ], + "I1": [ 2594 ], + "I2": [ 1900 ], + "I3": [ 2595 ], + "I4": [ 2290 ], + "I5": [ 3149 ], + "O": [ 522 ] + } + }, + "LUT6_cb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29446.5-29453.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1687 ], + "I1": [ 1619 ], + "I2": [ 345 ], + "I3": [ 709 ], + "I4": [ 2798 ], + "I5": [ 491 ], + "O": [ 2285 ] + } + }, + "LUT6_cc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29457.5-29464.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 625 ], + "I1": [ 751 ], + "I2": [ 2283 ], + "I3": [ 199 ], + "I4": [ 583 ], + "I5": [ 3145 ], + "O": [ 3150 ] + } + }, + "LUT6_cd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29468.5-29475.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 199 ], + "I1": [ 751 ], + "I2": [ 625 ], + "I3": [ 2283 ], + "I4": [ 488 ], + "I5": [ 3150 ], + "O": [ 198 ] + } + }, + "LUT6_ce": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29479.5-29486.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 831 ], + "I1": [ 1687 ], + "I2": [ 597 ], + "I3": [ 1619 ], + "I4": [ 685 ], + "I5": [ 1877 ], + "O": [ 1903 ] + } + }, + "LUT6_cf": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29490.5-29497.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1909 ], + "I1": [ 1908 ], + "I2": [ 2596 ], + "I3": [ 1131 ], + "I4": [ 781 ], + "I5": [ 1905 ], + "O": [ 176 ] + } + }, + "LUT6_d": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29501.5-29508.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1082 ], + "I1": [ 2213 ], + "I2": [ 845 ], + "I3": [ 2060 ], + "I4": [ 2497 ], + "I5": [ 3135 ], + "O": [ 2557 ] + } + }, + "LUT6_d0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29512.5-29519.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 775 ], + "I1": [ 1907 ], + "I2": [ 1697 ], + "I3": [ 538 ], + "I4": [ 2592 ], + "I5": [ 2286 ], + "O": [ 1906 ] + } + }, + "LUT6_d1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29523.5-29530.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 739 ], + "I1": [ 1676 ], + "I2": [ 311 ], + "I3": [ 239 ], + "I4": [ 831 ], + "I5": [ 1619 ], + "O": [ 2287 ] + } + }, + "LUT6_d2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29534.5-29541.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1910 ], + "I1": [ 1877 ], + "I2": [ 2288 ], + "I3": [ 271 ], + "I4": [ 2592 ], + "I5": [ 2588 ], + "O": [ 3151 ] + } + }, + "LUT6_d3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29545.5-29552.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1910 ], + "I1": [ 271 ], + "I2": [ 1877 ], + "I3": [ 2592 ], + "I4": [ 3151 ], + "I5": [ 2288 ], + "O": [ 490 ] + } + }, + "LUT6_d4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29556.5-29563.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1877 ], + "I1": [ 583 ], + "I2": [ 2288 ], + "I3": [ 1904 ], + "I4": [ 751 ], + "I5": [ 242 ], + "O": [ 2835 ] + } + }, + "LUT6_d5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29567.5-29574.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2291 ], + "I1": [ 2292 ], + "I2": [ 3089 ], + "I3": [ 669 ], + "I4": [ 1133 ], + "I5": [ 3088 ], + "O": [ 2311 ] + } + }, + "LUT6_d6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29578.5-29585.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 657 ], + "I1": [ 567 ], + "I2": [ 639 ], + "I3": [ 2838 ], + "I4": [ 2837 ], + "I5": [ 2836 ], + "O": [ 286 ] + } + }, + "LUT6_d7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29589.5-29596.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 263 ], + "I2": [ 1638 ], + "I3": [ 1590 ], + "I4": [ 1003 ], + "I5": [ 1003 ], + "O": [ 3152 ] + } + }, + "LUT6_d8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29600.5-29607.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 343 ], + "I1": [ 653 ], + "I2": [ 679 ], + "I3": [ 997 ], + "I4": [ 187 ], + "I5": [ 1904 ], + "O": [ 3153 ] + } + }, + "LUT6_d9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29611.5-29618.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 285 ], + "I1": [ 619 ], + "I2": [ 645 ], + "I3": [ 587 ], + "I4": [ 259 ], + "I5": [ 325 ], + "O": [ 1915 ] + } + }, + "LUT6_da": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29622.5-29629.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1915 ], + "I1": [ 1914 ], + "I2": [ 1919 ], + "I3": [ 1918 ], + "I4": [ 2598 ], + "I5": [ 3152 ], + "O": [ 3154 ] + } + }, + "LUT6_db": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29633.5-29640.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1915 ], + "I1": [ 1914 ], + "I2": [ 1918 ], + "I3": [ 3154 ], + "I4": [ 1919 ], + "I5": [ 2598 ], + "O": [ 2971 ] + } + }, + "LUT6_dc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29644.5-29651.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 645 ], + "I1": [ 259 ], + "I2": [ 3152 ], + "I3": [ 479 ], + "I4": [ 1037 ], + "I5": [ 3153 ], + "O": [ 3155 ] + } + }, + "LUT6_dd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29655.5-29662.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 587 ], + "I1": [ 285 ], + "I2": [ 3155 ], + "I3": [ 379 ], + "I4": [ 619 ], + "I5": [ 325 ], + "O": [ 548 ] + } + }, + "LUT6_de": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29666.5-29673.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 665 ], + "I1": [ 715 ], + "I2": [ 795 ], + "I3": [ 671 ], + "I4": [ 697 ], + "I5": [ 651 ], + "O": [ 2834 ] + } + }, + "LUT6_df": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29677.5-29684.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 585 ], + "I1": [ 321 ], + "I2": [ 367 ], + "I3": [ 811 ], + "I4": [ 607 ], + "I5": [ 563 ], + "O": [ 2841 ] + } + }, + "LUT6_e": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29688.5-29695.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2558 ], + "I1": [ 2557 ], + "I2": [ 945 ], + "I3": [ 991 ], + "I4": [ 3146 ], + "I5": [ 2213 ], + "O": [ 1429 ] + } + }, + "LUT6_e0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29699.5-29706.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 769 ], + "I1": [ 1869 ], + "I2": [ 581 ], + "I3": [ 629 ], + "I4": [ 587 ], + "I5": [ 907 ], + "O": [ 3156 ] + } + }, + "LUT6_e1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29710.5-29717.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2599 ], + "I1": [ 411 ], + "I2": [ 2606 ], + "I3": [ 1934 ], + "I4": [ 265 ], + "I5": [ 2601 ], + "O": [ 3157 ] + } + }, + "LUT6_e2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29721.5-29728.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 2345 ], + "I2": [ 899 ], + "I3": [ 3156 ], + "I4": [ 901 ], + "I5": [ 309 ], + "O": [ 2599 ] + } + }, + "LUT6_e3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29732.5-29739.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 1921 ], + "I2": [ 2294 ], + "I3": [ 2599 ], + "I4": [ 309 ], + "I5": [ 595 ], + "O": [ 348 ] + } + }, + "LUT6_e4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29743.5-29750.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2295 ], + "I1": [ 2599 ], + "I2": [ 348 ], + "I3": [ 3157 ], + "I4": [ 3156 ], + "I5": [ 616 ], + "O": [ 640 ] + } + }, + "LUT6_e5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29754.5-29761.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2834 ], + "I1": [ 2297 ], + "I2": [ 1921 ], + "I3": [ 2296 ], + "I4": [ 2295 ], + "I5": [ 1380 ], + "O": [ 632 ] + } + }, + "LUT6_e6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29765.5-29772.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1380 ], + "I1": [ 517 ], + "I2": [ 2842 ], + "I3": [ 2834 ], + "I4": [ 3090 ], + "I5": [ 1921 ], + "O": [ 1920 ] + } + }, + "LUT6_e7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29776.5-29783.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 791 ], + "I1": [ 343 ], + "I2": [ 359 ], + "I3": [ 517 ], + "I4": [ 839 ], + "I5": [ 345 ], + "O": [ 2840 ] + } + }, + "LUT6_e8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29787.5-29794.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 517 ], + "I1": [ 329 ], + "I2": [ 2601 ], + "I3": [ 359 ], + "I4": [ 1133 ], + "I5": [ 605 ], + "O": [ 2299 ] + } + }, + "LUT6_e9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29798.5-29805.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 3158 ], + "I1": [ 2954 ], + "I2": [ 371 ], + "I3": [ 2622 ], + "I4": [ 2840 ], + "I5": [ 839 ], + "O": [ 600 ] + } + }, + "LUT6_ea": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29809.5-29816.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 327 ], + "I1": [ 517 ], + "I2": [ 2954 ], + "I3": [ 371 ], + "I4": [ 345 ], + "I5": [ 343 ], + "O": [ 3158 ] + } + }, + "LUT6_eb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29820.5-29827.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2299 ], + "I1": [ 2954 ], + "I2": [ 791 ], + "I3": [ 2294 ], + "I4": [ 3158 ], + "I5": [ 2603 ], + "O": [ 2300 ] + } + }, + "LUT6_ec": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29831.5-29838.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2299 ], + "I1": [ 2294 ], + "I2": [ 791 ], + "I3": [ 2300 ], + "I4": [ 2954 ], + "I5": [ 3158 ], + "O": [ 618 ] + } + }, + "LUT6_ed": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29842.5-29849.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2606 ], + "I1": [ 2283 ], + "I2": [ 203 ], + "I3": [ 1137 ], + "I4": [ 227 ], + "I5": [ 581 ], + "O": [ 638 ] + } + }, + "LUT6_ee": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29853.5-29860.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2296 ], + "I1": [ 581 ], + "I2": [ 1921 ], + "I3": [ 1638 ], + "I4": [ 1594 ], + "I5": [ 313 ], + "O": [ 2607 ] + } + }, + "LUT6_ef": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29864.5-29871.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 481 ], + "I1": [ 2605 ], + "I2": [ 227 ], + "I3": [ 2283 ], + "I4": [ 2607 ], + "I5": [ 289 ], + "O": [ 1926 ] + } + }, + "LUT6_f": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29875.5-29882.20" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1376 ], + "I1": [ 477 ], + "I2": [ 503 ], + "I3": [ 831 ], + "I4": [ 1033 ], + "I5": [ 1391 ], + "O": [ 1080 ] + } + }, + "LUT6_f0": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29886.5-29893.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1922 ], + "I1": [ 405 ], + "I2": [ 227 ], + "I3": [ 1926 ], + "I4": [ 638 ], + "I5": [ 2605 ], + "O": [ 346 ] + } + }, + "LUT6_f1": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29897.5-29904.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2283 ], + "I1": [ 2954 ], + "I2": [ 1701 ], + "I3": [ 203 ], + "I4": [ 289 ], + "I5": [ 2605 ], + "O": [ 2606 ] + } + }, + "LUT6_f2": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29908.5-29915.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2608 ], + "I1": [ 481 ], + "I2": [ 1137 ], + "I3": [ 649 ], + "I4": [ 638 ], + "I5": [ 2606 ], + "O": [ 330 ] + } + }, + "LUT6_f3": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29919.5-29926.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1687 ], + "I1": [ 1869 ], + "I2": [ 507 ], + "I3": [ 1649 ], + "I4": [ 255 ], + "I5": [ 231 ], + "O": [ 3159 ] + } + }, + "LUT6_f4": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29930.5-29937.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 455 ], + "I1": [ 3159 ], + "I2": [ 1676 ], + "I3": [ 1137 ], + "I4": [ 1638 ], + "I5": [ 901 ], + "O": [ 2611 ] + } + }, + "LUT6_f5": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29941.5-29948.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1137 ], + "I1": [ 3159 ], + "I2": [ 1927 ], + "I3": [ 355 ], + "I4": [ 1676 ], + "I5": [ 2953 ], + "O": [ 3160 ] + } + }, + "LUT6_f6": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29952.5-29959.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2288 ], + "I1": [ 1676 ], + "I2": [ 3159 ], + "I3": [ 455 ], + "I4": [ 341 ], + "I5": [ 2609 ], + "O": [ 3161 ] + } + }, + "LUT6_f7": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29963.5-29970.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2307 ], + "I1": [ 3160 ], + "I2": [ 2843 ], + "I3": [ 2953 ], + "I4": [ 1641 ], + "I5": [ 1137 ], + "O": [ 1928 ] + } + }, + "LUT6_f8": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29974.5-29981.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2611 ], + "I1": [ 1927 ], + "I2": [ 591 ], + "I3": [ 355 ], + "I4": [ 901 ], + "I5": [ 3161 ], + "O": [ 2610 ] + } + }, + "LUT6_f9": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29985.5-29992.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 351 ], + "I1": [ 245 ], + "I2": [ 2350 ], + "I3": [ 1419 ], + "I4": [ 1037 ], + "I5": [ 1131 ], + "O": [ 3162 ] + } + }, + "LUT6_fa": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:29996.5-30003.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 2890 ], + "I1": [ 3162 ], + "I2": [ 2639 ], + "I3": [ 2293 ], + "I4": [ 317 ], + "I5": [ 371 ], + "O": [ 2612 ] + } + }, + "LUT6_fb": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30007.5-30014.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 351 ], + "I1": [ 317 ], + "I2": [ 2890 ], + "I3": [ 2293 ], + "I4": [ 2639 ], + "I5": [ 3162 ], + "O": [ 2303 ] + } + }, + "LUT6_fc": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30018.5-30025.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 265 ], + "I1": [ 1624 ], + "I2": [ 589 ], + "I3": [ 319 ], + "I4": [ 593 ], + "I5": [ 701 ], + "O": [ 1927 ] + } + }, + "LUT6_fd": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30029.5-30036.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 701 ], + "I1": [ 2305 ], + "I2": [ 1927 ], + "I3": [ 2304 ], + "I4": [ 2614 ], + "I5": [ 1931 ], + "O": [ 620 ] + } + }, + "LUT6_fe": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30040.5-30047.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 265 ], + "I1": [ 1137 ], + "I2": [ 453 ], + "I3": [ 901 ], + "I4": [ 1419 ], + "I5": [ 1638 ], + "O": [ 2306 ] + } + }, + "LUT6_ff": { + "hide_name": 0, + "type": "LUT6", + "parameters": { + "INIT": "0110100110010110100101100110100110010110011010010110100110010110" + }, + "attributes": { + "BOX_TYPE": "PRIMITIVE", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30051.5-30058.18" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 1624 ], + "I1": [ 319 ], + "I2": [ 2890 ], + "I3": [ 1137 ], + "I4": [ 2306 ], + "I5": [ 2293 ], + "O": [ 2301 ] + } + }, + "VCC": { + "hide_name": 0, + "type": "VCC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30059.7-30060.19" + }, + "port_directions": { + "P": "output" + }, + "connections": { + "P": [ 164 ] + } + }, + "clk_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30063.8-30065.22" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 162 ], + "O": [ 163 ] + } + }, + "ip[0]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30068.8-30070.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2 ], + "O": [ 1437 ] + } + }, + "ip[10]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30073.8-30075.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 12 ], + "O": [ 1078 ] + } + }, + "ip[11]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30078.8-30080.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 13 ], + "O": [ 1043 ] + } + }, + "ip[12]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30083.8-30085.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 14 ], + "O": [ 1503 ] + } + }, + "ip[13]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30088.8-30090.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 15 ], + "O": [ 1445 ] + } + }, + "ip[14]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30093.8-30095.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 16 ], + "O": [ 1106 ] + } + }, + "ip[15]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30098.8-30100.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 17 ], + "O": [ 1525 ] + } + }, + "ip[16]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30103.8-30105.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 18 ], + "O": [ 1495 ] + } + }, + "ip[17]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30108.8-30110.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 19 ], + "O": [ 1489 ] + } + }, + "ip[18]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30113.8-30115.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 20 ], + "O": [ 1015 ] + } + }, + "ip[19]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30118.8-30120.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 21 ], + "O": [ 1447 ] + } + }, + "ip[1]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30123.8-30125.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 3 ], + "O": [ 1055 ] + } + }, + "ip[20]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30128.8-30130.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 22 ], + "O": [ 1098 ] + } + }, + "ip[21]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30133.8-30135.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 23 ], + "O": [ 1497 ] + } + }, + "ip[22]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30138.8-30140.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 24 ], + "O": [ 1519 ] + } + }, + "ip[23]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30143.8-30145.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 25 ], + "O": [ 1477 ] + } + }, + "ip[24]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30148.8-30150.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 26 ], + "O": [ 1461 ] + } + }, + "ip[25]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30153.8-30155.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 27 ], + "O": [ 1013 ] + } + }, + "ip[26]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30158.8-30160.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 28 ], + "O": [ 1455 ] + } + }, + "ip[27]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30163.8-30165.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 29 ], + "O": [ 1443 ] + } + }, + "ip[28]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30168.8-30170.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 30 ], + "O": [ 1475 ] + } + }, + "ip[29]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30173.8-30175.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 31 ], + "O": [ 1529 ] + } + }, + "ip[2]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30178.8-30180.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 4 ], + "O": [ 1071 ] + } + }, + "ip[30]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30183.8-30185.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 32 ], + "O": [ 1065 ] + } + }, + "ip[31]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30188.8-30190.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 33 ], + "O": [ 1088 ] + } + }, + "ip[32]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30193.8-30195.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 34 ], + "O": [ 1459 ] + } + }, + "ip[33]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30198.8-30200.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 35 ], + "O": [ 1061 ] + } + }, + "ip[34]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30203.8-30205.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 36 ], + "O": [ 1017 ] + } + }, + "ip[35]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30208.8-30210.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 37 ], + "O": [ 1057 ] + } + }, + "ip[36]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30213.8-30215.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 38 ], + "O": [ 1063 ] + } + }, + "ip[37]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30218.8-30220.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 39 ], + "O": [ 1067 ] + } + }, + "ip[38]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30223.8-30225.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 1457 ] + } + }, + "ip[39]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30228.8-30230.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 41 ], + "O": [ 1479 ] + } + }, + "ip[3]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30233.8-30235.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 5 ], + "O": [ 1104 ] + } + }, + "ip[40]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30238.8-30240.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 42 ], + "O": [ 1469 ] + } + }, + "ip[41]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30243.8-30245.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 43 ], + "O": [ 1481 ] + } + }, + "ip[42]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30248.8-30250.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 44 ], + "O": [ 1102 ] + } + }, + "ip[43]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30253.8-30255.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 45 ], + "O": [ 1501 ] + } + }, + "ip[44]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30258.8-30260.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 46 ], + "O": [ 1493 ] + } + }, + "ip[45]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30263.8-30265.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 47 ], + "O": [ 1092 ] + } + }, + "ip[46]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30268.8-30270.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 48 ], + "O": [ 1076 ] + } + }, + "ip[47]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30273.8-30275.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 49 ], + "O": [ 1509 ] + } + }, + "ip[48]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30278.8-30280.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 50 ], + "O": [ 1059 ] + } + }, + "ip[49]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30283.8-30285.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 51 ], + "O": [ 1449 ] + } + }, + "ip[4]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30288.8-30290.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 6 ], + "O": [ 1521 ] + } + }, + "ip[50]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30293.8-30295.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 52 ], + "O": [ 1451 ] + } + }, + "ip[51]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30298.8-30300.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 53 ], + "O": [ 1511 ] + } + }, + "ip[52]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30303.8-30305.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 54 ], + "O": [ 1534 ] + } + }, + "ip[53]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30308.8-30310.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 55 ], + "O": [ 1069 ] + } + }, + "ip[54]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30313.8-30315.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 56 ], + "O": [ 1471 ] + } + }, + "ip[55]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30318.8-30320.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 57 ], + "O": [ 1100 ] + } + }, + "ip[56]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30323.8-30325.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 58 ], + "O": [ 1473 ] + } + }, + "ip[57]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30328.8-30330.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 59 ], + "O": [ 1523 ] + } + }, + "ip[58]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30333.8-30335.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 60 ], + "O": [ 1467 ] + } + }, + "ip[59]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30338.8-30340.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 61 ], + "O": [ 1513 ] + } + }, + "ip[5]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30343.8-30345.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 7 ], + "O": [ 1483 ] + } + }, + "ip[60]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30348.8-30350.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 62 ], + "O": [ 1505 ] + } + }, + "ip[61]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30353.8-30355.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 63 ], + "O": [ 1096 ] + } + }, + "ip[62]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30358.8-30360.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 64 ], + "O": [ 1051 ] + } + }, + "ip[63]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30363.8-30365.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 65 ], + "O": [ 1507 ] + } + }, + "ip[64]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30368.8-30370.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 66 ], + "O": [ 1047 ] + } + }, + "ip[65]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30373.8-30375.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 67 ], + "O": [ 1084 ] + } + }, + "ip[66]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30378.8-30380.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 68 ], + "O": [ 1053 ] + } + }, + "ip[67]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30383.8-30385.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 69 ], + "O": [ 1439 ] + } + }, + "ip[68]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30388.8-30390.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 70 ], + "O": [ 1094 ] + } + }, + "ip[69]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30393.8-30395.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 71 ], + "O": [ 1086 ] + } + }, + "ip[6]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30398.8-30400.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 8 ], + "O": [ 1441 ] + } + }, + "ip[70]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30403.8-30405.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 72 ], + "O": [ 1491 ] + } + }, + "ip[71]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30408.8-30410.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 73 ], + "O": [ 1073 ] + } + }, + "ip[72]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30413.8-30415.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 74 ], + "O": [ 1045 ] + } + }, + "ip[73]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30418.8-30420.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 75 ], + "O": [ 1515 ] + } + }, + "ip[74]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30423.8-30425.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 76 ], + "O": [ 1527 ] + } + }, + "ip[75]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30428.8-30430.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 77 ], + "O": [ 1463 ] + } + }, + "ip[76]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30433.8-30435.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 78 ], + "O": [ 1517 ] + } + }, + "ip[77]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30438.8-30440.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 79 ], + "O": [ 1531 ] + } + }, + "ip[78]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30443.8-30445.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 80 ], + "O": [ 1090 ] + } + }, + "ip[79]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30448.8-30450.25" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 81 ], + "O": [ 1485 ] + } + }, + "ip[7]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30453.8-30455.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 9 ], + "O": [ 1453 ] + } + }, + "ip[8]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30458.8-30460.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 10 ], + "O": [ 1011 ] + } + }, + "ip[9]_IBUF_inst": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30463.8-30465.24" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 11 ], + "O": [ 1487 ] + } + }, + "op[0]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30468.8-30470.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 926 ], + "O": [ 82 ] + } + }, + "op[10]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30473.8-30475.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 958 ], + "O": [ 92 ] + } + }, + "op[11]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30478.8-30480.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1415 ], + "O": [ 93 ] + } + }, + "op[12]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30483.8-30485.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 986 ], + "O": [ 94 ] + } + }, + "op[13]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30488.8-30490.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 930 ], + "O": [ 95 ] + } + }, + "op[14]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30493.8-30495.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 973 ], + "O": [ 96 ] + } + }, + "op[15]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30498.8-30500.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 984 ], + "O": [ 97 ] + } + }, + "op[16]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30503.8-30505.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 942 ], + "O": [ 98 ] + } + }, + "op[17]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30508.8-30510.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1024 ], + "O": [ 99 ] + } + }, + "op[18]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30513.8-30515.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1395 ], + "O": [ 100 ] + } + }, + "op[19]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30518.8-30520.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 938 ], + "O": [ 101 ] + } + }, + "op[1]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30523.8-30525.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1081 ], + "O": [ 83 ] + } + }, + "op[20]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30528.8-30530.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 971 ], + "O": [ 102 ] + } + }, + "op[21]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30533.8-30535.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1034 ], + "O": [ 103 ] + } + }, + "op[22]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30538.8-30540.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1000 ], + "O": [ 104 ] + } + }, + "op[23]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30543.8-30545.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1022 ], + "O": [ 105 ] + } + }, + "op[24]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30548.8-30550.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 992 ], + "O": [ 106 ] + } + }, + "op[25]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30553.8-30555.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1040 ], + "O": [ 107 ] + } + }, + "op[26]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30558.8-30560.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 940 ], + "O": [ 108 ] + } + }, + "op[27]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30563.8-30565.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 943 ], + "O": [ 109 ] + } + }, + "op[28]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30568.8-30570.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 924 ], + "O": [ 110 ] + } + }, + "op[29]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30573.8-30575.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 936 ], + "O": [ 111 ] + } + }, + "op[2]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30578.8-30580.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 978 ], + "O": [ 84 ] + } + }, + "op[30]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30583.8-30585.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 968 ], + "O": [ 112 ] + } + }, + "op[31]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30588.8-30590.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1010 ], + "O": [ 113 ] + } + }, + "op[32]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30593.8-30595.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 970 ], + "O": [ 114 ] + } + }, + "op[33]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30598.8-30600.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1038 ], + "O": [ 115 ] + } + }, + "op[34]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30603.8-30605.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 954 ], + "O": [ 116 ] + } + }, + "op[35]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30608.8-30610.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1032 ], + "O": [ 117 ] + } + }, + "op[36]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30613.8-30615.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1406 ], + "O": [ 118 ] + } + }, + "op[37]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30618.8-30620.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 988 ], + "O": [ 119 ] + } + }, + "op[38]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30623.8-30625.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 964 ], + "O": [ 120 ] + } + }, + "op[39]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30628.8-30630.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 948 ], + "O": [ 121 ] + } + }, + "op[3]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30633.8-30635.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 923 ], + "O": [ 85 ] + } + }, + "op[40]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30638.8-30640.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 994 ], + "O": [ 122 ] + } + }, + "op[41]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30643.8-30645.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1404 ], + "O": [ 123 ] + } + }, + "op[42]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30648.8-30650.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 952 ], + "O": [ 124 ] + } + }, + "op[43]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30653.8-30655.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 976 ], + "O": [ 125 ] + } + }, + "op[44]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30658.8-30660.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 990 ], + "O": [ 126 ] + } + }, + "op[45]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30663.8-30665.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 969 ], + "O": [ 127 ] + } + }, + "op[46]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30668.8-30670.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1028 ], + "O": [ 128 ] + } + }, + "op[47]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30673.8-30675.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 956 ], + "O": [ 129 ] + } + }, + "op[48]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30678.8-30680.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1036 ], + "O": [ 130 ] + } + }, + "op[49]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30683.8-30685.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1413 ], + "O": [ 131 ] + } + }, + "op[4]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30688.8-30690.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1418 ], + "O": [ 86 ] + } + }, + "op[50]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30693.8-30695.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1409 ], + "O": [ 132 ] + } + }, + "op[51]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30698.8-30700.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1006 ], + "O": [ 133 ] + } + }, + "op[52]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30703.8-30705.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1400 ], + "O": [ 134 ] + } + }, + "op[53]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30708.8-30710.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1402 ], + "O": [ 135 ] + } + }, + "op[54]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30713.8-30715.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1020 ], + "O": [ 136 ] + } + }, + "op[55]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30718.8-30720.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1436 ], + "O": [ 137 ] + } + }, + "op[56]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30723.8-30725.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 928 ], + "O": [ 138 ] + } + }, + "op[57]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30728.8-30730.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 959 ], + "O": [ 139 ] + } + }, + "op[58]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30733.8-30735.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1002 ], + "O": [ 140 ] + } + }, + "op[59]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30738.8-30740.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1026 ], + "O": [ 141 ] + } + }, + "op[5]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30743.8-30745.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 934 ], + "O": [ 87 ] + } + }, + "op[60]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30748.8-30750.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 982 ], + "O": [ 142 ] + } + }, + "op[61]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30753.8-30755.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1398 ], + "O": [ 143 ] + } + }, + "op[62]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30758.8-30760.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1397 ], + "O": [ 144 ] + } + }, + "op[63]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30763.8-30765.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 980 ], + "O": [ 145 ] + } + }, + "op[64]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30768.8-30770.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1434 ], + "O": [ 146 ] + } + }, + "op[65]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30773.8-30775.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 922 ], + "O": [ 147 ] + } + }, + "op[66]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30778.8-30780.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 961 ], + "O": [ 148 ] + } + }, + "op[67]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30783.8-30785.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1004 ], + "O": [ 149 ] + } + }, + "op[68]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30788.8-30790.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 996 ], + "O": [ 150 ] + } + }, + "op[69]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30793.8-30795.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1030 ], + "O": [ 151 ] + } + }, + "op[6]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30798.8-30800.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 944 ], + "O": [ 88 ] + } + }, + "op[70]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30803.8-30805.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 998 ], + "O": [ 152 ] + } + }, + "op[71]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30808.8-30810.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 941 ], + "O": [ 153 ] + } + }, + "op[72]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30813.8-30815.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1411 ], + "O": [ 154 ] + } + }, + "op[73]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30818.8-30820.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1417 ], + "O": [ 155 ] + } + }, + "op[74]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30823.8-30825.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1083 ], + "O": [ 156 ] + } + }, + "op[75]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30828.8-30830.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 939 ], + "O": [ 157 ] + } + }, + "op[76]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30833.8-30835.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 932 ], + "O": [ 158 ] + } + }, + "op[77]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30838.8-30840.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 950 ], + "O": [ 159 ] + } + }, + "op[78]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30843.8-30845.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1407 ], + "O": [ 160 ] + } + }, + "op[79]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30848.8-30850.20" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1420 ], + "O": [ 161 ] + } + }, + "op[7]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30853.8-30855.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 966 ], + "O": [ 89 ] + } + }, + "op[8]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30858.8-30860.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 962 ], + "O": [ 90 ] + } + }, + "op[9]_OBUF_inst": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "OPT_INSERTED": "00000000000000000000000000000001", + "OPT_MODIFIED": "MLO", + "module_not_derived": "00000000000000000000000000000001", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:30863.8-30865.19" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1050 ], + "O": [ 91 ] + } + } + }, + "netnames": { + "GND_1": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:99.8-99.13" + } + }, + "VCC_1": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:100.8-100.13" + } + }, + "clk": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:97.9-97.12" + } + }, + "clk_IBUF": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:102.8-102.16" + } + }, + "ip": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81 ], + "attributes": { + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:95.15-95.17" + } + }, + "ip_IBUF": { + "hide_name": 0, + "bits": [ 1437, 1055, 1071, 1104, 1521, 1483, 1441, 1453, 1011, 1487, 1078, 1043, 1503, 1445, 1106, 1525, 1495, 1489, 1015, 1447, 1098, 1497, 1519, 1477, 1461, 1013, 1455, 1443, 1475, 1529, 1065, 1088, 1459, 1061, 1017, 1057, 1063, 1067, 1457, 1479, 1469, 1481, 1102, 1501, 1493, 1092, 1076, 1509, 1059, 1449, 1451, 1511, 1534, 1069, 1471, 1100, 1473, 1523, 1467, 1513, 1505, 1096, 1051, 1507, 1047, 1084, 1053, 1439, 1094, 1086, 1491, 1073, 1045, 1515, 1527, 1463, 1517, 1531, 1090, 1485 ], + "attributes": { + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:104.14-104.21" + } + }, + "ipr": { + "hide_name": 0, + "bits": [ 1438, 1056, 1072, 1105, 1522, 1484, 1442, 1454, 1012, 1488, 1079, 1044, 1504, 1446, 1107, 1526, 1496, 1490, 1016, 1448, 1099, 1498, 1520, 1478, 1462, 1014, 1456, 1444, 1476, 1530, 1066, 1089, 1460, 1062, 1018, 1058, 1064, 1068, 1458, 1480, 1470, 1482, 1103, 1502, 1494, 1093, 1077, 1510, 1060, 1450, 1452, 1512, 1535, 1070, 1472, 1101, 1474, 1524, 1468, 1514, 1506, 1097, 1052, 1508, 1048, 1085, 1054, 1440, 1095, 1087, 1492, 1074, 1046, 1516, 1528, 1464, 1518, 1532, 1091, 1486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:105.38-105.41" + } + }, + "n100": { + "hide_name": 0, + "bits": [ 1429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:106.32-106.36" + } + }, + "n101": { + "hide_name": 0, + "bits": [ 1430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:107.32-107.36" + } + }, + "n102": { + "hide_name": 0, + "bits": [ 1431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:108.32-108.36" + } + }, + "n103": { + "hide_name": 0, + "bits": [ 1556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:109.32-109.36" + } + }, + "n104": { + "hide_name": 0, + "bits": [ 1557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:110.32-110.36" + } + }, + "n105": { + "hide_name": 0, + "bits": [ 1558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:111.32-111.36" + } + }, + "n106": { + "hide_name": 0, + "bits": [ 1559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:112.32-112.36" + } + }, + "n107": { + "hide_name": 0, + "bits": [ 1560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:113.32-113.36" + } + }, + "n108": { + "hide_name": 0, + "bits": [ 1561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:114.32-114.36" + } + }, + "n109": { + "hide_name": 0, + "bits": [ 1562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:115.32-115.36" + } + }, + "n10a": { + "hide_name": 0, + "bits": [ 1563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:116.32-116.36" + } + }, + "n10b": { + "hide_name": 0, + "bits": [ 1564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:117.32-117.36" + } + }, + "n10c": { + "hide_name": 0, + "bits": [ 1565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:118.32-118.36" + } + }, + "n10d": { + "hide_name": 0, + "bits": [ 1566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:119.32-119.36" + } + }, + "n10e": { + "hide_name": 0, + "bits": [ 1567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:120.32-120.36" + } + }, + "n10f": { + "hide_name": 0, + "bits": [ 1568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:121.32-121.36" + } + }, + "n110": { + "hide_name": 0, + "bits": [ 1569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:122.32-122.36" + } + }, + "n111": { + "hide_name": 0, + "bits": [ 1570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:123.32-123.36" + } + }, + "n112": { + "hide_name": 0, + "bits": [ 1571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:124.32-124.36" + } + }, + "n113": { + "hide_name": 0, + "bits": [ 1572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:125.32-125.36" + } + }, + "n114": { + "hide_name": 0, + "bits": [ 1573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:126.32-126.36" + } + }, + "n115": { + "hide_name": 0, + "bits": [ 1574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:127.32-127.36" + } + }, + "n116": { + "hide_name": 0, + "bits": [ 1545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:128.32-128.36" + } + }, + "n117": { + "hide_name": 0, + "bits": [ 1546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:129.32-129.36" + } + }, + "n118": { + "hide_name": 0, + "bits": [ 1547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:130.32-130.36" + } + }, + "n119": { + "hide_name": 0, + "bits": [ 1548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:131.32-131.36" + } + }, + "n11a": { + "hide_name": 0, + "bits": [ 1549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:132.32-132.36" + } + }, + "n11b": { + "hide_name": 0, + "bits": [ 1550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:133.32-133.36" + } + }, + "n11c": { + "hide_name": 0, + "bits": [ 1551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:134.32-134.36" + } + }, + "n11d": { + "hide_name": 0, + "bits": [ 1552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:135.32-135.36" + } + }, + "n11e": { + "hide_name": 0, + "bits": [ 1553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:136.32-136.36" + } + }, + "n11f": { + "hide_name": 0, + "bits": [ 1554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:137.32-137.36" + } + }, + "n120": { + "hide_name": 0, + "bits": [ 1555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:138.32-138.36" + } + }, + "n121": { + "hide_name": 0, + "bits": [ 1589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:139.32-139.36" + } + }, + "n122": { + "hide_name": 0, + "bits": [ 1590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:140.32-140.36" + } + }, + "n123": { + "hide_name": 0, + "bits": [ 1591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:141.32-141.36" + } + }, + "n124": { + "hide_name": 0, + "bits": [ 1592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:142.32-142.36" + } + }, + "n125": { + "hide_name": 0, + "bits": [ 1728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:143.32-143.36" + } + }, + "n126": { + "hide_name": 0, + "bits": [ 1729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:144.32-144.36" + } + }, + "n127": { + "hide_name": 0, + "bits": [ 1730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:145.32-145.36" + } + }, + "n128": { + "hide_name": 0, + "bits": [ 1584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:146.32-146.36" + } + }, + "n129": { + "hide_name": 0, + "bits": [ 2550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:147.32-147.36" + } + }, + "n12a": { + "hide_name": 0, + "bits": [ 2742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:148.32-148.36" + } + }, + "n12b": { + "hide_name": 0, + "bits": [ 1695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:149.32-149.36" + } + }, + "n12c": { + "hide_name": 0, + "bits": [ 845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:150.32-150.36" + } + }, + "n12d": { + "hide_name": 0, + "bits": [ 1213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:151.32-151.36" + } + }, + "n12e": { + "hide_name": 0, + "bits": [ 2743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:152.32-152.36" + } + }, + "n12f": { + "hide_name": 0, + "bits": [ 1264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:153.32-153.36" + } + }, + "n130": { + "hide_name": 0, + "bits": [ 1304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:154.32-154.36" + } + }, + "n131": { + "hide_name": 0, + "bits": [ 2694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:155.32-155.36" + } + }, + "n132": { + "hide_name": 0, + "bits": [ 831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:156.32-156.36" + } + }, + "n133": { + "hide_name": 0, + "bits": [ 1732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:157.32-157.36" + } + }, + "n134": { + "hide_name": 0, + "bits": [ 2482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:158.32-158.36" + } + }, + "n135": { + "hide_name": 0, + "bits": [ 1731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:159.32-159.36" + } + }, + "n136": { + "hide_name": 0, + "bits": [ 503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:160.32-160.36" + } + }, + "n137": { + "hide_name": 0, + "bits": [ 2249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:161.32-161.36" + } + }, + "n138": { + "hide_name": 0, + "bits": [ 2140 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:162.32-162.36" + } + }, + "n139": { + "hide_name": 0, + "bits": [ 1619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:163.32-163.36" + } + }, + "n13a": { + "hide_name": 0, + "bits": [ 2141 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:164.32-164.36" + } + }, + "n13b": { + "hide_name": 0, + "bits": [ 2803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:165.32-165.36" + } + }, + "n13c": { + "hide_name": 0, + "bits": [ 2232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:166.32-166.36" + } + }, + "n13d": { + "hide_name": 0, + "bits": [ 1839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:167.32-167.36" + } + }, + "n13e": { + "hide_name": 0, + "bits": [ 1391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:168.32-168.36" + } + }, + "n13f": { + "hide_name": 0, + "bits": [ 2008 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:169.32-169.36" + } + }, + "n140": { + "hide_name": 0, + "bits": [ 3124 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:170.32-170.36" + } + }, + "n141": { + "hide_name": 0, + "bits": [ 2142 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:171.32-171.36" + } + }, + "n142": { + "hide_name": 0, + "bits": [ 2432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:172.32-172.36" + } + }, + "n143": { + "hide_name": 0, + "bits": [ 1821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:173.32-173.36" + } + }, + "n144": { + "hide_name": 0, + "bits": [ 3131 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:174.32-174.36" + } + }, + "n145": { + "hide_name": 0, + "bits": [ 1286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:175.32-175.36" + } + }, + "n146": { + "hide_name": 0, + "bits": [ 2444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:176.32-176.36" + } + }, + "n147": { + "hide_name": 0, + "bits": [ 2558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:177.32-177.36" + } + }, + "n148": { + "hide_name": 0, + "bits": [ 1923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:178.32-178.36" + } + }, + "n149": { + "hide_name": 0, + "bits": [ 3135 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:179.32-179.36" + } + }, + "n14a": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:180.32-180.36" + } + }, + "n14b": { + "hide_name": 0, + "bits": [ 2497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:181.32-181.36" + } + }, + "n14c": { + "hide_name": 0, + "bits": [ 1280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:182.32-182.36" + } + }, + "n14d": { + "hide_name": 0, + "bits": [ 1844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:183.32-183.36" + } + }, + "n14e": { + "hide_name": 0, + "bits": [ 2213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:184.32-184.36" + } + }, + "n14f": { + "hide_name": 0, + "bits": [ 3146 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:185.32-185.36" + } + }, + "n150": { + "hide_name": 0, + "bits": [ 2060 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:186.32-186.36" + } + }, + "n151": { + "hide_name": 0, + "bits": [ 2557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:187.32-187.36" + } + }, + "n152": { + "hide_name": 0, + "bits": [ 1376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:188.32-188.36" + } + }, + "n153": { + "hide_name": 0, + "bits": [ 2814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:189.32-189.36" + } + }, + "n154": { + "hide_name": 0, + "bits": [ 1895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:190.32-190.36" + } + }, + "n155": { + "hide_name": 0, + "bits": [ 2619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:191.32-191.36" + } + }, + "n156": { + "hide_name": 0, + "bits": [ 1871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:192.32-192.36" + } + }, + "n157": { + "hide_name": 0, + "bits": [ 1872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:193.32-193.36" + } + }, + "n158": { + "hide_name": 0, + "bits": [ 1896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:194.32-194.36" + } + }, + "n159": { + "hide_name": 0, + "bits": [ 2284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:195.32-195.36" + } + }, + "n15a": { + "hide_name": 0, + "bits": [ 1924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:196.32-196.36" + } + }, + "n15b": { + "hide_name": 0, + "bits": [ 2575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:197.32-197.36" + } + }, + "n15c": { + "hide_name": 0, + "bits": [ 1378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:198.32-198.36" + } + }, + "n15d": { + "hide_name": 0, + "bits": [ 2618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:199.32-199.36" + } + }, + "n15e": { + "hide_name": 0, + "bits": [ 1258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:200.32-200.36" + } + }, + "n15f": { + "hide_name": 0, + "bits": [ 2959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:201.32-201.36" + } + }, + "n160": { + "hide_name": 0, + "bits": [ 2364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:202.32-202.36" + } + }, + "n161": { + "hide_name": 0, + "bits": [ 2962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:203.32-203.36" + } + }, + "n162": { + "hide_name": 0, + "bits": [ 2342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:204.32-204.36" + } + }, + "n163": { + "hide_name": 0, + "bits": [ 2343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:205.32-205.36" + } + }, + "n164": { + "hide_name": 0, + "bits": [ 2366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:206.32-206.36" + } + }, + "n165": { + "hide_name": 0, + "bits": [ 2600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:207.32-207.36" + } + }, + "n166": { + "hide_name": 0, + "bits": [ 1943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:208.32-208.36" + } + }, + "n167": { + "hide_name": 0, + "bits": [ 2972 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:209.32-209.36" + } + }, + "n168": { + "hide_name": 0, + "bits": [ 2365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:210.32-210.36" + } + }, + "n169": { + "hide_name": 0, + "bits": [ 1262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:211.32-211.36" + } + }, + "n16a": { + "hide_name": 0, + "bits": [ 2864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:212.32-212.36" + } + }, + "n16b": { + "hide_name": 0, + "bits": [ 2382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:213.32-213.36" + } + }, + "n16c": { + "hide_name": 0, + "bits": [ 2383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:214.32-214.36" + } + }, + "n16d": { + "hide_name": 0, + "bits": [ 2052 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:215.32-215.36" + } + }, + "n16e": { + "hide_name": 0, + "bits": [ 2986 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:216.32-216.36" + } + }, + "n16f": { + "hide_name": 0, + "bits": [ 2443 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:217.32-217.36" + } + }, + "n170": { + "hide_name": 0, + "bits": [ 2839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:218.32-218.36" + } + }, + "n171": { + "hide_name": 0, + "bits": [ 2983 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:219.32-219.36" + } + }, + "n172": { + "hide_name": 0, + "bits": [ 2406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:220.32-220.36" + } + }, + "n173": { + "hide_name": 0, + "bits": [ 2425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:221.32-221.36" + } + }, + "n174": { + "hide_name": 0, + "bits": [ 1962 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:222.32-222.36" + } + }, + "n175": { + "hide_name": 0, + "bits": [ 2850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:223.32-223.36" + } + }, + "n176": { + "hide_name": 0, + "bits": [ 2849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:224.32-224.36" + } + }, + "n177": { + "hide_name": 0, + "bits": [ 2865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:225.32-225.36" + } + }, + "n178": { + "hide_name": 0, + "bits": [ 869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:226.32-226.36" + } + }, + "n179": { + "hide_name": 0, + "bits": [ 2873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:227.32-227.36" + } + }, + "n17a": { + "hide_name": 0, + "bits": [ 2463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:228.32-228.36" + } + }, + "n17b": { + "hide_name": 0, + "bits": [ 2445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:229.32-229.36" + } + }, + "n17c": { + "hide_name": 0, + "bits": [ 1983 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:230.32-230.36" + } + }, + "n17d": { + "hide_name": 0, + "bits": [ 2163 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:231.32-231.36" + } + }, + "n17e": { + "hide_name": 0, + "bits": [ 2143 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:232.32-232.36" + } + }, + "n17f": { + "hide_name": 0, + "bits": [ 2144 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:233.32-233.36" + } + }, + "n180": { + "hide_name": 0, + "bits": [ 2145 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:234.32-234.36" + } + }, + "n181": { + "hide_name": 0, + "bits": [ 1296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:235.32-235.36" + } + }, + "n182": { + "hide_name": 0, + "bits": [ 2164 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:236.32-236.36" + } + }, + "n183": { + "hide_name": 0, + "bits": [ 2677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:237.32-237.36" + } + }, + "n184": { + "hide_name": 0, + "bits": [ 2896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:238.32-238.36" + } + }, + "n185": { + "hide_name": 0, + "bits": [ 1369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:239.32-239.36" + } + }, + "n186": { + "hide_name": 0, + "bits": [ 1626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:240.32-240.36" + } + }, + "n187": { + "hide_name": 0, + "bits": [ 3026 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:241.32-241.36" + } + }, + "n188": { + "hide_name": 0, + "bits": [ 1607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:242.32-242.36" + } + }, + "n189": { + "hide_name": 0, + "bits": [ 1593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:243.32-243.36" + } + }, + "n18a": { + "hide_name": 0, + "bits": [ 1594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:244.32-244.36" + } + }, + "n18b": { + "hide_name": 0, + "bits": [ 1595 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:245.32-245.36" + } + }, + "n18c": { + "hide_name": 0, + "bits": [ 1596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:246.32-246.36" + } + }, + "n18d": { + "hide_name": 0, + "bits": [ 1597 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:247.32-247.36" + } + }, + "n18e": { + "hide_name": 0, + "bits": [ 1598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:248.32-248.36" + } + }, + "n18f": { + "hide_name": 0, + "bits": [ 1599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:249.32-249.36" + } + }, + "n190": { + "hide_name": 0, + "bits": [ 1600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:250.32-250.36" + } + }, + "n191": { + "hide_name": 0, + "bits": [ 1601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:251.32-251.36" + } + }, + "n192": { + "hide_name": 0, + "bits": [ 1602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:252.32-252.36" + } + }, + "n193": { + "hide_name": 0, + "bits": [ 1603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:253.32-253.36" + } + }, + "n194": { + "hide_name": 0, + "bits": [ 1604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:254.32-254.36" + } + }, + "n195": { + "hide_name": 0, + "bits": [ 1605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:255.32-255.36" + } + }, + "n196": { + "hide_name": 0, + "bits": [ 1606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:256.32-256.36" + } + }, + "n197": { + "hide_name": 0, + "bits": [ 1577 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:257.32-257.36" + } + }, + "n198": { + "hide_name": 0, + "bits": [ 1578 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:258.32-258.36" + } + }, + "n199": { + "hide_name": 0, + "bits": [ 1579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:259.32-259.36" + } + }, + "n19a": { + "hide_name": 0, + "bits": [ 1580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:260.32-260.36" + } + }, + "n19b": { + "hide_name": 0, + "bits": [ 1581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:261.32-261.36" + } + }, + "n19c": { + "hide_name": 0, + "bits": [ 1582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:262.32-262.36" + } + }, + "n19d": { + "hide_name": 0, + "bits": [ 1583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:263.32-263.36" + } + }, + "n19e": { + "hide_name": 0, + "bits": [ 1585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:264.32-264.36" + } + }, + "n19f": { + "hide_name": 0, + "bits": [ 1586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:265.32-265.36" + } + }, + "n1a0": { + "hide_name": 0, + "bits": [ 1587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:266.32-266.36" + } + }, + "n1a1": { + "hide_name": 0, + "bits": [ 1588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:267.32-267.36" + } + }, + "n1a2": { + "hide_name": 0, + "bits": [ 1621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:268.32-268.36" + } + }, + "n1a3": { + "hide_name": 0, + "bits": [ 1622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:269.32-269.36" + } + }, + "n1a4": { + "hide_name": 0, + "bits": [ 1623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:270.32-270.36" + } + }, + "n1a5": { + "hide_name": 0, + "bits": [ 1624 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:271.32-271.36" + } + }, + "n1a6": { + "hide_name": 0, + "bits": [ 1625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:272.32-272.36" + } + }, + "n1a7": { + "hide_name": 0, + "bits": [ 1627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:273.32-273.36" + } + }, + "n1a8": { + "hide_name": 0, + "bits": [ 1628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:274.32-274.36" + } + }, + "n1a9": { + "hide_name": 0, + "bits": [ 1629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:275.32-275.36" + } + }, + "n1aa": { + "hide_name": 0, + "bits": [ 1630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:276.32-276.36" + } + }, + "n1ab": { + "hide_name": 0, + "bits": [ 1631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:277.32-277.36" + } + }, + "n1ac": { + "hide_name": 0, + "bits": [ 1632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:278.32-278.36" + } + }, + "n1ad": { + "hide_name": 0, + "bits": [ 1633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:279.32-279.36" + } + }, + "n1ae": { + "hide_name": 0, + "bits": [ 1634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:280.32-280.36" + } + }, + "n1af": { + "hide_name": 0, + "bits": [ 1635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:281.32-281.36" + } + }, + "n1b0": { + "hide_name": 0, + "bits": [ 1636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:282.32-282.36" + } + }, + "n1b1": { + "hide_name": 0, + "bits": [ 1637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:283.32-283.36" + } + }, + "n1b2": { + "hide_name": 0, + "bits": [ 1638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:284.32-284.36" + } + }, + "n1b3": { + "hide_name": 0, + "bits": [ 1610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:285.32-285.36" + } + }, + "n1b4": { + "hide_name": 0, + "bits": [ 1611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:286.32-286.36" + } + }, + "n1b5": { + "hide_name": 0, + "bits": [ 1612 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:287.32-287.36" + } + }, + "n1b6": { + "hide_name": 0, + "bits": [ 1613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:288.32-288.36" + } + }, + "n1b7": { + "hide_name": 0, + "bits": [ 1614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:289.32-289.36" + } + }, + "n1b8": { + "hide_name": 0, + "bits": [ 1615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:290.32-290.36" + } + }, + "n1b9": { + "hide_name": 0, + "bits": [ 1616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:291.32-291.36" + } + }, + "n1ba": { + "hide_name": 0, + "bits": [ 1617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:292.32-292.36" + } + }, + "n1bb": { + "hide_name": 0, + "bits": [ 1618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:293.32-293.36" + } + }, + "n1bc": { + "hide_name": 0, + "bits": [ 1620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:294.32-294.36" + } + }, + "n1bd": { + "hide_name": 0, + "bits": [ 1651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:295.32-295.36" + } + }, + "n1be": { + "hide_name": 0, + "bits": [ 1652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:296.32-296.36" + } + }, + "n1bf": { + "hide_name": 0, + "bits": [ 1653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:297.32-297.36" + } + }, + "n1c0": { + "hide_name": 0, + "bits": [ 1654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:298.32-298.36" + } + }, + "n1c1": { + "hide_name": 0, + "bits": [ 1655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:299.32-299.36" + } + }, + "n1c2": { + "hide_name": 0, + "bits": [ 1656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:300.32-300.36" + } + }, + "n1c3": { + "hide_name": 0, + "bits": [ 1657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:301.32-301.36" + } + }, + "n1c4": { + "hide_name": 0, + "bits": [ 1658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:302.32-302.36" + } + }, + "n1c5": { + "hide_name": 0, + "bits": [ 1659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:303.32-303.36" + } + }, + "n1c6": { + "hide_name": 0, + "bits": [ 1660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:304.32-304.36" + } + }, + "n1c7": { + "hide_name": 0, + "bits": [ 1661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:305.32-305.36" + } + }, + "n1c8": { + "hide_name": 0, + "bits": [ 1662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:306.32-306.36" + } + }, + "n1c9": { + "hide_name": 0, + "bits": [ 1663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:307.32-307.36" + } + }, + "n1ca": { + "hide_name": 0, + "bits": [ 1664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:308.32-308.36" + } + }, + "n1cb": { + "hide_name": 0, + "bits": [ 1665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:309.32-309.36" + } + }, + "n1cc": { + "hide_name": 0, + "bits": [ 1666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:310.32-310.36" + } + }, + "n1cd": { + "hide_name": 0, + "bits": [ 1667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:311.32-311.36" + } + }, + "n1ce": { + "hide_name": 0, + "bits": [ 1640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:312.32-312.36" + } + }, + "n1cf": { + "hide_name": 0, + "bits": [ 1641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:313.32-313.36" + } + }, + "n1d0": { + "hide_name": 0, + "bits": [ 1642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:314.32-314.36" + } + }, + "n1d1": { + "hide_name": 0, + "bits": [ 1643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:315.32-315.36" + } + }, + "n1d2": { + "hide_name": 0, + "bits": [ 1644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:316.32-316.36" + } + }, + "n1d3": { + "hide_name": 0, + "bits": [ 1645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:317.32-317.36" + } + }, + "n1d4": { + "hide_name": 0, + "bits": [ 1646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:318.32-318.36" + } + }, + "n1d5": { + "hide_name": 0, + "bits": [ 1647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:319.32-319.36" + } + }, + "n1d6": { + "hide_name": 0, + "bits": [ 1648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:320.32-320.36" + } + }, + "n1d7": { + "hide_name": 0, + "bits": [ 1649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:321.32-321.36" + } + }, + "n1d8": { + "hide_name": 0, + "bits": [ 1650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:322.32-322.36" + } + }, + "n1d9": { + "hide_name": 0, + "bits": [ 1681 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:323.32-323.36" + } + }, + "n1da": { + "hide_name": 0, + "bits": [ 1682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:324.32-324.36" + } + }, + "n1db": { + "hide_name": 0, + "bits": [ 1683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:325.32-325.36" + } + }, + "n1dc": { + "hide_name": 0, + "bits": [ 1684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:326.32-326.36" + } + }, + "n1dd": { + "hide_name": 0, + "bits": [ 1685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:327.32-327.36" + } + }, + "n1de": { + "hide_name": 0, + "bits": [ 1686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:328.32-328.36" + } + }, + "n1df": { + "hide_name": 0, + "bits": [ 1687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:329.32-329.36" + } + }, + "n1e0": { + "hide_name": 0, + "bits": [ 1688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:330.32-330.36" + } + }, + "n1e1": { + "hide_name": 0, + "bits": [ 1689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:331.32-331.36" + } + }, + "n1e2": { + "hide_name": 0, + "bits": [ 1690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:332.32-332.36" + } + }, + "n1e3": { + "hide_name": 0, + "bits": [ 1691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:333.32-333.36" + } + }, + "n1e4": { + "hide_name": 0, + "bits": [ 1692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:334.32-334.36" + } + }, + "n1e5": { + "hide_name": 0, + "bits": [ 1693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:335.32-335.36" + } + }, + "n1e6": { + "hide_name": 0, + "bits": [ 1694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:336.32-336.36" + } + }, + "n1e7": { + "hide_name": 0, + "bits": [ 1696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:337.32-337.36" + } + }, + "n1e8": { + "hide_name": 0, + "bits": [ 1697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:338.32-338.36" + } + }, + "n1e9": { + "hide_name": 0, + "bits": [ 1670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:339.32-339.36" + } + }, + "n1ea": { + "hide_name": 0, + "bits": [ 1671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:340.32-340.36" + } + }, + "n1eb": { + "hide_name": 0, + "bits": [ 1672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:341.32-341.36" + } + }, + "n1ec": { + "hide_name": 0, + "bits": [ 1673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:342.32-342.36" + } + }, + "n1ed": { + "hide_name": 0, + "bits": [ 1674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:343.32-343.36" + } + }, + "n1ee": { + "hide_name": 0, + "bits": [ 1675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:344.32-344.36" + } + }, + "n1ef": { + "hide_name": 0, + "bits": [ 1676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:345.32-345.36" + } + }, + "n1f0": { + "hide_name": 0, + "bits": [ 1677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:346.32-346.36" + } + }, + "n1f1": { + "hide_name": 0, + "bits": [ 1678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:347.32-347.36" + } + }, + "n1f2": { + "hide_name": 0, + "bits": [ 1679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:348.32-348.36" + } + }, + "n1f3": { + "hide_name": 0, + "bits": [ 1680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:349.32-349.36" + } + }, + "n1f4": { + "hide_name": 0, + "bits": [ 1712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:350.32-350.36" + } + }, + "n1f5": { + "hide_name": 0, + "bits": [ 1713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:351.32-351.36" + } + }, + "n1f6": { + "hide_name": 0, + "bits": [ 1714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:352.32-352.36" + } + }, + "n1f7": { + "hide_name": 0, + "bits": [ 1715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:353.32-353.36" + } + }, + "n1f8": { + "hide_name": 0, + "bits": [ 1716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:354.32-354.36" + } + }, + "n1f9": { + "hide_name": 0, + "bits": [ 1717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:355.32-355.36" + } + }, + "n1fa": { + "hide_name": 0, + "bits": [ 1718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:356.32-356.36" + } + }, + "n1fb": { + "hide_name": 0, + "bits": [ 1719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:357.32-357.36" + } + }, + "n1fc": { + "hide_name": 0, + "bits": [ 1720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:358.32-358.36" + } + }, + "n1fd": { + "hide_name": 0, + "bits": [ 1721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:359.32-359.36" + } + }, + "n1fe": { + "hide_name": 0, + "bits": [ 1722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:360.32-360.36" + } + }, + "n1ff": { + "hide_name": 0, + "bits": [ 1723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:361.32-361.36" + } + }, + "n200": { + "hide_name": 0, + "bits": [ 1724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:362.32-362.36" + } + }, + "n201": { + "hide_name": 0, + "bits": [ 1725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:363.32-363.36" + } + }, + "n202": { + "hide_name": 0, + "bits": [ 1726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:364.32-364.36" + } + }, + "n203": { + "hide_name": 0, + "bits": [ 1727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:365.32-365.36" + } + }, + "n204": { + "hide_name": 0, + "bits": [ 1700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:366.32-366.36" + } + }, + "n205": { + "hide_name": 0, + "bits": [ 1701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:367.32-367.36" + } + }, + "n206": { + "hide_name": 0, + "bits": [ 1702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:368.32-368.36" + } + }, + "n207": { + "hide_name": 0, + "bits": [ 1703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:369.32-369.36" + } + }, + "n208": { + "hide_name": 0, + "bits": [ 1704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:370.32-370.36" + } + }, + "n209": { + "hide_name": 0, + "bits": [ 1705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:371.32-371.36" + } + }, + "n20a": { + "hide_name": 0, + "bits": [ 1706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:372.32-372.36" + } + }, + "n20b": { + "hide_name": 0, + "bits": [ 1707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:373.32-373.36" + } + }, + "n20c": { + "hide_name": 0, + "bits": [ 2797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:374.32-374.36" + } + }, + "n20d": { + "hide_name": 0, + "bits": [ 2201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:375.32-375.36" + } + }, + "n20e": { + "hide_name": 0, + "bits": [ 2727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:376.32-376.36" + } + }, + "n20f": { + "hide_name": 0, + "bits": [ 2195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:377.32-377.36" + } + }, + "n210": { + "hide_name": 0, + "bits": [ 2196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:378.32-378.36" + } + }, + "n211": { + "hide_name": 0, + "bits": [ 2197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:379.32-379.36" + } + }, + "n212": { + "hide_name": 0, + "bits": [ 2200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:380.32-380.36" + } + }, + "n213": { + "hide_name": 0, + "bits": [ 2024 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:381.32-381.36" + } + }, + "n214": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:382.32-382.36" + } + }, + "n215": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:383.32-383.36" + } + }, + "n216": { + "hide_name": 0, + "bits": [ 2728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:384.32-384.36" + } + }, + "n217": { + "hide_name": 0, + "bits": [ 2729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:385.32-385.36" + } + }, + "n218": { + "hide_name": 0, + "bits": [ 875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:386.32-386.36" + } + }, + "n219": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:387.32-387.36" + } + }, + "n21a": { + "hide_name": 0, + "bits": [ 2106 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:388.32-388.36" + } + }, + "n21b": { + "hide_name": 0, + "bits": [ 2938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:389.32-389.36" + } + }, + "n21c": { + "hide_name": 0, + "bits": [ 2199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:390.32-390.36" + } + }, + "n21d": { + "hide_name": 0, + "bits": [ 2198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:391.32-391.36" + } + }, + "n21e": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:392.32-392.36" + } + }, + "n21f": { + "hide_name": 0, + "bits": [ 777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:393.32-393.36" + } + }, + "n220": { + "hide_name": 0, + "bits": [ 3053 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:394.32-394.36" + } + }, + "n221": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:395.32-395.36" + } + }, + "n222": { + "hide_name": 0, + "bits": [ 2041 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:396.32-396.36" + } + }, + "n223": { + "hide_name": 0, + "bits": [ 3064 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:397.32-397.36" + } + }, + "n224": { + "hide_name": 0, + "bits": [ 3070 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:398.32-398.36" + } + }, + "n225": { + "hide_name": 0, + "bits": [ 3071 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:399.32-399.36" + } + }, + "n226": { + "hide_name": 0, + "bits": [ 2040 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:400.32-400.36" + } + }, + "n227": { + "hide_name": 0, + "bits": [ 1386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:401.32-401.36" + } + }, + "n228": { + "hide_name": 0, + "bits": [ 401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:402.32-402.36" + } + }, + "n229": { + "hide_name": 0, + "bits": [ 513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:403.32-403.36" + } + }, + "n22a": { + "hide_name": 0, + "bits": [ 2066 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:404.32-404.36" + } + }, + "n22b": { + "hide_name": 0, + "bits": [ 2067 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:405.32-405.36" + } + }, + "n22c": { + "hide_name": 0, + "bits": [ 2068 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:406.32-406.36" + } + }, + "n22d": { + "hide_name": 0, + "bits": [ 1316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:407.32-407.36" + } + }, + "n22e": { + "hide_name": 0, + "bits": [ 1137 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:408.32-408.36" + } + }, + "n22f": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:409.32-409.36" + } + }, + "n230": { + "hide_name": 0, + "bits": [ 3112 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:410.32-410.36" + } + }, + "n231": { + "hide_name": 0, + "bits": [ 2756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:411.32-411.36" + } + }, + "n232": { + "hide_name": 0, + "bits": [ 505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:412.32-412.36" + } + }, + "n233": { + "hide_name": 0, + "bits": [ 2757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:413.32-413.36" + } + }, + "n234": { + "hide_name": 0, + "bits": [ 2204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:414.32-414.36" + } + }, + "n235": { + "hide_name": 0, + "bits": [ 2206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:415.32-415.36" + } + }, + "n236": { + "hide_name": 0, + "bits": [ 2056 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:416.32-416.36" + } + }, + "n237": { + "hide_name": 0, + "bits": [ 2205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:417.32-417.36" + } + }, + "n238": { + "hide_name": 0, + "bits": [ 843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:418.32-418.36" + } + }, + "n239": { + "hide_name": 0, + "bits": [ 2758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:419.32-419.36" + } + }, + "n23a": { + "hide_name": 0, + "bits": [ 1358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:420.32-420.36" + } + }, + "n23b": { + "hide_name": 0, + "bits": [ 3104 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:421.32-421.36" + } + }, + "n23c": { + "hide_name": 0, + "bits": [ 2203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:422.32-422.36" + } + }, + "n23d": { + "hide_name": 0, + "bits": [ 2119 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:423.32-423.36" + } + }, + "n23e": { + "hide_name": 0, + "bits": [ 2207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:424.32-424.36" + } + }, + "n23f": { + "hide_name": 0, + "bits": [ 3105 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:425.32-425.36" + } + }, + "n240": { + "hide_name": 0, + "bits": [ 2202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:426.32-426.36" + } + }, + "n241": { + "hide_name": 0, + "bits": [ 2208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:427.32-427.36" + } + }, + "n242": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:428.32-428.36" + } + }, + "n243": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:429.32-429.36" + } + }, + "n244": { + "hide_name": 0, + "bits": [ 1803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:430.32-430.36" + } + }, + "n245": { + "hide_name": 0, + "bits": [ 2527 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:431.32-431.36" + } + }, + "n246": { + "hide_name": 0, + "bits": [ 2780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:432.32-432.36" + } + }, + "n247": { + "hide_name": 0, + "bits": [ 1997 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:433.32-433.36" + } + }, + "n248": { + "hide_name": 0, + "bits": [ 1783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:434.32-434.36" + } + }, + "n249": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:435.32-435.36" + } + }, + "n24a": { + "hide_name": 0, + "bits": [ 2209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:436.32-436.36" + } + }, + "n24b": { + "hide_name": 0, + "bits": [ 2210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:437.32-437.36" + } + }, + "n24c": { + "hide_name": 0, + "bits": [ 2778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:438.32-438.36" + } + }, + "n24d": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:439.32-439.36" + } + }, + "n24e": { + "hide_name": 0, + "bits": [ 483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:440.32-440.36" + } + }, + "n24f": { + "hide_name": 0, + "bits": [ 3106 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:441.32-441.36" + } + }, + "n250": { + "hide_name": 0, + "bits": [ 3107 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:442.32-442.36" + } + }, + "n251": { + "hide_name": 0, + "bits": [ 2483 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:443.32-443.36" + } + }, + "n252": { + "hide_name": 0, + "bits": [ 1734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:444.32-444.36" + } + }, + "n253": { + "hide_name": 0, + "bits": [ 1733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:445.32-445.36" + } + }, + "n254": { + "hide_name": 0, + "bits": [ 723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:446.32-446.36" + } + }, + "n255": { + "hide_name": 0, + "bits": [ 849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:447.32-447.36" + } + }, + "n256": { + "hide_name": 0, + "bits": [ 2779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:448.32-448.36" + } + }, + "n257": { + "hide_name": 0, + "bits": [ 2781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:449.32-449.36" + } + }, + "n258": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:450.32-450.36" + } + }, + "n259": { + "hide_name": 0, + "bits": [ 3116 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:451.32-451.36" + } + }, + "n25a": { + "hide_name": 0, + "bits": [ 1761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:452.32-452.36" + } + }, + "n25b": { + "hide_name": 0, + "bits": [ 1760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:453.32-453.36" + } + }, + "n25c": { + "hide_name": 0, + "bits": [ 2525 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:454.32-454.36" + } + }, + "n25d": { + "hide_name": 0, + "bits": [ 1782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:455.32-455.36" + } + }, + "n25e": { + "hide_name": 0, + "bits": [ 2526 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:456.32-456.36" + } + }, + "n25f": { + "hide_name": 0, + "bits": [ 1784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:457.32-457.36" + } + }, + "n260": { + "hide_name": 0, + "bits": [ 2782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:458.32-458.36" + } + }, + "n261": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:459.32-459.36" + } + }, + "n262": { + "hide_name": 0, + "bits": [ 2783 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:460.32-460.36" + } + }, + "n263": { + "hide_name": 0, + "bits": [ 833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:461.32-461.36" + } + }, + "n264": { + "hide_name": 0, + "bits": [ 859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:462.32-462.36" + } + }, + "n265": { + "hide_name": 0, + "bits": [ 2784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:463.32-463.36" + } + }, + "n266": { + "hide_name": 0, + "bits": [ 479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:464.32-464.36" + } + }, + "n267": { + "hide_name": 0, + "bits": [ 2528 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:465.32-465.36" + } + }, + "n268": { + "hide_name": 0, + "bits": [ 2529 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:466.32-466.36" + } + }, + "n269": { + "hide_name": 0, + "bits": [ 2530 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:467.32-467.36" + } + }, + "n26a": { + "hide_name": 0, + "bits": [ 1958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:468.32-468.36" + } + }, + "n26b": { + "hide_name": 0, + "bits": [ 2212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:469.32-469.36" + } + }, + "n26c": { + "hide_name": 0, + "bits": [ 1804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:470.32-470.36" + } + }, + "n26d": { + "hide_name": 0, + "bits": [ 2531 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:471.32-471.36" + } + }, + "n26e": { + "hide_name": 0, + "bits": [ 2211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:472.32-472.36" + } + }, + "n26f": { + "hide_name": 0, + "bits": [ 2222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:473.32-473.36" + } + }, + "n270": { + "hide_name": 0, + "bits": [ 2219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:474.32-474.36" + } + }, + "n271": { + "hide_name": 0, + "bits": [ 3117 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:475.32-475.36" + } + }, + "n272": { + "hide_name": 0, + "bits": [ 3108 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:476.32-476.36" + } + }, + "n273": { + "hide_name": 0, + "bits": [ 2532 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:477.32-477.36" + } + }, + "n274": { + "hide_name": 0, + "bits": [ 3118 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:478.32-478.36" + } + }, + "n275": { + "hide_name": 0, + "bits": [ 2533 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:479.32-479.36" + } + }, + "n276": { + "hide_name": 0, + "bits": [ 2534 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:480.32-480.36" + } + }, + "n277": { + "hide_name": 0, + "bits": [ 3119 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:481.32-481.36" + } + }, + "n278": { + "hide_name": 0, + "bits": [ 393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:482.32-482.36" + } + }, + "n279": { + "hide_name": 0, + "bits": [ 1805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:483.32-483.36" + } + }, + "n27a": { + "hide_name": 0, + "bits": [ 2535 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:484.32-484.36" + } + }, + "n27b": { + "hide_name": 0, + "bits": [ 1806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:485.32-485.36" + } + }, + "n27c": { + "hide_name": 0, + "bits": [ 2536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:486.32-486.36" + } + }, + "n27d": { + "hide_name": 0, + "bits": [ 2214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:487.32-487.36" + } + }, + "n27e": { + "hide_name": 0, + "bits": [ 3120 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:488.32-488.36" + } + }, + "n27f": { + "hide_name": 0, + "bits": [ 2537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:489.32-489.36" + } + }, + "n280": { + "hide_name": 0, + "bits": [ 2215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:490.32-490.36" + } + }, + "n281": { + "hide_name": 0, + "bits": [ 1140 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:491.32-491.36" + } + }, + "n282": { + "hide_name": 0, + "bits": [ 3121 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:492.32-492.36" + } + }, + "n283": { + "hide_name": 0, + "bits": [ 2216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:493.32-493.36" + } + }, + "n284": { + "hide_name": 0, + "bits": [ 2217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:494.32-494.36" + } + }, + "n285": { + "hide_name": 0, + "bits": [ 2538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:495.32-495.36" + } + }, + "n286": { + "hide_name": 0, + "bits": [ 2563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:496.32-496.36" + } + }, + "n287": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:497.32-497.36" + } + }, + "n288": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:498.32-498.36" + } + }, + "n289": { + "hide_name": 0, + "bits": [ 2218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:499.32-499.36" + } + }, + "n28a": { + "hide_name": 0, + "bits": [ 2539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:500.32-500.36" + } + }, + "n28b": { + "hide_name": 0, + "bits": [ 2220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:501.32-501.36" + } + }, + "n28c": { + "hide_name": 0, + "bits": [ 2562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:502.32-502.36" + } + }, + "n28d": { + "hide_name": 0, + "bits": [ 3122 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:503.32-503.36" + } + }, + "n28e": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:504.32-504.36" + } + }, + "n28f": { + "hide_name": 0, + "bits": [ 2540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:505.32-505.36" + } + }, + "n290": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:506.32-506.36" + } + }, + "n291": { + "hide_name": 0, + "bits": [ 3123 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:507.32-507.36" + } + }, + "n292": { + "hide_name": 0, + "bits": [ 1380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:508.32-508.36" + } + }, + "n293": { + "hide_name": 0, + "bits": [ 2221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:509.32-509.36" + } + }, + "n294": { + "hide_name": 0, + "bits": [ 1807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:510.32-510.36" + } + }, + "n295": { + "hide_name": 0, + "bits": [ 1138 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:511.32-511.36" + } + }, + "n296": { + "hide_name": 0, + "bits": [ 1808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:512.32-512.36" + } + }, + "n297": { + "hide_name": 0, + "bits": [ 1810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:513.32-513.36" + } + }, + "n298": { + "hide_name": 0, + "bits": [ 1809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:514.32-514.36" + } + }, + "n299": { + "hide_name": 0, + "bits": [ 1708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:515.32-515.36" + } + }, + "n29a": { + "hide_name": 0, + "bits": [ 1709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:516.32-516.36" + } + }, + "n29b": { + "hide_name": 0, + "bits": [ 1710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:517.32-517.36" + } + }, + "n29c": { + "hide_name": 0, + "bits": [ 1711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:518.32-518.36" + } + }, + "n29d": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:519.32-519.36" + } + }, + "n29e": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:520.32-520.36" + } + }, + "n29f": { + "hide_name": 0, + "bits": [ 2785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:521.32-521.36" + } + }, + "n2a0": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:522.32-522.36" + } + }, + "n2a1": { + "hide_name": 0, + "bits": [ 1368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:523.32-523.36" + } + }, + "n2a2": { + "hide_name": 0, + "bits": [ 2542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:524.32-524.36" + } + }, + "n2a3": { + "hide_name": 0, + "bits": [ 813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:525.32-525.36" + } + }, + "n2a4": { + "hide_name": 0, + "bits": [ 2430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:526.32-526.36" + } + }, + "n2a5": { + "hide_name": 0, + "bits": [ 2786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:527.32-527.36" + } + }, + "n2a6": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:528.32-528.36" + } + }, + "n2a7": { + "hide_name": 0, + "bits": [ 1814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:529.32-529.36" + } + }, + "n2a8": { + "hide_name": 0, + "bits": [ 1984 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:530.32-530.36" + } + }, + "n2a9": { + "hide_name": 0, + "bits": [ 2223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:531.32-531.36" + } + }, + "n2aa": { + "hide_name": 0, + "bits": [ 2787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:532.32-532.36" + } + }, + "n2ab": { + "hide_name": 0, + "bits": [ 2788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:533.32-533.36" + } + }, + "n2ac": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:534.32-534.36" + } + }, + "n2ad": { + "hide_name": 0, + "bits": [ 763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:535.32-535.36" + } + }, + "n2ae": { + "hide_name": 0, + "bits": [ 2224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:536.32-536.36" + } + }, + "n2af": { + "hide_name": 0, + "bits": [ 895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:537.32-537.36" + } + }, + "n2b0": { + "hide_name": 0, + "bits": [ 2789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:538.32-538.36" + } + }, + "n2b1": { + "hide_name": 0, + "bits": [ 1141 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:539.32-539.36" + } + }, + "n2b2": { + "hide_name": 0, + "bits": [ 3125 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:540.32-540.36" + } + }, + "n2b3": { + "hide_name": 0, + "bits": [ 2541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:541.32-541.36" + } + }, + "n2b4": { + "hide_name": 0, + "bits": [ 1811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:542.32-542.36" + } + }, + "n2b5": { + "hide_name": 0, + "bits": [ 1812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:543.32-543.36" + } + }, + "n2b6": { + "hide_name": 0, + "bits": [ 2225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:544.32-544.36" + } + }, + "n2b7": { + "hide_name": 0, + "bits": [ 2229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:545.32-545.36" + } + }, + "n2b8": { + "hide_name": 0, + "bits": [ 2543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:546.32-546.36" + } + }, + "n2b9": { + "hide_name": 0, + "bits": [ 1813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:547.32-547.36" + } + }, + "n2ba": { + "hide_name": 0, + "bits": [ 1831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:548.32-548.36" + } + }, + "n2bb": { + "hide_name": 0, + "bits": [ 2544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:549.32-549.36" + } + }, + "n2bc": { + "hide_name": 0, + "bits": [ 2545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:550.32-550.36" + } + }, + "n2bd": { + "hide_name": 0, + "bits": [ 2226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:551.32-551.36" + } + }, + "n2be": { + "hide_name": 0, + "bits": [ 1815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:552.32-552.36" + } + }, + "n2bf": { + "hide_name": 0, + "bits": [ 2235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:553.32-553.36" + } + }, + "n2c0": { + "hide_name": 0, + "bits": [ 2230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:554.32-554.36" + } + }, + "n2c1": { + "hide_name": 0, + "bits": [ 2547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:555.32-555.36" + } + }, + "n2c2": { + "hide_name": 0, + "bits": [ 2546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:556.32-556.36" + } + }, + "n2c3": { + "hide_name": 0, + "bits": [ 759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:557.32-557.36" + } + }, + "n2c4": { + "hide_name": 0, + "bits": [ 2791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:558.32-558.36" + } + }, + "n2c5": { + "hide_name": 0, + "bits": [ 1828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:559.32-559.36" + } + }, + "n2c6": { + "hide_name": 0, + "bits": [ 3109 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:560.32-560.36" + } + }, + "n2c7": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:561.32-561.36" + } + }, + "n2c8": { + "hide_name": 0, + "bits": [ 1318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:562.32-562.36" + } + }, + "n2c9": { + "hide_name": 0, + "bits": [ 2548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:563.32-563.36" + } + }, + "n2ca": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:564.32-564.36" + } + }, + "n2cb": { + "hide_name": 0, + "bits": [ 1816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:565.32-565.36" + } + }, + "n2cc": { + "hide_name": 0, + "bits": [ 1819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:566.32-566.36" + } + }, + "n2cd": { + "hide_name": 0, + "bits": [ 2227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:567.32-567.36" + } + }, + "n2ce": { + "hide_name": 0, + "bits": [ 1817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:568.32-568.36" + } + }, + "n2cf": { + "hide_name": 0, + "bits": [ 815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:569.32-569.36" + } + }, + "n2d0": { + "hide_name": 0, + "bits": [ 1818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:570.32-570.36" + } + }, + "n2d1": { + "hide_name": 0, + "bits": [ 901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:571.32-571.36" + } + }, + "n2d2": { + "hide_name": 0, + "bits": [ 781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:572.32-572.36" + } + }, + "n2d3": { + "hide_name": 0, + "bits": [ 3126 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:573.32-573.36" + } + }, + "n2d4": { + "hide_name": 0, + "bits": [ 2790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:574.32-574.36" + } + }, + "n2d5": { + "hide_name": 0, + "bits": [ 2228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:575.32-575.36" + } + }, + "n2d6": { + "hide_name": 0, + "bits": [ 1139 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:576.32-576.36" + } + }, + "n2d7": { + "hide_name": 0, + "bits": [ 1827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:577.32-577.36" + } + }, + "n2d8": { + "hide_name": 0, + "bits": [ 3127 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:578.32-578.36" + } + }, + "n2d9": { + "hide_name": 0, + "bits": [ 1820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:579.32-579.36" + } + }, + "n2da": { + "hide_name": 0, + "bits": [ 915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:580.32-580.36" + } + }, + "n2db": { + "hide_name": 0, + "bits": [ 2792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:581.32-581.36" + } + }, + "n2dc": { + "hide_name": 0, + "bits": [ 1823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:582.32-582.36" + } + }, + "n2dd": { + "hide_name": 0, + "bits": [ 1822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:583.32-583.36" + } + }, + "n2de": { + "hide_name": 0, + "bits": [ 1824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:584.32-584.36" + } + }, + "n2df": { + "hide_name": 0, + "bits": [ 1314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:585.32-585.36" + } + }, + "n2e0": { + "hide_name": 0, + "bits": [ 687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:586.32-586.36" + } + }, + "n2e1": { + "hide_name": 0, + "bits": [ 2549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:587.32-587.36" + } + }, + "n2e2": { + "hide_name": 0, + "bits": [ 1825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:588.32-588.36" + } + }, + "n2e3": { + "hide_name": 0, + "bits": [ 1826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:589.32-589.36" + } + }, + "n2e4": { + "hide_name": 0, + "bits": [ 2551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:590.32-590.36" + } + }, + "n2e5": { + "hide_name": 0, + "bits": [ 2793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:591.32-591.36" + } + }, + "n2e6": { + "hide_name": 0, + "bits": [ 2552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:592.32-592.36" + } + }, + "n2e7": { + "hide_name": 0, + "bits": [ 1834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:593.32-593.36" + } + }, + "n2e8": { + "hide_name": 0, + "bits": [ 1830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:594.32-594.36" + } + }, + "n2e9": { + "hide_name": 0, + "bits": [ 1829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:595.32-595.36" + } + }, + "n2ea": { + "hide_name": 0, + "bits": [ 1832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:596.32-596.36" + } + }, + "n2eb": { + "hide_name": 0, + "bits": [ 1833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:597.32-597.36" + } + }, + "n2ec": { + "hide_name": 0, + "bits": [ 2553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:598.32-598.36" + } + }, + "n2ed": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:599.32-599.36" + } + }, + "n2ee": { + "hide_name": 0, + "bits": [ 2231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:600.32-600.36" + } + }, + "n2ef": { + "hide_name": 0, + "bits": [ 1836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:601.32-601.36" + } + }, + "n2f0": { + "hide_name": 0, + "bits": [ 1835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:602.32-602.36" + } + }, + "n2f1": { + "hide_name": 0, + "bits": [ 837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:603.32-603.36" + } + }, + "n2f2": { + "hide_name": 0, + "bits": [ 2554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:604.32-604.36" + } + }, + "n2f3": { + "hide_name": 0, + "bits": [ 2233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:605.32-605.36" + } + }, + "n2f4": { + "hide_name": 0, + "bits": [ 2555 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:606.32-606.36" + } + }, + "n2f5": { + "hide_name": 0, + "bits": [ 2794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:607.32-607.36" + } + }, + "n2f6": { + "hide_name": 0, + "bits": [ 2556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:608.32-608.36" + } + }, + "n2f7": { + "hide_name": 0, + "bits": [ 2795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:609.32-609.36" + } + }, + "n2f8": { + "hide_name": 0, + "bits": [ 2559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:610.32-610.36" + } + }, + "n2f9": { + "hide_name": 0, + "bits": [ 1837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:611.32-611.36" + } + }, + "n2fa": { + "hide_name": 0, + "bits": [ 2234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:612.32-612.36" + } + }, + "n2fb": { + "hide_name": 0, + "bits": [ 1838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:613.32-613.36" + } + }, + "n2fc": { + "hide_name": 0, + "bits": [ 2236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:614.32-614.36" + } + }, + "n2fd": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:615.32-615.36" + } + }, + "n2fe": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:616.32-616.36" + } + }, + "n2ff": { + "hide_name": 0, + "bits": [ 2796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:617.32-617.36" + } + }, + "n300": { + "hide_name": 0, + "bits": [ 907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:618.32-618.36" + } + }, + "n301": { + "hide_name": 0, + "bits": [ 2696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:619.32-619.36" + } + }, + "n302": { + "hide_name": 0, + "bits": [ 3128 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:620.32-620.36" + } + }, + "n303": { + "hide_name": 0, + "bits": [ 3076 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:621.32-621.36" + } + }, + "n304": { + "hide_name": 0, + "bits": [ 3129 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:622.32-622.36" + } + }, + "n305": { + "hide_name": 0, + "bits": [ 2237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:623.32-623.36" + } + }, + "n306": { + "hide_name": 0, + "bits": [ 1840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:624.32-624.36" + } + }, + "n307": { + "hide_name": 0, + "bits": [ 1845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:625.32-625.36" + } + }, + "n308": { + "hide_name": 0, + "bits": [ 1841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:626.32-626.36" + } + }, + "n309": { + "hide_name": 0, + "bits": [ 1842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:627.32-627.36" + } + }, + "n30a": { + "hide_name": 0, + "bits": [ 1847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:628.32-628.36" + } + }, + "n30b": { + "hide_name": 0, + "bits": [ 2564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:629.32-629.36" + } + }, + "n30c": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:630.32-630.36" + } + }, + "n30d": { + "hide_name": 0, + "bits": [ 2560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:631.32-631.36" + } + }, + "n30e": { + "hide_name": 0, + "bits": [ 2561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:632.32-632.36" + } + }, + "n30f": { + "hide_name": 0, + "bits": [ 1330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:633.32-633.36" + } + }, + "n310": { + "hide_name": 0, + "bits": [ 2239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:634.32-634.36" + } + }, + "n311": { + "hide_name": 0, + "bits": [ 785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:635.32-635.36" + } + }, + "n312": { + "hide_name": 0, + "bits": [ 2238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:636.32-636.36" + } + }, + "n313": { + "hide_name": 0, + "bits": [ 1843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:637.32-637.36" + } + }, + "n314": { + "hide_name": 0, + "bits": [ 2240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:638.32-638.36" + } + }, + "n315": { + "hide_name": 0, + "bits": [ 2241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:639.32-639.36" + } + }, + "n316": { + "hide_name": 0, + "bits": [ 1244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:640.32-640.36" + } + }, + "n317": { + "hide_name": 0, + "bits": [ 3130 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:641.32-641.36" + } + }, + "n318": { + "hide_name": 0, + "bits": [ 2242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:642.32-642.36" + } + }, + "n319": { + "hide_name": 0, + "bits": [ 2243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:643.32-643.36" + } + }, + "n31a": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:644.32-644.36" + } + }, + "n31b": { + "hide_name": 0, + "bits": [ 1846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:645.32-645.36" + } + }, + "n31c": { + "hide_name": 0, + "bits": [ 2688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:646.32-646.36" + } + }, + "n31d": { + "hide_name": 0, + "bits": [ 1869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:647.32-647.36" + } + }, + "n31e": { + "hide_name": 0, + "bits": [ 1966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:648.32-648.36" + } + }, + "n31f": { + "hide_name": 0, + "bits": [ 3095 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:649.32-649.36" + } + }, + "n320": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:650.32-650.36" + } + }, + "n321": { + "hide_name": 0, + "bits": [ 2798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:651.32-651.36" + } + }, + "n322": { + "hide_name": 0, + "bits": [ 2244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:652.32-652.36" + } + }, + "n323": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:653.32-653.36" + } + }, + "n324": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:654.32-654.36" + } + }, + "n325": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:655.32-655.36" + } + }, + "n326": { + "hide_name": 0, + "bits": [ 1135 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:656.32-656.36" + } + }, + "n327": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:657.32-657.36" + } + }, + "n328": { + "hide_name": 0, + "bits": [ 795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:658.32-658.36" + } + }, + "n329": { + "hide_name": 0, + "bits": [ 887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:659.32-659.36" + } + }, + "n32a": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:660.32-660.36" + } + }, + "n32b": { + "hide_name": 0, + "bits": [ 499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:661.32-661.36" + } + }, + "n32c": { + "hide_name": 0, + "bits": [ 2565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:662.32-662.36" + } + }, + "n32d": { + "hide_name": 0, + "bits": [ 1337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:663.32-663.36" + } + }, + "n32e": { + "hide_name": 0, + "bits": [ 1134 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:664.32-664.36" + } + }, + "n32f": { + "hide_name": 0, + "bits": [ 2370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:665.32-665.36" + } + }, + "n330": { + "hide_name": 0, + "bits": [ 823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:666.32-666.36" + } + }, + "n331": { + "hide_name": 0, + "bits": [ 711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:667.32-667.36" + } + }, + "n332": { + "hide_name": 0, + "bits": [ 797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:668.32-668.36" + } + }, + "n333": { + "hide_name": 0, + "bits": [ 881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:669.32-669.36" + } + }, + "n334": { + "hide_name": 0, + "bits": [ 2627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:670.32-670.36" + } + }, + "n335": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:671.32-671.36" + } + }, + "n336": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:672.32-672.36" + } + }, + "n337": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:673.32-673.36" + } + }, + "n338": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:674.32-674.36" + } + }, + "n339": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:675.32-675.36" + } + }, + "n33a": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:676.32-676.36" + } + }, + "n33b": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:677.32-677.36" + } + }, + "n33c": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:678.32-678.36" + } + }, + "n33d": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:679.32-679.36" + } + }, + "n33e": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:680.32-680.36" + } + }, + "n33f": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:681.32-681.36" + } + }, + "n340": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:682.32-682.36" + } + }, + "n341": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:683.32-683.36" + } + }, + "n342": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:684.32-684.36" + } + }, + "n343": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:685.32-685.36" + } + }, + "n344": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:686.32-686.36" + } + }, + "n345": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:687.32-687.36" + } + }, + "n346": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:688.32-688.36" + } + }, + "n347": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:689.32-689.36" + } + }, + "n348": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:690.32-690.36" + } + }, + "n349": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:691.32-691.36" + } + }, + "n34a": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:692.32-692.36" + } + }, + "n34b": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:693.32-693.36" + } + }, + "n34c": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:694.32-694.36" + } + }, + "n34d": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:695.32-695.36" + } + }, + "n34e": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:696.32-696.36" + } + }, + "n34f": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:697.32-697.36" + } + }, + "n350": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:698.32-698.36" + } + }, + "n351": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:699.32-699.36" + } + }, + "n352": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:700.32-700.36" + } + }, + "n353": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:701.32-701.36" + } + }, + "n354": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:702.32-702.36" + } + }, + "n355": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:703.32-703.36" + } + }, + "n356": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:704.32-704.36" + } + }, + "n357": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:705.32-705.36" + } + }, + "n358": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:706.32-706.36" + } + }, + "n359": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:707.32-707.36" + } + }, + "n35a": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:708.32-708.36" + } + }, + "n35b": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:709.32-709.36" + } + }, + "n35c": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:710.32-710.36" + } + }, + "n35d": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:711.32-711.36" + } + }, + "n35e": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:712.32-712.36" + } + }, + "n35f": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:713.32-713.36" + } + }, + "n360": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:714.32-714.36" + } + }, + "n361": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:715.32-715.36" + } + }, + "n362": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:716.32-716.36" + } + }, + "n363": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:717.32-717.36" + } + }, + "n364": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:718.32-718.36" + } + }, + "n365": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:719.32-719.36" + } + }, + "n366": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:720.32-720.36" + } + }, + "n367": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:721.32-721.36" + } + }, + "n368": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:722.32-722.36" + } + }, + "n369": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:723.32-723.36" + } + }, + "n36a": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:724.32-724.36" + } + }, + "n36b": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:725.32-725.36" + } + }, + "n36c": { + "hide_name": 0, + "bits": [ 765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:726.32-726.36" + } + }, + "n36d": { + "hide_name": 0, + "bits": [ 755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:727.32-727.36" + } + }, + "n36e": { + "hide_name": 0, + "bits": [ 2569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:728.32-728.36" + } + }, + "n36f": { + "hide_name": 0, + "bits": [ 2570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:729.32-729.36" + } + }, + "n370": { + "hide_name": 0, + "bits": [ 2340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:730.32-730.36" + } + }, + "n371": { + "hide_name": 0, + "bits": [ 1867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:731.32-731.36" + } + }, + "n372": { + "hide_name": 0, + "bits": [ 3077 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:732.32-732.36" + } + }, + "n373": { + "hide_name": 0, + "bits": [ 707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:733.32-733.36" + } + }, + "n374": { + "hide_name": 0, + "bits": [ 1849 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:734.32-734.36" + } + }, + "n375": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:735.32-735.36" + } + }, + "n376": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:736.32-736.36" + } + }, + "n377": { + "hide_name": 0, + "bits": [ 2799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:737.32-737.36" + } + }, + "n378": { + "hide_name": 0, + "bits": [ 911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:738.32-738.36" + } + }, + "n379": { + "hide_name": 0, + "bits": [ 2801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:739.32-739.36" + } + }, + "n37a": { + "hide_name": 0, + "bits": [ 2800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:740.32-740.36" + } + }, + "n37b": { + "hide_name": 0, + "bits": [ 2802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:741.32-741.36" + } + }, + "n37c": { + "hide_name": 0, + "bits": [ 495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:742.32-742.36" + } + }, + "n37d": { + "hide_name": 0, + "bits": [ 659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:743.32-743.36" + } + }, + "n37e": { + "hide_name": 0, + "bits": [ 3079 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:744.32-744.36" + } + }, + "n37f": { + "hide_name": 0, + "bits": [ 3078 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:745.32-745.36" + } + }, + "n380": { + "hide_name": 0, + "bits": [ 2246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:746.32-746.36" + } + }, + "n381": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:747.32-747.36" + } + }, + "n382": { + "hide_name": 0, + "bits": [ 3080 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:748.32-748.36" + } + }, + "n383": { + "hide_name": 0, + "bits": [ 3081 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:749.32-749.36" + } + }, + "n384": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:750.32-750.36" + } + }, + "n385": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:751.32-751.36" + } + }, + "n386": { + "hide_name": 0, + "bits": [ 2245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:752.32-752.36" + } + }, + "n387": { + "hide_name": 0, + "bits": [ 1880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:753.32-753.36" + } + }, + "n388": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:754.32-754.36" + } + }, + "n389": { + "hide_name": 0, + "bits": [ 2566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:755.32-755.36" + } + }, + "n38a": { + "hide_name": 0, + "bits": [ 497 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:756.32-756.36" + } + }, + "n38b": { + "hide_name": 0, + "bits": [ 1848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:757.32-757.36" + } + }, + "n38c": { + "hide_name": 0, + "bits": [ 500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:758.32-758.36" + } + }, + "n38d": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:759.32-759.36" + } + }, + "n38e": { + "hide_name": 0, + "bits": [ 2568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:760.32-760.36" + } + }, + "n38f": { + "hide_name": 0, + "bits": [ 2581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:761.32-761.36" + } + }, + "n390": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:762.32-762.36" + } + }, + "n391": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:763.32-763.36" + } + }, + "n392": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:764.32-764.36" + } + }, + "n393": { + "hide_name": 0, + "bits": [ 713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:765.32-765.36" + } + }, + "n394": { + "hide_name": 0, + "bits": [ 2002 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:766.32-766.36" + } + }, + "n395": { + "hide_name": 0, + "bits": [ 2567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:767.32-767.36" + } + }, + "n396": { + "hide_name": 0, + "bits": [ 2248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:768.32-768.36" + } + }, + "n397": { + "hide_name": 0, + "bits": [ 3082 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:769.32-769.36" + } + }, + "n398": { + "hide_name": 0, + "bits": [ 1850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:770.32-770.36" + } + }, + "n399": { + "hide_name": 0, + "bits": [ 519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:771.32-771.36" + } + }, + "n39a": { + "hide_name": 0, + "bits": [ 1136 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:772.32-772.36" + } + }, + "n39b": { + "hide_name": 0, + "bits": [ 3083 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:773.32-773.36" + } + }, + "n39c": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:774.32-774.36" + } + }, + "n39d": { + "hide_name": 0, + "bits": [ 2247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:775.32-775.36" + } + }, + "n39e": { + "hide_name": 0, + "bits": [ 865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:776.32-776.36" + } + }, + "n39f": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:777.32-777.36" + } + }, + "n3a0": { + "hide_name": 0, + "bits": [ 1851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:778.32-778.36" + } + }, + "n3a1": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:779.32-779.36" + } + }, + "n3a2": { + "hide_name": 0, + "bits": [ 2804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:780.32-780.36" + } + }, + "n3a3": { + "hide_name": 0, + "bits": [ 2572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:781.32-781.36" + } + }, + "n3a4": { + "hide_name": 0, + "bits": [ 2253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:782.32-782.36" + } + }, + "n3a5": { + "hide_name": 0, + "bits": [ 2571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:783.32-783.36" + } + }, + "n3a6": { + "hide_name": 0, + "bits": [ 699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:784.32-784.36" + } + }, + "n3a7": { + "hide_name": 0, + "bits": [ 693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:785.32-785.36" + } + }, + "n3a8": { + "hide_name": 0, + "bits": [ 2250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:786.32-786.36" + } + }, + "n3a9": { + "hide_name": 0, + "bits": [ 2252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:787.32-787.36" + } + }, + "n3aa": { + "hide_name": 0, + "bits": [ 3132 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:788.32-788.36" + } + }, + "n3ab": { + "hide_name": 0, + "bits": [ 2573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:789.32-789.36" + } + }, + "n3ac": { + "hide_name": 0, + "bits": [ 2251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:790.32-790.36" + } + }, + "n3ad": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:791.32-791.36" + } + }, + "n3ae": { + "hide_name": 0, + "bits": [ 2254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:792.32-792.36" + } + }, + "n3af": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:793.32-793.36" + } + }, + "n3b0": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:794.32-794.36" + } + }, + "n3b1": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:795.32-795.36" + } + }, + "n3b2": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:796.32-796.36" + } + }, + "n3b3": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:797.32-797.36" + } + }, + "n3b4": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:798.32-798.36" + } + }, + "n3b5": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:799.32-799.36" + } + }, + "n3b6": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:800.32-800.36" + } + }, + "n3b7": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:801.32-801.36" + } + }, + "n3b8": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:802.32-802.36" + } + }, + "n3b9": { + "hide_name": 0, + "bits": [ 1132 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:803.32-803.36" + } + }, + "n3ba": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:804.32-804.36" + } + }, + "n3bb": { + "hide_name": 0, + "bits": [ 751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:805.32-805.36" + } + }, + "n3bc": { + "hide_name": 0, + "bits": [ 2893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:806.32-806.36" + } + }, + "n3bd": { + "hide_name": 0, + "bits": [ 2258 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:807.32-807.36" + } + }, + "n3be": { + "hide_name": 0, + "bits": [ 2807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:808.32-808.36" + } + }, + "n3bf": { + "hide_name": 0, + "bits": [ 2812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:809.32-809.36" + } + }, + "n3c0": { + "hide_name": 0, + "bits": [ 1854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:810.32-810.36" + } + }, + "n3c1": { + "hide_name": 0, + "bits": [ 3084 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:811.32-811.36" + } + }, + "n3c2": { + "hide_name": 0, + "bits": [ 2985 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:812.32-812.36" + } + }, + "n3c3": { + "hide_name": 0, + "bits": [ 827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:813.32-813.36" + } + }, + "n3c4": { + "hide_name": 0, + "bits": [ 729 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:814.32-814.36" + } + }, + "n3c5": { + "hide_name": 0, + "bits": [ 2805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:815.32-815.36" + } + }, + "n3c6": { + "hide_name": 0, + "bits": [ 673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:816.32-816.36" + } + }, + "n3c7": { + "hide_name": 0, + "bits": [ 779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:817.32-817.36" + } + }, + "n3c8": { + "hide_name": 0, + "bits": [ 2806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:818.32-818.36" + } + }, + "n3c9": { + "hide_name": 0, + "bits": [ 3085 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:819.32-819.36" + } + }, + "n3ca": { + "hide_name": 0, + "bits": [ 787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:820.32-820.36" + } + }, + "n3cb": { + "hide_name": 0, + "bits": [ 2255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:821.32-821.36" + } + }, + "n3cc": { + "hide_name": 0, + "bits": [ 1852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:822.32-822.36" + } + }, + "n3cd": { + "hide_name": 0, + "bits": [ 2256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:823.32-823.36" + } + }, + "n3ce": { + "hide_name": 0, + "bits": [ 3133 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:824.32-824.36" + } + }, + "n3cf": { + "hide_name": 0, + "bits": [ 1853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:825.32-825.36" + } + }, + "n3d0": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:826.32-826.36" + } + }, + "n3d1": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:827.32-827.36" + } + }, + "n3d2": { + "hide_name": 0, + "bits": [ 1127 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:828.32-828.36" + } + }, + "n3d3": { + "hide_name": 0, + "bits": [ 2574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:829.32-829.36" + } + }, + "n3d4": { + "hide_name": 0, + "bits": [ 819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:830.32-830.36" + } + }, + "n3d5": { + "hide_name": 0, + "bits": [ 2257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:831.32-831.36" + } + }, + "n3d6": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:832.32-832.36" + } + }, + "n3d7": { + "hide_name": 0, + "bits": [ 753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:833.32-833.36" + } + }, + "n3d8": { + "hide_name": 0, + "bits": [ 1863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:834.32-834.36" + } + }, + "n3d9": { + "hide_name": 0, + "bits": [ 2576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:835.32-835.36" + } + }, + "n3da": { + "hide_name": 0, + "bits": [ 2809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:836.32-836.36" + } + }, + "n3db": { + "hide_name": 0, + "bits": [ 2808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:837.32-837.36" + } + }, + "n3dc": { + "hide_name": 0, + "bits": [ 2586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:838.32-838.36" + } + }, + "n3dd": { + "hide_name": 0, + "bits": [ 705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:839.32-839.36" + } + }, + "n3de": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:840.32-840.36" + } + }, + "n3df": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:841.32-841.36" + } + }, + "n3e0": { + "hide_name": 0, + "bits": [ 2578 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:842.32-842.36" + } + }, + "n3e1": { + "hide_name": 0, + "bits": [ 2810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:843.32-843.36" + } + }, + "n3e2": { + "hide_name": 0, + "bits": [ 2577 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:844.32-844.36" + } + }, + "n3e3": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:845.32-845.36" + } + }, + "n3e4": { + "hide_name": 0, + "bits": [ 1858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:846.32-846.36" + } + }, + "n3e5": { + "hide_name": 0, + "bits": [ 1855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:847.32-847.36" + } + }, + "n3e6": { + "hide_name": 0, + "bits": [ 1856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:848.32-848.36" + } + }, + "n3e7": { + "hide_name": 0, + "bits": [ 1857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:849.32-849.36" + } + }, + "n3e8": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:850.32-850.36" + } + }, + "n3e9": { + "hide_name": 0, + "bits": [ 502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:851.32-851.36" + } + }, + "n3ea": { + "hide_name": 0, + "bits": [ 2811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:852.32-852.36" + } + }, + "n3eb": { + "hide_name": 0, + "bits": [ 2259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:853.32-853.36" + } + }, + "n3ec": { + "hide_name": 0, + "bits": [ 2260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:854.32-854.36" + } + }, + "n3ed": { + "hide_name": 0, + "bits": [ 2579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:855.32-855.36" + } + }, + "n3ee": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:856.32-856.36" + } + }, + "n3ef": { + "hide_name": 0, + "bits": [ 2813 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:857.32-857.36" + } + }, + "n3f0": { + "hide_name": 0, + "bits": [ 2404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:858.32-858.36" + } + }, + "n3f1": { + "hide_name": 0, + "bits": [ 767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:859.32-859.36" + } + }, + "n3f2": { + "hide_name": 0, + "bits": [ 2261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:860.32-860.36" + } + }, + "n3f3": { + "hide_name": 0, + "bits": [ 1861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:861.32-861.36" + } + }, + "n3f4": { + "hide_name": 0, + "bits": [ 2262 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:862.32-862.36" + } + }, + "n3f5": { + "hide_name": 0, + "bits": [ 1859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:863.32-863.36" + } + }, + "n3f6": { + "hide_name": 0, + "bits": [ 454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:864.32-864.36" + } + }, + "n3f7": { + "hide_name": 0, + "bits": [ 1860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:865.32-865.36" + } + }, + "n3f8": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:866.32-866.36" + } + }, + "n3f9": { + "hide_name": 0, + "bits": [ 439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:867.32-867.36" + } + }, + "n3fa": { + "hide_name": 0, + "bits": [ 2580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:868.32-868.36" + } + }, + "n3fb": { + "hide_name": 0, + "bits": [ 1126 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:869.32-869.36" + } + }, + "n3fc": { + "hide_name": 0, + "bits": [ 3134 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:870.32-870.36" + } + }, + "n3fd": { + "hide_name": 0, + "bits": [ 2583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:871.32-871.36" + } + }, + "n3fe": { + "hide_name": 0, + "bits": [ 2582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:872.32-872.36" + } + }, + "n3ff": { + "hide_name": 0, + "bits": [ 2815 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:873.32-873.36" + } + }, + "n400": { + "hide_name": 0, + "bits": [ 1864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:874.32-874.36" + } + }, + "n401": { + "hide_name": 0, + "bits": [ 1862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:875.32-875.36" + } + }, + "n402": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:876.32-876.36" + } + }, + "n403": { + "hide_name": 0, + "bits": [ 2585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:877.32-877.36" + } + }, + "n404": { + "hide_name": 0, + "bits": [ 2584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:878.32-878.36" + } + }, + "n405": { + "hide_name": 0, + "bits": [ 2264 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:879.32-879.36" + } + }, + "n406": { + "hide_name": 0, + "bits": [ 2263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:880.32-880.36" + } + }, + "n407": { + "hide_name": 0, + "bits": [ 2816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:881.32-881.36" + } + }, + "n408": { + "hide_name": 0, + "bits": [ 1865 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:882.32-882.36" + } + }, + "n409": { + "hide_name": 0, + "bits": [ 2265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:883.32-883.36" + } + }, + "n40a": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:884.32-884.36" + } + }, + "n40b": { + "hide_name": 0, + "bits": [ 2596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:885.32-885.36" + } + }, + "n40c": { + "hide_name": 0, + "bits": [ 805 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:886.32-886.36" + } + }, + "n40d": { + "hide_name": 0, + "bits": [ 2822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:887.32-887.36" + } + }, + "n40e": { + "hide_name": 0, + "bits": [ 807 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:888.32-888.36" + } + }, + "n40f": { + "hide_name": 0, + "bits": [ 2266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:889.32-889.36" + } + }, + "n410": { + "hide_name": 0, + "bits": [ 2818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:890.32-890.36" + } + }, + "n411": { + "hide_name": 0, + "bits": [ 2819 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:891.32-891.36" + } + }, + "n412": { + "hide_name": 0, + "bits": [ 2268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:892.32-892.36" + } + }, + "n413": { + "hide_name": 0, + "bits": [ 2817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:893.32-893.36" + } + }, + "n414": { + "hide_name": 0, + "bits": [ 2820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:894.32-894.36" + } + }, + "n415": { + "hide_name": 0, + "bits": [ 2273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:895.32-895.36" + } + }, + "n416": { + "hide_name": 0, + "bits": [ 2821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:896.32-896.36" + } + }, + "n417": { + "hide_name": 0, + "bits": [ 2824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:897.32-897.36" + } + }, + "n418": { + "hide_name": 0, + "bits": [ 1866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:898.32-898.36" + } + }, + "n419": { + "hide_name": 0, + "bits": [ 2823 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:899.32-899.36" + } + }, + "n41a": { + "hide_name": 0, + "bits": [ 1868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:900.32-900.36" + } + }, + "n41b": { + "hide_name": 0, + "bits": [ 2267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:901.32-901.36" + } + }, + "n41c": { + "hide_name": 0, + "bits": [ 2825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:902.32-902.36" + } + }, + "n41d": { + "hide_name": 0, + "bits": [ 1879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:903.32-903.36" + } + }, + "n41e": { + "hide_name": 0, + "bits": [ 3136 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:904.32-904.36" + } + }, + "n41f": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:905.32-905.36" + } + }, + "n420": { + "hide_name": 0, + "bits": [ 2269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:906.32-906.36" + } + }, + "n421": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:907.32-907.36" + } + }, + "n422": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:908.32-908.36" + } + }, + "n423": { + "hide_name": 0, + "bits": [ 1123 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:909.32-909.36" + } + }, + "n424": { + "hide_name": 0, + "bits": [ 1131 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:910.32-910.36" + } + }, + "n425": { + "hide_name": 0, + "bits": [ 517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:911.32-911.36" + } + }, + "n426": { + "hide_name": 0, + "bits": [ 675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:912.32-912.36" + } + }, + "n427": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:913.32-913.36" + } + }, + "n428": { + "hide_name": 0, + "bits": [ 2826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:914.32-914.36" + } + }, + "n429": { + "hide_name": 0, + "bits": [ 1781 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:915.32-915.36" + } + }, + "n42a": { + "hide_name": 0, + "bits": [ 2270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:916.32-916.36" + } + }, + "n42b": { + "hide_name": 0, + "bits": [ 1873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:917.32-917.36" + } + }, + "n42c": { + "hide_name": 0, + "bits": [ 2827 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:918.32-918.36" + } + }, + "n42d": { + "hide_name": 0, + "bits": [ 2828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:919.32-919.36" + } + }, + "n42e": { + "hide_name": 0, + "bits": [ 2587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:920.32-920.36" + } + }, + "n42f": { + "hide_name": 0, + "bits": [ 1870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:921.32-921.36" + } + }, + "n430": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:922.32-922.36" + } + }, + "n431": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:923.32-923.36" + } + }, + "n432": { + "hide_name": 0, + "bits": [ 2272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:924.32-924.36" + } + }, + "n433": { + "hide_name": 0, + "bits": [ 2829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:925.32-925.36" + } + }, + "n434": { + "hide_name": 0, + "bits": [ 2830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:926.32-926.36" + } + }, + "n435": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:927.32-927.36" + } + }, + "n436": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:928.32-928.36" + } + }, + "n437": { + "hide_name": 0, + "bits": [ 2271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:929.32-929.36" + } + }, + "n438": { + "hide_name": 0, + "bits": [ 835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:930.32-930.36" + } + }, + "n439": { + "hide_name": 0, + "bits": [ 677 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:931.32-931.36" + } + }, + "n43a": { + "hide_name": 0, + "bits": [ 1877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:932.32-932.36" + } + }, + "n43b": { + "hide_name": 0, + "bits": [ 3137 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:933.32-933.36" + } + }, + "n43c": { + "hide_name": 0, + "bits": [ 2274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:934.32-934.36" + } + }, + "n43d": { + "hide_name": 0, + "bits": [ 3138 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:935.32-935.36" + } + }, + "n43e": { + "hide_name": 0, + "bits": [ 1876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:936.32-936.36" + } + }, + "n43f": { + "hide_name": 0, + "bits": [ 1874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:937.32-937.36" + } + }, + "n440": { + "hide_name": 0, + "bits": [ 3139 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:938.32-938.36" + } + }, + "n441": { + "hide_name": 0, + "bits": [ 1875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:939.32-939.36" + } + }, + "n442": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:940.32-940.36" + } + }, + "n443": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:941.32-941.36" + } + }, + "n444": { + "hide_name": 0, + "bits": [ 3140 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:942.32-942.36" + } + }, + "n445": { + "hide_name": 0, + "bits": [ 2275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:943.32-943.36" + } + }, + "n446": { + "hide_name": 0, + "bits": [ 3086 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:944.32-944.36" + } + }, + "n447": { + "hide_name": 0, + "bits": [ 1878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:945.32-945.36" + } + }, + "n448": { + "hide_name": 0, + "bits": [ 1130 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:946.32-946.36" + } + }, + "n449": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:947.32-947.36" + } + }, + "n44a": { + "hide_name": 0, + "bits": [ 3141 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:948.32-948.36" + } + }, + "n44b": { + "hide_name": 0, + "bits": [ 3142 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:949.32-949.36" + } + }, + "n44c": { + "hide_name": 0, + "bits": [ 1881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:950.32-950.36" + } + }, + "n44d": { + "hide_name": 0, + "bits": [ 1882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:951.32-951.36" + } + }, + "n44e": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:952.32-952.36" + } + }, + "n44f": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:953.32-953.36" + } + }, + "n450": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:954.32-954.36" + } + }, + "n451": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:955.32-955.36" + } + }, + "n452": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:956.32-956.36" + } + }, + "n453": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:957.32-957.36" + } + }, + "n454": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:958.32-958.36" + } + }, + "n455": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:959.32-959.36" + } + }, + "n456": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:960.32-960.36" + } + }, + "n457": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:961.32-961.36" + } + }, + "n458": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:962.32-962.36" + } + }, + "n459": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:963.32-963.36" + } + }, + "n45a": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:964.32-964.36" + } + }, + "n45b": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:965.32-965.36" + } + }, + "n45c": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:966.32-966.36" + } + }, + "n45d": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:967.32-967.36" + } + }, + "n45e": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:968.32-968.36" + } + }, + "n45f": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:969.32-969.36" + } + }, + "n460": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:970.32-970.36" + } + }, + "n461": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:971.32-971.36" + } + }, + "n462": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:972.32-972.36" + } + }, + "n463": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:973.32-973.36" + } + }, + "n464": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:974.32-974.36" + } + }, + "n465": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:975.32-975.36" + } + }, + "n466": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:976.32-976.36" + } + }, + "n467": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:977.32-977.36" + } + }, + "n468": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:978.32-978.36" + } + }, + "n469": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:979.32-979.36" + } + }, + "n46a": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:980.32-980.36" + } + }, + "n46b": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:981.32-981.36" + } + }, + "n46c": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:982.32-982.36" + } + }, + "n46d": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:983.32-983.36" + } + }, + "n46e": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:984.32-984.36" + } + }, + "n46f": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:985.32-985.36" + } + }, + "n470": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:986.32-986.36" + } + }, + "n471": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:987.32-987.36" + } + }, + "n472": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:988.32-988.36" + } + }, + "n473": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:989.32-989.36" + } + }, + "n474": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:990.32-990.36" + } + }, + "n475": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:991.32-991.36" + } + }, + "n476": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:992.32-992.36" + } + }, + "n477": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:993.32-993.36" + } + }, + "n478": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:994.32-994.36" + } + }, + "n479": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:995.32-995.36" + } + }, + "n47a": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:996.32-996.36" + } + }, + "n47b": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:997.32-997.36" + } + }, + "n47c": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:998.32-998.36" + } + }, + "n47d": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:999.32-999.36" + } + }, + "n47e": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1000.32-1000.36" + } + }, + "n47f": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1001.32-1001.36" + } + }, + "n480": { + "hide_name": 0, + "bits": [ 703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1002.32-1002.36" + } + }, + "n481": { + "hide_name": 0, + "bits": [ 1883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1003.32-1003.36" + } + }, + "n482": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1004.32-1004.36" + } + }, + "n483": { + "hide_name": 0, + "bits": [ 3143 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1005.32-1005.36" + } + }, + "n484": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1006.32-1006.36" + } + }, + "n485": { + "hide_name": 0, + "bits": [ 695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1007.32-1007.36" + } + }, + "n486": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1008.32-1008.36" + } + }, + "n487": { + "hide_name": 0, + "bits": [ 2831 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1009.32-1009.36" + } + }, + "n488": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1010.32-1010.36" + } + }, + "n489": { + "hide_name": 0, + "bits": [ 509 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1011.32-1011.36" + } + }, + "n48a": { + "hide_name": 0, + "bits": [ 2832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1012.32-1012.36" + } + }, + "n48b": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1013.32-1013.36" + } + }, + "n48c": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1014.32-1014.36" + } + }, + "n48d": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1015.32-1015.36" + } + }, + "n48e": { + "hide_name": 0, + "bits": [ 1885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1016.32-1016.36" + } + }, + "n48f": { + "hide_name": 0, + "bits": [ 1886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1017.32-1017.36" + } + }, + "n490": { + "hide_name": 0, + "bits": [ 2833 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1018.32-1018.36" + } + }, + "n491": { + "hide_name": 0, + "bits": [ 1916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1019.32-1019.36" + } + }, + "n492": { + "hide_name": 0, + "bits": [ 2961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1020.32-1020.36" + } + }, + "n493": { + "hide_name": 0, + "bits": [ 1889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1021.32-1021.36" + } + }, + "n494": { + "hide_name": 0, + "bits": [ 2276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1022.32-1022.36" + } + }, + "n495": { + "hide_name": 0, + "bits": [ 1917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1023.32-1023.36" + } + }, + "n496": { + "hide_name": 0, + "bits": [ 1888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1024.32-1024.36" + } + }, + "n497": { + "hide_name": 0, + "bits": [ 1887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1025.32-1025.36" + } + }, + "n498": { + "hide_name": 0, + "bits": [ 3144 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1026.32-1026.36" + } + }, + "n499": { + "hide_name": 0, + "bits": [ 1122 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1027.32-1027.36" + } + }, + "n49a": { + "hide_name": 0, + "bits": [ 2278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1028.32-1028.36" + } + }, + "n49b": { + "hide_name": 0, + "bits": [ 2277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1029.32-1029.36" + } + }, + "n49c": { + "hide_name": 0, + "bits": [ 739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1030.32-1030.36" + } + }, + "n49d": { + "hide_name": 0, + "bits": [ 1955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1031.32-1031.36" + } + }, + "n49e": { + "hide_name": 0, + "bits": [ 2588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1032.32-1032.36" + } + }, + "n49f": { + "hide_name": 0, + "bits": [ 2338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1033.32-1033.36" + } + }, + "n4a0": { + "hide_name": 0, + "bits": [ 655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1034.32-1034.36" + } + }, + "n4a1": { + "hide_name": 0, + "bits": [ 3145 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1035.32-1035.36" + } + }, + "n4a2": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1036.32-1036.36" + } + }, + "n4a3": { + "hide_name": 0, + "bits": [ 625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1037.32-1037.36" + } + }, + "n4a4": { + "hide_name": 0, + "bits": [ 2592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1038.32-1038.36" + } + }, + "n4a5": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1039.32-1039.36" + } + }, + "n4a6": { + "hide_name": 0, + "bits": [ 597 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1040.32-1040.36" + } + }, + "n4a7": { + "hide_name": 0, + "bits": [ 685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1041.32-1041.36" + } + }, + "n4a8": { + "hide_name": 0, + "bits": [ 775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1042.32-1042.36" + } + }, + "n4a9": { + "hide_name": 0, + "bits": [ 1904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1043.32-1043.36" + } + }, + "n4aa": { + "hide_name": 0, + "bits": [ 1890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1044.32-1044.36" + } + }, + "n4ab": { + "hide_name": 0, + "bits": [ 2589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1045.32-1045.36" + } + }, + "n4ac": { + "hide_name": 0, + "bits": [ 1891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1046.32-1046.36" + } + }, + "n4ad": { + "hide_name": 0, + "bits": [ 2283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1047.32-1047.36" + } + }, + "n4ae": { + "hide_name": 0, + "bits": [ 583 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1048.32-1048.36" + } + }, + "n4af": { + "hide_name": 0, + "bits": [ 667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1049.32-1049.36" + } + }, + "n4b0": { + "hide_name": 0, + "bits": [ 793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1050.32-1050.36" + } + }, + "n4b1": { + "hide_name": 0, + "bits": [ 2590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1051.32-1051.36" + } + }, + "n4b2": { + "hide_name": 0, + "bits": [ 629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1052.32-1052.36" + } + }, + "n4b3": { + "hide_name": 0, + "bits": [ 709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1053.32-1053.36" + } + }, + "n4b4": { + "hide_name": 0, + "bits": [ 3147 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1054.32-1054.36" + } + }, + "n4b5": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1055.32-1055.36" + } + }, + "n4b6": { + "hide_name": 0, + "bits": [ 3148 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1056.32-1056.36" + } + }, + "n4b7": { + "hide_name": 0, + "bits": [ 663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1057.32-1057.36" + } + }, + "n4b8": { + "hide_name": 0, + "bits": [ 1892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1058.32-1058.36" + } + }, + "n4b9": { + "hide_name": 0, + "bits": [ 2279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1059.32-1059.36" + } + }, + "n4ba": { + "hide_name": 0, + "bits": [ 2280 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1060.32-1060.36" + } + }, + "n4bb": { + "hide_name": 0, + "bits": [ 2281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1061.32-1061.36" + } + }, + "n4bc": { + "hide_name": 0, + "bits": [ 1897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1062.32-1062.36" + } + }, + "n4bd": { + "hide_name": 0, + "bits": [ 2282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1063.32-1063.36" + } + }, + "n4be": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1064.32-1064.36" + } + }, + "n4bf": { + "hide_name": 0, + "bits": [ 2591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1065.32-1065.36" + } + }, + "n4c0": { + "hide_name": 0, + "bits": [ 2290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1066.32-1066.36" + } + }, + "n4c1": { + "hide_name": 0, + "bits": [ 1898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1067.32-1067.36" + } + }, + "n4c2": { + "hide_name": 0, + "bits": [ 1893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1068.32-1068.36" + } + }, + "n4c3": { + "hide_name": 0, + "bits": [ 1894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1069.32-1069.36" + } + }, + "n4c4": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1070.32-1070.36" + } + }, + "n4c5": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1071.32-1071.36" + } + }, + "n4c6": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1072.32-1072.36" + } + }, + "n4c7": { + "hide_name": 0, + "bits": [ 2593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1073.32-1073.36" + } + }, + "n4c8": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1074.32-1074.36" + } + }, + "n4c9": { + "hide_name": 0, + "bits": [ 2834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1075.32-1075.36" + } + }, + "n4ca": { + "hide_name": 0, + "bits": [ 1899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1076.32-1076.36" + } + }, + "n4cb": { + "hide_name": 0, + "bits": [ 3087 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1077.32-1077.36" + } + }, + "n4cc": { + "hide_name": 0, + "bits": [ 2594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1078.32-1078.36" + } + }, + "n4cd": { + "hide_name": 0, + "bits": [ 3149 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1079.32-1079.36" + } + }, + "n4ce": { + "hide_name": 0, + "bits": [ 2595 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1080.32-1080.36" + } + }, + "n4cf": { + "hide_name": 0, + "bits": [ 1900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1081.32-1081.36" + } + }, + "n4d0": { + "hide_name": 0, + "bits": [ 2285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1082.32-1082.36" + } + }, + "n4d1": { + "hide_name": 0, + "bits": [ 1901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1083.32-1083.36" + } + }, + "n4d2": { + "hide_name": 0, + "bits": [ 1902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1084.32-1084.36" + } + }, + "n4d3": { + "hide_name": 0, + "bits": [ 3150 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1085.32-1085.36" + } + }, + "n4d4": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1086.32-1086.36" + } + }, + "n4d5": { + "hide_name": 0, + "bits": [ 1903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1087.32-1087.36" + } + }, + "n4d6": { + "hide_name": 0, + "bits": [ 2286 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1088.32-1088.36" + } + }, + "n4d7": { + "hide_name": 0, + "bits": [ 1905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1089.32-1089.36" + } + }, + "n4d8": { + "hide_name": 0, + "bits": [ 1907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1090.32-1090.36" + } + }, + "n4d9": { + "hide_name": 0, + "bits": [ 1909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1091.32-1091.36" + } + }, + "n4da": { + "hide_name": 0, + "bits": [ 1908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1092.32-1092.36" + } + }, + "n4db": { + "hide_name": 0, + "bits": [ 1906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1093.32-1093.36" + } + }, + "n4dc": { + "hide_name": 0, + "bits": [ 2287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1094.32-1094.36" + } + }, + "n4dd": { + "hide_name": 0, + "bits": [ 2288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1095.32-1095.36" + } + }, + "n4de": { + "hide_name": 0, + "bits": [ 1910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1096.32-1096.36" + } + }, + "n4df": { + "hide_name": 0, + "bits": [ 1911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1097.32-1097.36" + } + }, + "n4e0": { + "hide_name": 0, + "bits": [ 2289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1098.32-1098.36" + } + }, + "n4e1": { + "hide_name": 0, + "bits": [ 3151 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1099.32-1099.36" + } + }, + "n4e2": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1100.32-1100.36" + } + }, + "n4e3": { + "hide_name": 0, + "bits": [ 1912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1101.32-1101.36" + } + }, + "n4e4": { + "hide_name": 0, + "bits": [ 2597 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1102.32-1102.36" + } + }, + "n4e5": { + "hide_name": 0, + "bits": [ 2835 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1103.32-1103.36" + } + }, + "n4e6": { + "hide_name": 0, + "bits": [ 1913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1104.32-1104.36" + } + }, + "n4e7": { + "hide_name": 0, + "bits": [ 1124 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1105.32-1105.36" + } + }, + "n4e8": { + "hide_name": 0, + "bits": [ 1976 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1106.32-1106.36" + } + }, + "n4e9": { + "hide_name": 0, + "bits": [ 595 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1107.32-1107.36" + } + }, + "n4ea": { + "hide_name": 0, + "bits": [ 2837 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1108.32-1108.36" + } + }, + "n4eb": { + "hide_name": 0, + "bits": [ 3088 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1109.32-1109.36" + } + }, + "n4ec": { + "hide_name": 0, + "bits": [ 1133 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1110.32-1110.36" + } + }, + "n4ed": { + "hide_name": 0, + "bits": [ 657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1111.32-1111.36" + } + }, + "n4ee": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1112.32-1112.36" + } + }, + "n4ef": { + "hide_name": 0, + "bits": [ 2836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1113.32-1113.36" + } + }, + "n4f0": { + "hide_name": 0, + "bits": [ 2291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1114.32-1114.36" + } + }, + "n4f1": { + "hide_name": 0, + "bits": [ 2292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1115.32-1115.36" + } + }, + "n4f2": { + "hide_name": 0, + "bits": [ 639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1116.32-1116.36" + } + }, + "n4f3": { + "hide_name": 0, + "bits": [ 669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1117.32-1117.36" + } + }, + "n4f4": { + "hide_name": 0, + "bits": [ 3089 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1118.32-1118.36" + } + }, + "n4f5": { + "hide_name": 0, + "bits": [ 2311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1119.32-1119.36" + } + }, + "n4f6": { + "hide_name": 0, + "bits": [ 2838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1120.32-1120.36" + } + }, + "n4f7": { + "hide_name": 0, + "bits": [ 3152 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1121.32-1121.36" + } + }, + "n4f8": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1122.32-1122.36" + } + }, + "n4f9": { + "hide_name": 0, + "bits": [ 653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1123.32-1123.36" + } + }, + "n4fa": { + "hide_name": 0, + "bits": [ 679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1124.32-1124.36" + } + }, + "n4fb": { + "hide_name": 0, + "bits": [ 3153 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1125.32-1125.36" + } + }, + "n4fc": { + "hide_name": 0, + "bits": [ 879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1126.32-1126.36" + } + }, + "n4fd": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1127.32-1127.36" + } + }, + "n4fe": { + "hide_name": 0, + "bits": [ 1914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1128.32-1128.36" + } + }, + "n4ff": { + "hide_name": 0, + "bits": [ 645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1129.32-1129.36" + } + }, + "n500": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1130.32-1130.36" + } + }, + "n501": { + "hide_name": 0, + "bits": [ 587 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1131.32-1131.36" + } + }, + "n502": { + "hide_name": 0, + "bits": [ 2598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1132.32-1132.36" + } + }, + "n503": { + "hide_name": 0, + "bits": [ 619 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1133.32-1133.36" + } + }, + "n504": { + "hide_name": 0, + "bits": [ 1915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1134.32-1134.36" + } + }, + "n505": { + "hide_name": 0, + "bits": [ 1919 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1135.32-1135.36" + } + }, + "n506": { + "hide_name": 0, + "bits": [ 1918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1136.32-1136.36" + } + }, + "n507": { + "hide_name": 0, + "bits": [ 3154 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1137.32-1137.36" + } + }, + "n508": { + "hide_name": 0, + "bits": [ 2971 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1138.32-1138.36" + } + }, + "n509": { + "hide_name": 0, + "bits": [ 3155 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1139.32-1139.36" + } + }, + "n50a": { + "hide_name": 0, + "bits": [ 665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1140.32-1140.36" + } + }, + "n50b": { + "hide_name": 0, + "bits": [ 671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1141.32-1141.36" + } + }, + "n50c": { + "hide_name": 0, + "bits": [ 697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1142.32-1142.36" + } + }, + "n50d": { + "hide_name": 0, + "bits": [ 651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1143.32-1143.36" + } + }, + "n50e": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1144.32-1144.36" + } + }, + "n50f": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1145.32-1145.36" + } + }, + "n510": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1146.32-1146.36" + } + }, + "n511": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1147.32-1147.36" + } + }, + "n512": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1148.32-1148.36" + } + }, + "n513": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1149.32-1149.36" + } + }, + "n514": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1150.32-1150.36" + } + }, + "n515": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1151.32-1151.36" + } + }, + "n516": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1152.32-1152.36" + } + }, + "n517": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1153.32-1153.36" + } + }, + "n518": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1154.32-1154.36" + } + }, + "n519": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1155.32-1155.36" + } + }, + "n51a": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1156.32-1156.36" + } + }, + "n51b": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1157.32-1157.36" + } + }, + "n51c": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1158.32-1158.36" + } + }, + "n51d": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1159.32-1159.36" + } + }, + "n51e": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1160.32-1160.36" + } + }, + "n51f": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1161.32-1161.36" + } + }, + "n520": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1162.32-1162.36" + } + }, + "n521": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1163.32-1163.36" + } + }, + "n522": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1164.32-1164.36" + } + }, + "n523": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1165.32-1165.36" + } + }, + "n524": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1166.32-1166.36" + } + }, + "n525": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1167.32-1167.36" + } + }, + "n526": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1168.32-1168.36" + } + }, + "n527": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1169.32-1169.36" + } + }, + "n528": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1170.32-1170.36" + } + }, + "n529": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1171.32-1171.36" + } + }, + "n52a": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1172.32-1172.36" + } + }, + "n52b": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1173.32-1173.36" + } + }, + "n52c": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1174.32-1174.36" + } + }, + "n52d": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1175.32-1175.36" + } + }, + "n52e": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1176.32-1176.36" + } + }, + "n52f": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1177.32-1177.36" + } + }, + "n530": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1178.32-1178.36" + } + }, + "n531": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1179.32-1179.36" + } + }, + "n532": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1180.32-1180.36" + } + }, + "n533": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1181.32-1181.36" + } + }, + "n534": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1182.32-1182.36" + } + }, + "n535": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1183.32-1183.36" + } + }, + "n536": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1184.32-1184.36" + } + }, + "n537": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1185.32-1185.36" + } + }, + "n538": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1186.32-1186.36" + } + }, + "n539": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1187.32-1187.36" + } + }, + "n53a": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1188.32-1188.36" + } + }, + "n53b": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1189.32-1189.36" + } + }, + "n53c": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1190.32-1190.36" + } + }, + "n53d": { + "hide_name": 0, + "bits": [ 592 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1191.32-1191.36" + } + }, + "n53e": { + "hide_name": 0, + "bits": [ 593 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1192.32-1192.36" + } + }, + "n53f": { + "hide_name": 0, + "bits": [ 594 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1193.32-1193.36" + } + }, + "n540": { + "hide_name": 0, + "bits": [ 596 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1194.32-1194.36" + } + }, + "n541": { + "hide_name": 0, + "bits": [ 598 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1195.32-1195.36" + } + }, + "n542": { + "hide_name": 0, + "bits": [ 599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1196.32-1196.36" + } + }, + "n543": { + "hide_name": 0, + "bits": [ 600 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1197.32-1197.36" + } + }, + "n544": { + "hide_name": 0, + "bits": [ 601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1198.32-1198.36" + } + }, + "n545": { + "hide_name": 0, + "bits": [ 602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1199.32-1199.36" + } + }, + "n546": { + "hide_name": 0, + "bits": [ 603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1200.32-1200.36" + } + }, + "n547": { + "hide_name": 0, + "bits": [ 604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1201.32-1201.36" + } + }, + "n548": { + "hide_name": 0, + "bits": [ 605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1202.32-1202.36" + } + }, + "n549": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1203.32-1203.36" + } + }, + "n54a": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1204.32-1204.36" + } + }, + "n54b": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1205.32-1205.36" + } + }, + "n54c": { + "hide_name": 0, + "bits": [ 609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1206.32-1206.36" + } + }, + "n54d": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1207.32-1207.36" + } + }, + "n54e": { + "hide_name": 0, + "bits": [ 611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1208.32-1208.36" + } + }, + "n54f": { + "hide_name": 0, + "bits": [ 580 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1209.32-1209.36" + } + }, + "n550": { + "hide_name": 0, + "bits": [ 581 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1210.32-1210.36" + } + }, + "n551": { + "hide_name": 0, + "bits": [ 582 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1211.32-1211.36" + } + }, + "n552": { + "hide_name": 0, + "bits": [ 584 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1212.32-1212.36" + } + }, + "n553": { + "hide_name": 0, + "bits": [ 585 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1213.32-1213.36" + } + }, + "n554": { + "hide_name": 0, + "bits": [ 586 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1214.32-1214.36" + } + }, + "n555": { + "hide_name": 0, + "bits": [ 588 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1215.32-1215.36" + } + }, + "n556": { + "hide_name": 0, + "bits": [ 589 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1216.32-1216.36" + } + }, + "n557": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1217.32-1217.36" + } + }, + "n558": { + "hide_name": 0, + "bits": [ 591 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1218.32-1218.36" + } + }, + "n559": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1219.32-1219.36" + } + }, + "n55a": { + "hide_name": 0, + "bits": [ 627 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1220.32-1220.36" + } + }, + "n55b": { + "hide_name": 0, + "bits": [ 628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1221.32-1221.36" + } + }, + "n55c": { + "hide_name": 0, + "bits": [ 630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1222.32-1222.36" + } + }, + "n55d": { + "hide_name": 0, + "bits": [ 631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1223.32-1223.36" + } + }, + "n55e": { + "hide_name": 0, + "bits": [ 632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1224.32-1224.36" + } + }, + "n55f": { + "hide_name": 0, + "bits": [ 633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1225.32-1225.36" + } + }, + "n560": { + "hide_name": 0, + "bits": [ 634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1226.32-1226.36" + } + }, + "n561": { + "hide_name": 0, + "bits": [ 635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1227.32-1227.36" + } + }, + "n562": { + "hide_name": 0, + "bits": [ 636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1228.32-1228.36" + } + }, + "n563": { + "hide_name": 0, + "bits": [ 637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1229.32-1229.36" + } + }, + "n564": { + "hide_name": 0, + "bits": [ 638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1230.32-1230.36" + } + }, + "n565": { + "hide_name": 0, + "bits": [ 640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1231.32-1231.36" + } + }, + "n566": { + "hide_name": 0, + "bits": [ 641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1232.32-1232.36" + } + }, + "n567": { + "hide_name": 0, + "bits": [ 642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1233.32-1233.36" + } + }, + "n568": { + "hide_name": 0, + "bits": [ 643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1234.32-1234.36" + } + }, + "n569": { + "hide_name": 0, + "bits": [ 644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1235.32-1235.36" + } + }, + "n56a": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1236.32-1236.36" + } + }, + "n56b": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1237.32-1237.36" + } + }, + "n56c": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1238.32-1238.36" + } + }, + "n56d": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1239.32-1239.36" + } + }, + "n56e": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1240.32-1240.36" + } + }, + "n56f": { + "hide_name": 0, + "bits": [ 620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1241.32-1241.36" + } + }, + "n570": { + "hide_name": 0, + "bits": [ 621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1242.32-1242.36" + } + }, + "n571": { + "hide_name": 0, + "bits": [ 811 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1243.32-1243.36" + } + }, + "n572": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1244.32-1244.36" + } + }, + "n573": { + "hide_name": 0, + "bits": [ 2841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1245.32-1245.36" + } + }, + "n574": { + "hide_name": 0, + "bits": [ 769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1246.32-1246.36" + } + }, + "n575": { + "hide_name": 0, + "bits": [ 3156 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1247.32-1247.36" + } + }, + "n576": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1248.32-1248.36" + } + }, + "n577": { + "hide_name": 0, + "bits": [ 2294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1249.32-1249.36" + } + }, + "n578": { + "hide_name": 0, + "bits": [ 2601 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1250.32-1250.36" + } + }, + "n579": { + "hide_name": 0, + "bits": [ 2599 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1251.32-1251.36" + } + }, + "n57a": { + "hide_name": 0, + "bits": [ 2606 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1252.32-1252.36" + } + }, + "n57b": { + "hide_name": 0, + "bits": [ 1934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1253.32-1253.36" + } + }, + "n57c": { + "hide_name": 0, + "bits": [ 3157 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1254.32-1254.36" + } + }, + "n57d": { + "hide_name": 0, + "bits": [ 2293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1255.32-1255.36" + } + }, + "n57e": { + "hide_name": 0, + "bits": [ 2296 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1256.32-1256.36" + } + }, + "n57f": { + "hide_name": 0, + "bits": [ 2345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1257.32-1257.36" + } + }, + "n580": { + "hide_name": 0, + "bits": [ 899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1258.32-1258.36" + } + }, + "n581": { + "hide_name": 0, + "bits": [ 1921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1259.32-1259.36" + } + }, + "n582": { + "hide_name": 0, + "bits": [ 2295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1260.32-1260.36" + } + }, + "n583": { + "hide_name": 0, + "bits": [ 2840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1261.32-1261.36" + } + }, + "n584": { + "hide_name": 0, + "bits": [ 2842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1262.32-1262.36" + } + }, + "n585": { + "hide_name": 0, + "bits": [ 3090 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1263.32-1263.36" + } + }, + "n586": { + "hide_name": 0, + "bits": [ 2297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1264.32-1264.36" + } + }, + "n587": { + "hide_name": 0, + "bits": [ 1920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1265.32-1265.36" + } + }, + "n588": { + "hide_name": 0, + "bits": [ 2298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1266.32-1266.36" + } + }, + "n589": { + "hide_name": 0, + "bits": [ 791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1267.32-1267.36" + } + }, + "n58a": { + "hide_name": 0, + "bits": [ 839 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1268.32-1268.36" + } + }, + "n58b": { + "hide_name": 0, + "bits": [ 2602 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1269.32-1269.36" + } + }, + "n58c": { + "hide_name": 0, + "bits": [ 2299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1270.32-1270.36" + } + }, + "n58d": { + "hide_name": 0, + "bits": [ 3158 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1271.32-1271.36" + } + }, + "n58e": { + "hide_name": 0, + "bits": [ 2954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1272.32-1272.36" + } + }, + "n58f": { + "hide_name": 0, + "bits": [ 2622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1273.32-1273.36" + } + }, + "n590": { + "hide_name": 0, + "bits": [ 2603 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1274.32-1274.36" + } + }, + "n591": { + "hide_name": 0, + "bits": [ 2604 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1275.32-1275.36" + } + }, + "n592": { + "hide_name": 0, + "bits": [ 2300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1276.32-1276.36" + } + }, + "n593": { + "hide_name": 0, + "bits": [ 2605 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1277.32-1277.36" + } + }, + "n594": { + "hide_name": 0, + "bits": [ 2607 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1278.32-1278.36" + } + }, + "n595": { + "hide_name": 0, + "bits": [ 1926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1279.32-1279.36" + } + }, + "n596": { + "hide_name": 0, + "bits": [ 1922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1280.32-1280.36" + } + }, + "n597": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1281.32-1281.36" + } + }, + "n598": { + "hide_name": 0, + "bits": [ 2608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1282.32-1282.36" + } + }, + "n599": { + "hide_name": 0, + "bits": [ 649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1283.32-1283.36" + } + }, + "n59a": { + "hide_name": 0, + "bits": [ 1925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1284.32-1284.36" + } + }, + "n59b": { + "hide_name": 0, + "bits": [ 2609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1285.32-1285.36" + } + }, + "n59c": { + "hide_name": 0, + "bits": [ 507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1286.32-1286.36" + } + }, + "n59d": { + "hide_name": 0, + "bits": [ 3159 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1287.32-1287.36" + } + }, + "n59e": { + "hide_name": 0, + "bits": [ 455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1288.32-1288.36" + } + }, + "n59f": { + "hide_name": 0, + "bits": [ 2611 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1289.32-1289.36" + } + }, + "n5a0": { + "hide_name": 0, + "bits": [ 2306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1290.32-1290.36" + } + }, + "n5a1": { + "hide_name": 0, + "bits": [ 2843 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1291.32-1291.36" + } + }, + "n5a2": { + "hide_name": 0, + "bits": [ 1927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1292.32-1292.36" + } + }, + "n5a3": { + "hide_name": 0, + "bits": [ 2953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1293.32-1293.36" + } + }, + "n5a4": { + "hide_name": 0, + "bits": [ 3160 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1294.32-1294.36" + } + }, + "n5a5": { + "hide_name": 0, + "bits": [ 3161 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1295.32-1295.36" + } + }, + "n5a6": { + "hide_name": 0, + "bits": [ 2307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1296.32-1296.36" + } + }, + "n5a7": { + "hide_name": 0, + "bits": [ 1928 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1297.32-1297.36" + } + }, + "n5a8": { + "hide_name": 0, + "bits": [ 2610 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1298.32-1298.36" + } + }, + "n5a9": { + "hide_name": 0, + "bits": [ 2350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1299.32-1299.36" + } + }, + "n5aa": { + "hide_name": 0, + "bits": [ 3162 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1300.32-1300.36" + } + }, + "n5ab": { + "hide_name": 0, + "bits": [ 2301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1301.32-1301.36" + } + }, + "n5ac": { + "hide_name": 0, + "bits": [ 2302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1302.32-1302.36" + } + }, + "n5ad": { + "hide_name": 0, + "bits": [ 2890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1303.32-1303.36" + } + }, + "n5ae": { + "hide_name": 0, + "bits": [ 2639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1304.32-1304.36" + } + }, + "n5af": { + "hide_name": 0, + "bits": [ 2612 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1305.32-1305.36" + } + }, + "n5b0": { + "hide_name": 0, + "bits": [ 2303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1306.32-1306.36" + } + }, + "n5b1": { + "hide_name": 0, + "bits": [ 701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1307.32-1307.36" + } + }, + "n5b2": { + "hide_name": 0, + "bits": [ 2615 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1308.32-1308.36" + } + }, + "n5b3": { + "hide_name": 0, + "bits": [ 2304 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1309.32-1309.36" + } + }, + "n5b4": { + "hide_name": 0, + "bits": [ 2305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1310.32-1310.36" + } + }, + "n5b5": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1311.32-1311.36" + } + }, + "n5b6": { + "hide_name": 0, + "bits": [ 2613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1312.32-1312.36" + } + }, + "n5b7": { + "hide_name": 0, + "bits": [ 2614 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1313.32-1313.36" + } + }, + "n5b8": { + "hide_name": 0, + "bits": [ 1931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1314.32-1314.36" + } + }, + "n5b9": { + "hide_name": 0, + "bits": [ 2617 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1315.32-1315.36" + } + }, + "n5ba": { + "hide_name": 0, + "bits": [ 2616 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1316.32-1316.36" + } + }, + "n5bb": { + "hide_name": 0, + "bits": [ 1929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1317.32-1317.36" + } + }, + "n5bc": { + "hide_name": 0, + "bits": [ 1930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1318.32-1318.36" + } + }, + "n5bd": { + "hide_name": 0, + "bits": [ 2620 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1319.32-1319.36" + } + }, + "n5be": { + "hide_name": 0, + "bits": [ 661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1320.32-1320.36" + } + }, + "n5bf": { + "hide_name": 0, + "bits": [ 1932 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1321.32-1321.36" + } + }, + "n5c0": { + "hide_name": 0, + "bits": [ 2621 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1322.32-1322.36" + } + }, + "n5c1": { + "hide_name": 0, + "bits": [ 1933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1323.32-1323.36" + } + }, + "n5c2": { + "hide_name": 0, + "bits": [ 1948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1324.32-1324.36" + } + }, + "n5c3": { + "hide_name": 0, + "bits": [ 2623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1325.32-1325.36" + } + }, + "n5c4": { + "hide_name": 0, + "bits": [ 2624 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1326.32-1326.36" + } + }, + "n5c5": { + "hide_name": 0, + "bits": [ 1939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1327.32-1327.36" + } + }, + "n5c6": { + "hide_name": 0, + "bits": [ 683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1328.32-1328.36" + } + }, + "n5c7": { + "hide_name": 0, + "bits": [ 1935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1329.32-1329.36" + } + }, + "n5c8": { + "hide_name": 0, + "bits": [ 1936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1330.32-1330.36" + } + }, + "n5c9": { + "hide_name": 0, + "bits": [ 2310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1331.32-1331.36" + } + }, + "n5ca": { + "hide_name": 0, + "bits": [ 2309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1332.32-1332.36" + } + }, + "n5cb": { + "hide_name": 0, + "bits": [ 2955 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1333.32-1333.36" + } + }, + "n5cc": { + "hide_name": 0, + "bits": [ 2315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1334.32-1334.36" + } + }, + "n5cd": { + "hide_name": 0, + "bits": [ 2318 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1335.32-1335.36" + } + }, + "n5ce": { + "hide_name": 0, + "bits": [ 2312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1336.32-1336.36" + } + }, + "n5cf": { + "hide_name": 0, + "bits": [ 871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1337.32-1337.36" + } + }, + "n5d0": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1338.32-1338.36" + } + }, + "n5d1": { + "hide_name": 0, + "bits": [ 2316 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1339.32-1339.36" + } + }, + "n5d2": { + "hide_name": 0, + "bits": [ 2314 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1340.32-1340.36" + } + }, + "n5d3": { + "hide_name": 0, + "bits": [ 2844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1341.32-1341.36" + } + }, + "n5d4": { + "hide_name": 0, + "bits": [ 1938 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1342.32-1342.36" + } + }, + "n5d5": { + "hide_name": 0, + "bits": [ 2308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1343.32-1343.36" + } + }, + "n5d6": { + "hide_name": 0, + "bits": [ 1937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1344.32-1344.36" + } + }, + "n5d7": { + "hide_name": 0, + "bits": [ 2313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1345.32-1345.36" + } + }, + "n5d8": { + "hide_name": 0, + "bits": [ 2845 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1346.32-1346.36" + } + }, + "n5d9": { + "hide_name": 0, + "bits": [ 1940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1347.32-1347.36" + } + }, + "n5da": { + "hide_name": 0, + "bits": [ 741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1348.32-1348.36" + } + }, + "n5db": { + "hide_name": 0, + "bits": [ 2017 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1349.32-1349.36" + } + }, + "n5dc": { + "hide_name": 0, + "bits": [ 2846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1350.32-1350.36" + } + }, + "n5dd": { + "hide_name": 0, + "bits": [ 2625 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1351.32-1351.36" + } + }, + "n5de": { + "hide_name": 0, + "bits": [ 1941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1352.32-1352.36" + } + }, + "n5df": { + "hide_name": 0, + "bits": [ 2317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1353.32-1353.36" + } + }, + "n5e0": { + "hide_name": 0, + "bits": [ 1942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1354.32-1354.36" + } + }, + "n5e1": { + "hide_name": 0, + "bits": [ 2319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1355.32-1355.36" + } + }, + "n5e2": { + "hide_name": 0, + "bits": [ 2320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1356.32-1356.36" + } + }, + "n5e3": { + "hide_name": 0, + "bits": [ 2956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1357.32-1357.36" + } + }, + "n5e4": { + "hide_name": 0, + "bits": [ 2957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1358.32-1358.36" + } + }, + "n5e5": { + "hide_name": 0, + "bits": [ 825 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1359.32-1359.36" + } + }, + "n5e6": { + "hide_name": 0, + "bits": [ 1944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1360.32-1360.36" + } + }, + "n5e7": { + "hide_name": 0, + "bits": [ 2321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1361.32-1361.36" + } + }, + "n5e8": { + "hide_name": 0, + "bits": [ 1945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1362.32-1362.36" + } + }, + "n5e9": { + "hide_name": 0, + "bits": [ 1946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1363.32-1363.36" + } + }, + "n5ea": { + "hide_name": 0, + "bits": [ 1947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1364.32-1364.36" + } + }, + "n5eb": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1365.32-1365.36" + } + }, + "n5ec": { + "hide_name": 0, + "bits": [ 2958 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1366.32-1366.36" + } + }, + "n5ed": { + "hide_name": 0, + "bits": [ 1949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1367.32-1367.36" + } + }, + "n5ee": { + "hide_name": 0, + "bits": [ 1950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1368.32-1368.36" + } + }, + "n5ef": { + "hide_name": 0, + "bits": [ 622 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1369.32-1369.36" + } + }, + "n5f0": { + "hide_name": 0, + "bits": [ 623 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1370.32-1370.36" + } + }, + "n5f1": { + "hide_name": 0, + "bits": [ 624 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1371.32-1371.36" + } + }, + "n5f2": { + "hide_name": 0, + "bits": [ 660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1372.32-1372.36" + } + }, + "n5f3": { + "hide_name": 0, + "bits": [ 662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1373.32-1373.36" + } + }, + "n5f4": { + "hide_name": 0, + "bits": [ 664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1374.32-1374.36" + } + }, + "n5f5": { + "hide_name": 0, + "bits": [ 666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1375.32-1375.36" + } + }, + "n5f6": { + "hide_name": 0, + "bits": [ 668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1376.32-1376.36" + } + }, + "n5f7": { + "hide_name": 0, + "bits": [ 670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1377.32-1377.36" + } + }, + "n5f8": { + "hide_name": 0, + "bits": [ 672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1378.32-1378.36" + } + }, + "n5f9": { + "hide_name": 0, + "bits": [ 674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1379.32-1379.36" + } + }, + "n5fa": { + "hide_name": 0, + "bits": [ 676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1380.32-1380.36" + } + }, + "n5fb": { + "hide_name": 0, + "bits": [ 678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1381.32-1381.36" + } + }, + "n5fc": { + "hide_name": 0, + "bits": [ 648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1382.32-1382.36" + } + }, + "n5fd": { + "hide_name": 0, + "bits": [ 650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1383.32-1383.36" + } + }, + "n5fe": { + "hide_name": 0, + "bits": [ 652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1384.32-1384.36" + } + }, + "n5ff": { + "hide_name": 0, + "bits": [ 654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1385.32-1385.36" + } + }, + "n600": { + "hide_name": 0, + "bits": [ 656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1386.32-1386.36" + } + }, + "n601": { + "hide_name": 0, + "bits": [ 658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1387.32-1387.36" + } + }, + "n602": { + "hide_name": 0, + "bits": [ 694 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1388.32-1388.36" + } + }, + "n603": { + "hide_name": 0, + "bits": [ 696 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1389.32-1389.36" + } + }, + "n604": { + "hide_name": 0, + "bits": [ 698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1390.32-1390.36" + } + }, + "n605": { + "hide_name": 0, + "bits": [ 700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1391.32-1391.36" + } + }, + "n606": { + "hide_name": 0, + "bits": [ 702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1392.32-1392.36" + } + }, + "n607": { + "hide_name": 0, + "bits": [ 704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1393.32-1393.36" + } + }, + "n608": { + "hide_name": 0, + "bits": [ 706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1394.32-1394.36" + } + }, + "n609": { + "hide_name": 0, + "bits": [ 708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1395.32-1395.36" + } + }, + "n60a": { + "hide_name": 0, + "bits": [ 710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1396.32-1396.36" + } + }, + "n60b": { + "hide_name": 0, + "bits": [ 712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1397.32-1397.36" + } + }, + "n60c": { + "hide_name": 0, + "bits": [ 682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1398.32-1398.36" + } + }, + "n60d": { + "hide_name": 0, + "bits": [ 684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1399.32-1399.36" + } + }, + "n60e": { + "hide_name": 0, + "bits": [ 686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1400.32-1400.36" + } + }, + "n60f": { + "hide_name": 0, + "bits": [ 688 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1401.32-1401.36" + } + }, + "n610": { + "hide_name": 0, + "bits": [ 689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1402.32-1402.36" + } + }, + "n611": { + "hide_name": 0, + "bits": [ 690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1403.32-1403.36" + } + }, + "n612": { + "hide_name": 0, + "bits": [ 691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1404.32-1404.36" + } + }, + "n613": { + "hide_name": 0, + "bits": [ 692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1405.32-1405.36" + } + }, + "n614": { + "hide_name": 0, + "bits": [ 728 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1406.32-1406.36" + } + }, + "n615": { + "hide_name": 0, + "bits": [ 730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1407.32-1407.36" + } + }, + "n616": { + "hide_name": 0, + "bits": [ 731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1408.32-1408.36" + } + }, + "n617": { + "hide_name": 0, + "bits": [ 732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1409.32-1409.36" + } + }, + "n618": { + "hide_name": 0, + "bits": [ 733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1410.32-1410.36" + } + }, + "n619": { + "hide_name": 0, + "bits": [ 734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1411.32-1411.36" + } + }, + "n61a": { + "hide_name": 0, + "bits": [ 735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1412.32-1412.36" + } + }, + "n61b": { + "hide_name": 0, + "bits": [ 736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1413.32-1413.36" + } + }, + "n61c": { + "hide_name": 0, + "bits": [ 737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1414.32-1414.36" + } + }, + "n61d": { + "hide_name": 0, + "bits": [ 738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1415.32-1415.36" + } + }, + "n61e": { + "hide_name": 0, + "bits": [ 740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1416.32-1416.36" + } + }, + "n61f": { + "hide_name": 0, + "bits": [ 742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1417.32-1417.36" + } + }, + "n620": { + "hide_name": 0, + "bits": [ 743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1418.32-1418.36" + } + }, + "n621": { + "hide_name": 0, + "bits": [ 744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1419.32-1419.36" + } + }, + "n622": { + "hide_name": 0, + "bits": [ 745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1420.32-1420.36" + } + }, + "n623": { + "hide_name": 0, + "bits": [ 746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1421.32-1421.36" + } + }, + "n624": { + "hide_name": 0, + "bits": [ 747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1422.32-1422.36" + } + }, + "n625": { + "hide_name": 0, + "bits": [ 716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1423.32-1423.36" + } + }, + "n626": { + "hide_name": 0, + "bits": [ 717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1424.32-1424.36" + } + }, + "n627": { + "hide_name": 0, + "bits": [ 718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1425.32-1425.36" + } + }, + "n628": { + "hide_name": 0, + "bits": [ 719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1426.32-1426.36" + } + }, + "n629": { + "hide_name": 0, + "bits": [ 720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1427.32-1427.36" + } + }, + "n62a": { + "hide_name": 0, + "bits": [ 721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1428.32-1428.36" + } + }, + "n62b": { + "hide_name": 0, + "bits": [ 722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1429.32-1429.36" + } + }, + "n62c": { + "hide_name": 0, + "bits": [ 724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1430.32-1430.36" + } + }, + "n62d": { + "hide_name": 0, + "bits": [ 725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1431.32-1431.36" + } + }, + "n62e": { + "hide_name": 0, + "bits": [ 726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1432.32-1432.36" + } + }, + "n62f": { + "hide_name": 0, + "bits": [ 727 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1433.32-1433.36" + } + }, + "n630": { + "hide_name": 0, + "bits": [ 2859 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1434.32-1434.36" + } + }, + "n631": { + "hide_name": 0, + "bits": [ 2322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1435.32-1435.36" + } + }, + "n632": { + "hide_name": 0, + "bits": [ 2847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1436.32-1436.36" + } + }, + "n633": { + "hide_name": 0, + "bits": [ 2323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1437.32-1437.36" + } + }, + "n634": { + "hide_name": 0, + "bits": [ 2626 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1438.32-1438.36" + } + }, + "n635": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1439.32-1439.36" + } + }, + "n636": { + "hide_name": 0, + "bits": [ 2848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1440.32-1440.36" + } + }, + "n637": { + "hide_name": 0, + "bits": [ 877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1441.32-1441.36" + } + }, + "n638": { + "hide_name": 0, + "bits": [ 2852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1442.32-1442.36" + } + }, + "n639": { + "hide_name": 0, + "bits": [ 1952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1443.32-1443.36" + } + }, + "n63a": { + "hide_name": 0, + "bits": [ 2855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1444.32-1444.36" + } + }, + "n63b": { + "hide_name": 0, + "bits": [ 2853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1445.32-1445.36" + } + }, + "n63c": { + "hide_name": 0, + "bits": [ 2851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1446.32-1446.36" + } + }, + "n63d": { + "hide_name": 0, + "bits": [ 2327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1447.32-1447.36" + } + }, + "n63e": { + "hide_name": 0, + "bits": [ 2854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1448.32-1448.36" + } + }, + "n63f": { + "hide_name": 0, + "bits": [ 1953 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1449.32-1449.36" + } + }, + "n640": { + "hide_name": 0, + "bits": [ 1951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1450.32-1450.36" + } + }, + "n641": { + "hide_name": 0, + "bits": [ 2856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1451.32-1451.36" + } + }, + "n642": { + "hide_name": 0, + "bits": [ 2324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1452.32-1452.36" + } + }, + "n643": { + "hide_name": 0, + "bits": [ 2325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1453.32-1453.36" + } + }, + "n644": { + "hide_name": 0, + "bits": [ 2326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1454.32-1454.36" + } + }, + "n645": { + "hide_name": 0, + "bits": [ 2333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1455.32-1455.36" + } + }, + "n646": { + "hide_name": 0, + "bits": [ 2628 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1456.32-1456.36" + } + }, + "n647": { + "hide_name": 0, + "bits": [ 2328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1457.32-1457.36" + } + }, + "n648": { + "hide_name": 0, + "bits": [ 2329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1458.32-1458.36" + } + }, + "n649": { + "hide_name": 0, + "bits": [ 2857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1459.32-1459.36" + } + }, + "n64a": { + "hide_name": 0, + "bits": [ 2629 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1460.32-1460.36" + } + }, + "n64b": { + "hide_name": 0, + "bits": [ 2330 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1461.32-1461.36" + } + }, + "n64c": { + "hide_name": 0, + "bits": [ 841 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1462.32-1462.36" + } + }, + "n64d": { + "hide_name": 0, + "bits": [ 2960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1463.32-1463.36" + } + }, + "n64e": { + "hide_name": 0, + "bits": [ 2858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1464.32-1464.36" + } + }, + "n64f": { + "hide_name": 0, + "bits": [ 2860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1465.32-1465.36" + } + }, + "n650": { + "hide_name": 0, + "bits": [ 2331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1466.32-1466.36" + } + }, + "n651": { + "hide_name": 0, + "bits": [ 2332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1467.32-1467.36" + } + }, + "n652": { + "hide_name": 0, + "bits": [ 2334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1468.32-1468.36" + } + }, + "n653": { + "hide_name": 0, + "bits": [ 571 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1469.32-1469.36" + } + }, + "n654": { + "hide_name": 0, + "bits": [ 799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1470.32-1470.36" + } + }, + "n655": { + "hide_name": 0, + "bits": [ 847 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1471.32-1471.36" + } + }, + "n656": { + "hide_name": 0, + "bits": [ 2871 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1472.32-1472.36" + } + }, + "n657": { + "hide_name": 0, + "bits": [ 2863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1473.32-1473.36" + } + }, + "n658": { + "hide_name": 0, + "bits": [ 2861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1474.32-1474.36" + } + }, + "n659": { + "hide_name": 0, + "bits": [ 761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1475.32-1475.36" + } + }, + "n65a": { + "hide_name": 0, + "bits": [ 2862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1476.32-1476.36" + } + }, + "n65b": { + "hide_name": 0, + "bits": [ 1954 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1477.32-1477.36" + } + }, + "n65c": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1478.32-1478.36" + } + }, + "n65d": { + "hide_name": 0, + "bits": [ 1957 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1479.32-1479.36" + } + }, + "n65e": { + "hide_name": 0, + "bits": [ 1956 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1480.32-1480.36" + } + }, + "n65f": { + "hide_name": 0, + "bits": [ 2631 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1481.32-1481.36" + } + }, + "n660": { + "hide_name": 0, + "bits": [ 2630 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1482.32-1482.36" + } + }, + "n661": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1483.32-1483.36" + } + }, + "n662": { + "hide_name": 0, + "bits": [ 873 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1484.32-1484.36" + } + }, + "n663": { + "hide_name": 0, + "bits": [ 2335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1485.32-1485.36" + } + }, + "n664": { + "hide_name": 0, + "bits": [ 803 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1486.32-1486.36" + } + }, + "n665": { + "hide_name": 0, + "bits": [ 2963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1487.32-1487.36" + } + }, + "n666": { + "hide_name": 0, + "bits": [ 2034 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1488.32-1488.36" + } + }, + "n667": { + "hide_name": 0, + "bits": [ 2668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1489.32-1489.36" + } + }, + "n668": { + "hide_name": 0, + "bits": [ 2964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1490.32-1490.36" + } + }, + "n669": { + "hide_name": 0, + "bits": [ 1960 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1491.32-1491.36" + } + }, + "n66a": { + "hide_name": 0, + "bits": [ 1959 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1492.32-1492.36" + } + }, + "n66b": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1493.32-1493.36" + } + }, + "n66c": { + "hide_name": 0, + "bits": [ 2965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1494.32-1494.36" + } + }, + "n66d": { + "hide_name": 0, + "bits": [ 2651 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1495.32-1495.36" + } + }, + "n66e": { + "hide_name": 0, + "bits": [ 2966 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1496.32-1496.36" + } + }, + "n66f": { + "hide_name": 0, + "bits": [ 1963 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1497.32-1497.36" + } + }, + "n670": { + "hide_name": 0, + "bits": [ 2336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1498.32-1498.36" + } + }, + "n671": { + "hide_name": 0, + "bits": [ 1961 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1499.32-1499.36" + } + }, + "n672": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1500.32-1500.36" + } + }, + "n673": { + "hide_name": 0, + "bits": [ 1965 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1501.32-1501.36" + } + }, + "n674": { + "hide_name": 0, + "bits": [ 1964 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1502.32-1502.36" + } + }, + "n675": { + "hide_name": 0, + "bits": [ 801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1503.32-1503.36" + } + }, + "n676": { + "hide_name": 0, + "bits": [ 883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1504.32-1504.36" + } + }, + "n677": { + "hide_name": 0, + "bits": [ 2967 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1505.32-1505.36" + } + }, + "n678": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1506.32-1506.36" + } + }, + "n679": { + "hide_name": 0, + "bits": [ 2968 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1507.32-1507.36" + } + }, + "n67a": { + "hide_name": 0, + "bits": [ 1967 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1508.32-1508.36" + } + }, + "n67b": { + "hide_name": 0, + "bits": [ 395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1509.32-1509.36" + } + }, + "n67c": { + "hide_name": 0, + "bits": [ 2637 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1510.32-1510.36" + } + }, + "n67d": { + "hide_name": 0, + "bits": [ 1969 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1511.32-1511.36" + } + }, + "n67e": { + "hide_name": 0, + "bits": [ 389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1512.32-1512.36" + } + }, + "n67f": { + "hide_name": 0, + "bits": [ 2632 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1513.32-1513.36" + } + }, + "n680": { + "hide_name": 0, + "bits": [ 2337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1514.32-1514.36" + } + }, + "n681": { + "hide_name": 0, + "bits": [ 853 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1515.32-1515.36" + } + }, + "n682": { + "hide_name": 0, + "bits": [ 2633 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1516.32-1516.36" + } + }, + "n683": { + "hide_name": 0, + "bits": [ 1971 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1517.32-1517.36" + } + }, + "n684": { + "hide_name": 0, + "bits": [ 1968 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1518.32-1518.36" + } + }, + "n685": { + "hide_name": 0, + "bits": [ 1970 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1519.32-1519.36" + } + }, + "n686": { + "hide_name": 0, + "bits": [ 2634 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1520.32-1520.36" + } + }, + "n687": { + "hide_name": 0, + "bits": [ 1972 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1521.32-1521.36" + } + }, + "n688": { + "hide_name": 0, + "bits": [ 1973 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1522.32-1522.36" + } + }, + "n689": { + "hide_name": 0, + "bits": [ 2635 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1523.32-1523.36" + } + }, + "n68a": { + "hide_name": 0, + "bits": [ 1974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1524.32-1524.36" + } + }, + "n68b": { + "hide_name": 0, + "bits": [ 1975 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1525.32-1525.36" + } + }, + "n68c": { + "hide_name": 0, + "bits": [ 1977 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1526.32-1526.36" + } + }, + "n68d": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1527.32-1527.36" + } + }, + "n68e": { + "hide_name": 0, + "bits": [ 2636 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1528.32-1528.36" + } + }, + "n68f": { + "hide_name": 0, + "bits": [ 2339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1529.32-1529.36" + } + }, + "n690": { + "hide_name": 0, + "bits": [ 2866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1530.32-1530.36" + } + }, + "n691": { + "hide_name": 0, + "bits": [ 2341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1531.32-1531.36" + } + }, + "n692": { + "hide_name": 0, + "bits": [ 2638 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1532.32-1532.36" + } + }, + "n693": { + "hide_name": 0, + "bits": [ 2969 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1533.32-1533.36" + } + }, + "n694": { + "hide_name": 0, + "bits": [ 2344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1534.32-1534.36" + } + }, + "n695": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1535.32-1535.36" + } + }, + "n696": { + "hide_name": 0, + "bits": [ 501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1536.32-1536.36" + } + }, + "n697": { + "hide_name": 0, + "bits": [ 893 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1537.32-1537.36" + } + }, + "n698": { + "hide_name": 0, + "bits": [ 773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1538.32-1538.36" + } + }, + "n699": { + "hide_name": 0, + "bits": [ 2970 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1539.32-1539.36" + } + }, + "n69a": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1540.32-1540.36" + } + }, + "n69b": { + "hide_name": 0, + "bits": [ 1980 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1541.32-1541.36" + } + }, + "n69c": { + "hide_name": 0, + "bits": [ 2867 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1542.32-1542.36" + } + }, + "n69d": { + "hide_name": 0, + "bits": [ 2868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1543.32-1543.36" + } + }, + "n69e": { + "hide_name": 0, + "bits": [ 771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1544.32-1544.36" + } + }, + "n69f": { + "hide_name": 0, + "bits": [ 1978 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1545.32-1545.36" + } + }, + "n6a0": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1546.32-1546.36" + } + }, + "n6a1": { + "hide_name": 0, + "bits": [ 2346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1547.32-1547.36" + } + }, + "n6a2": { + "hide_name": 0, + "bits": [ 1129 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1548.32-1548.36" + } + }, + "n6a3": { + "hide_name": 0, + "bits": [ 2640 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1549.32-1549.36" + } + }, + "n6a4": { + "hide_name": 0, + "bits": [ 1979 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1550.32-1550.36" + } + }, + "n6a5": { + "hide_name": 0, + "bits": [ 2348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1551.32-1551.36" + } + }, + "n6a6": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1552.32-1552.36" + } + }, + "n6a7": { + "hide_name": 0, + "bits": [ 2349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1553.32-1553.36" + } + }, + "n6a8": { + "hide_name": 0, + "bits": [ 2347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1554.32-1554.36" + } + }, + "n6a9": { + "hide_name": 0, + "bits": [ 2641 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1555.32-1555.36" + } + }, + "n6aa": { + "hide_name": 0, + "bits": [ 2351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1556.32-1556.36" + } + }, + "n6ab": { + "hide_name": 0, + "bits": [ 1128 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1557.32-1557.36" + } + }, + "n6ac": { + "hide_name": 0, + "bits": [ 2642 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1558.32-1558.36" + } + }, + "n6ad": { + "hide_name": 0, + "bits": [ 2643 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1559.32-1559.36" + } + }, + "n6ae": { + "hide_name": 0, + "bits": [ 1982 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1560.32-1560.36" + } + }, + "n6af": { + "hide_name": 0, + "bits": [ 1981 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1561.32-1561.36" + } + }, + "n6b0": { + "hide_name": 0, + "bits": [ 2353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1562.32-1562.36" + } + }, + "n6b1": { + "hide_name": 0, + "bits": [ 2973 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1563.32-1563.36" + } + }, + "n6b2": { + "hide_name": 0, + "bits": [ 2974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1564.32-1564.36" + } + }, + "n6b3": { + "hide_name": 0, + "bits": [ 2352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1565.32-1565.36" + } + }, + "n6b4": { + "hide_name": 0, + "bits": [ 2975 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1566.32-1566.36" + } + }, + "n6b5": { + "hide_name": 0, + "bits": [ 762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1567.32-1567.36" + } + }, + "n6b6": { + "hide_name": 0, + "bits": [ 764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1568.32-1568.36" + } + }, + "n6b7": { + "hide_name": 0, + "bits": [ 766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1569.32-1569.36" + } + }, + "n6b8": { + "hide_name": 0, + "bits": [ 768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1570.32-1570.36" + } + }, + "n6b9": { + "hide_name": 0, + "bits": [ 770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1571.32-1571.36" + } + }, + "n6ba": { + "hide_name": 0, + "bits": [ 772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1572.32-1572.36" + } + }, + "n6bb": { + "hide_name": 0, + "bits": [ 774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1573.32-1573.36" + } + }, + "n6bc": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1574.32-1574.36" + } + }, + "n6bd": { + "hide_name": 0, + "bits": [ 778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1575.32-1575.36" + } + }, + "n6be": { + "hide_name": 0, + "bits": [ 780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1576.32-1576.36" + } + }, + "n6bf": { + "hide_name": 0, + "bits": [ 750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1577.32-1577.36" + } + }, + "n6c0": { + "hide_name": 0, + "bits": [ 752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1578.32-1578.36" + } + }, + "n6c1": { + "hide_name": 0, + "bits": [ 754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1579.32-1579.36" + } + }, + "n6c2": { + "hide_name": 0, + "bits": [ 756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1580.32-1580.36" + } + }, + "n6c3": { + "hide_name": 0, + "bits": [ 757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1581.32-1581.36" + } + }, + "n6c4": { + "hide_name": 0, + "bits": [ 758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1582.32-1582.36" + } + }, + "n6c5": { + "hide_name": 0, + "bits": [ 760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1583.32-1583.36" + } + }, + "n6c6": { + "hide_name": 0, + "bits": [ 796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1584.32-1584.36" + } + }, + "n6c7": { + "hide_name": 0, + "bits": [ 798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1585.32-1585.36" + } + }, + "n6c8": { + "hide_name": 0, + "bits": [ 800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1586.32-1586.36" + } + }, + "n6c9": { + "hide_name": 0, + "bits": [ 802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1587.32-1587.36" + } + }, + "n6ca": { + "hide_name": 0, + "bits": [ 804 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1588.32-1588.36" + } + }, + "n6cb": { + "hide_name": 0, + "bits": [ 806 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1589.32-1589.36" + } + }, + "n6cc": { + "hide_name": 0, + "bits": [ 808 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1590.32-1590.36" + } + }, + "n6cd": { + "hide_name": 0, + "bits": [ 809 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1591.32-1591.36" + } + }, + "n6ce": { + "hide_name": 0, + "bits": [ 810 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1592.32-1592.36" + } + }, + "n6cf": { + "hide_name": 0, + "bits": [ 812 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1593.32-1593.36" + } + }, + "n6d0": { + "hide_name": 0, + "bits": [ 814 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1594.32-1594.36" + } + }, + "n6d1": { + "hide_name": 0, + "bits": [ 784 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1595.32-1595.36" + } + }, + "n6d2": { + "hide_name": 0, + "bits": [ 786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1596.32-1596.36" + } + }, + "n6d3": { + "hide_name": 0, + "bits": [ 788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1597.32-1597.36" + } + }, + "n6d4": { + "hide_name": 0, + "bits": [ 789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1598.32-1598.36" + } + }, + "n6d5": { + "hide_name": 0, + "bits": [ 790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1599.32-1599.36" + } + }, + "n6d6": { + "hide_name": 0, + "bits": [ 792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1600.32-1600.36" + } + }, + "n6d7": { + "hide_name": 0, + "bits": [ 794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1601.32-1601.36" + } + }, + "n6d8": { + "hide_name": 0, + "bits": [ 830 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1602.32-1602.36" + } + }, + "n6d9": { + "hide_name": 0, + "bits": [ 832 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1603.32-1603.36" + } + }, + "n6da": { + "hide_name": 0, + "bits": [ 834 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1604.32-1604.36" + } + }, + "n6db": { + "hide_name": 0, + "bits": [ 836 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1605.32-1605.36" + } + }, + "n6dc": { + "hide_name": 0, + "bits": [ 838 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1606.32-1606.36" + } + }, + "n6dd": { + "hide_name": 0, + "bits": [ 840 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1607.32-1607.36" + } + }, + "n6de": { + "hide_name": 0, + "bits": [ 842 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1608.32-1608.36" + } + }, + "n6df": { + "hide_name": 0, + "bits": [ 844 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1609.32-1609.36" + } + }, + "n6e0": { + "hide_name": 0, + "bits": [ 846 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1610.32-1610.36" + } + }, + "n6e1": { + "hide_name": 0, + "bits": [ 848 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1611.32-1611.36" + } + }, + "n6e2": { + "hide_name": 0, + "bits": [ 818 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1612.32-1612.36" + } + }, + "n6e3": { + "hide_name": 0, + "bits": [ 820 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1613.32-1613.36" + } + }, + "n6e4": { + "hide_name": 0, + "bits": [ 821 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1614.32-1614.36" + } + }, + "n6e5": { + "hide_name": 0, + "bits": [ 822 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1615.32-1615.36" + } + }, + "n6e6": { + "hide_name": 0, + "bits": [ 824 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1616.32-1616.36" + } + }, + "n6e7": { + "hide_name": 0, + "bits": [ 826 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1617.32-1617.36" + } + }, + "n6e8": { + "hide_name": 0, + "bits": [ 828 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1618.32-1618.36" + } + }, + "n6e9": { + "hide_name": 0, + "bits": [ 829 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1619.32-1619.36" + } + }, + "n6ea": { + "hide_name": 0, + "bits": [ 864 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1620.32-1620.36" + } + }, + "n6eb": { + "hide_name": 0, + "bits": [ 866 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1621.32-1621.36" + } + }, + "n6ec": { + "hide_name": 0, + "bits": [ 868 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1622.32-1622.36" + } + }, + "n6ed": { + "hide_name": 0, + "bits": [ 870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1623.32-1623.36" + } + }, + "n6ee": { + "hide_name": 0, + "bits": [ 872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1624.32-1624.36" + } + }, + "n6ef": { + "hide_name": 0, + "bits": [ 874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1625.32-1625.36" + } + }, + "n6f0": { + "hide_name": 0, + "bits": [ 876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1626.32-1626.36" + } + }, + "n6f1": { + "hide_name": 0, + "bits": [ 878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1627.32-1627.36" + } + }, + "n6f2": { + "hide_name": 0, + "bits": [ 880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1628.32-1628.36" + } + }, + "n6f3": { + "hide_name": 0, + "bits": [ 882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1629.32-1629.36" + } + }, + "n6f4": { + "hide_name": 0, + "bits": [ 852 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1630.32-1630.36" + } + }, + "n6f5": { + "hide_name": 0, + "bits": [ 854 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1631.32-1631.36" + } + }, + "n6f6": { + "hide_name": 0, + "bits": [ 855 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1632.32-1632.36" + } + }, + "n6f7": { + "hide_name": 0, + "bits": [ 856 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1633.32-1633.36" + } + }, + "n6f8": { + "hide_name": 0, + "bits": [ 858 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1634.32-1634.36" + } + }, + "n6f9": { + "hide_name": 0, + "bits": [ 860 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1635.32-1635.36" + } + }, + "n6fa": { + "hide_name": 0, + "bits": [ 861 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1636.32-1636.36" + } + }, + "n6fb": { + "hide_name": 0, + "bits": [ 862 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1637.32-1637.36" + } + }, + "n6fc": { + "hide_name": 0, + "bits": [ 863 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1638.32-1638.36" + } + }, + "n6fd": { + "hide_name": 0, + "bits": [ 898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1639.32-1639.36" + } + }, + "n6fe": { + "hide_name": 0, + "bits": [ 900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1640.32-1640.36" + } + }, + "n6ff": { + "hide_name": 0, + "bits": [ 902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1641.32-1641.36" + } + }, + "n700": { + "hide_name": 0, + "bits": [ 903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1642.32-1642.36" + } + }, + "n701": { + "hide_name": 0, + "bits": [ 904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1643.32-1643.36" + } + }, + "n702": { + "hide_name": 0, + "bits": [ 905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1644.32-1644.36" + } + }, + "n703": { + "hide_name": 0, + "bits": [ 906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1645.32-1645.36" + } + }, + "n704": { + "hide_name": 0, + "bits": [ 908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1646.32-1646.36" + } + }, + "n705": { + "hide_name": 0, + "bits": [ 909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1647.32-1647.36" + } + }, + "n706": { + "hide_name": 0, + "bits": [ 910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1648.32-1648.36" + } + }, + "n707": { + "hide_name": 0, + "bits": [ 912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1649.32-1649.36" + } + }, + "n708": { + "hide_name": 0, + "bits": [ 913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1650.32-1650.36" + } + }, + "n709": { + "hide_name": 0, + "bits": [ 914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1651.32-1651.36" + } + }, + "n70a": { + "hide_name": 0, + "bits": [ 916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1652.32-1652.36" + } + }, + "n70b": { + "hide_name": 0, + "bits": [ 917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1653.32-1653.36" + } + }, + "n70c": { + "hide_name": 0, + "bits": [ 886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1654.32-1654.36" + } + }, + "n70d": { + "hide_name": 0, + "bits": [ 888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1655.32-1655.36" + } + }, + "n70e": { + "hide_name": 0, + "bits": [ 890 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1656.32-1656.36" + } + }, + "n70f": { + "hide_name": 0, + "bits": [ 891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1657.32-1657.36" + } + }, + "n710": { + "hide_name": 0, + "bits": [ 892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1658.32-1658.36" + } + }, + "n711": { + "hide_name": 0, + "bits": [ 894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1659.32-1659.36" + } + }, + "n712": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1660.32-1660.36" + } + }, + "n713": { + "hide_name": 0, + "bits": [ 897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1661.32-1661.36" + } + }, + "n714": { + "hide_name": 0, + "bits": [ 388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1662.32-1662.36" + } + }, + "n715": { + "hide_name": 0, + "bits": [ 390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1663.32-1663.36" + } + }, + "n716": { + "hide_name": 0, + "bits": [ 391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1664.32-1664.36" + } + }, + "n717": { + "hide_name": 0, + "bits": [ 392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1665.32-1665.36" + } + }, + "n718": { + "hide_name": 0, + "bits": [ 394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1666.32-1666.36" + } + }, + "n719": { + "hide_name": 0, + "bits": [ 396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1667.32-1667.36" + } + }, + "n71a": { + "hide_name": 0, + "bits": [ 398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1668.32-1668.36" + } + }, + "n71b": { + "hide_name": 0, + "bits": [ 399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1669.32-1669.36" + } + }, + "n71c": { + "hide_name": 0, + "bits": [ 400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1670.32-1670.36" + } + }, + "n71d": { + "hide_name": 0, + "bits": [ 402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1671.32-1671.36" + } + }, + "n71e": { + "hide_name": 0, + "bits": [ 403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1672.32-1672.36" + } + }, + "n71f": { + "hide_name": 0, + "bits": [ 404 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1673.32-1673.36" + } + }, + "n720": { + "hide_name": 0, + "bits": [ 406 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1674.32-1674.36" + } + }, + "n721": { + "hide_name": 0, + "bits": [ 407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1675.32-1675.36" + } + }, + "n722": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1676.32-1676.36" + } + }, + "n723": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1677.32-1677.36" + } + }, + "n724": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1678.32-1678.36" + } + }, + "n725": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1679.32-1679.36" + } + }, + "n726": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1680.32-1680.36" + } + }, + "n727": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1681.32-1681.36" + } + }, + "n728": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1682.32-1682.36" + } + }, + "n729": { + "hide_name": 0, + "bits": [ 386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1683.32-1683.36" + } + }, + "n72a": { + "hide_name": 0, + "bits": [ 387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1684.32-1684.36" + } + }, + "n72b": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1685.32-1685.36" + } + }, + "n72c": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1686.32-1686.36" + } + }, + "n72d": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1687.32-1687.36" + } + }, + "n72e": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1688.32-1688.36" + } + }, + "n72f": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1689.32-1689.36" + } + }, + "n730": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1690.32-1690.36" + } + }, + "n731": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1691.32-1691.36" + } + }, + "n732": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1692.32-1692.36" + } + }, + "n733": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1693.32-1693.36" + } + }, + "n734": { + "hide_name": 0, + "bits": [ 434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1694.32-1694.36" + } + }, + "n735": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1695.32-1695.36" + } + }, + "n736": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1696.32-1696.36" + } + }, + "n737": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1697.32-1697.36" + } + }, + "n738": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1698.32-1698.36" + } + }, + "n739": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1699.32-1699.36" + } + }, + "n73a": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1700.32-1700.36" + } + }, + "n73b": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1701.32-1701.36" + } + }, + "n73c": { + "hide_name": 0, + "bits": [ 2354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1702.32-1702.36" + } + }, + "n73d": { + "hide_name": 0, + "bits": [ 2014 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1703.32-1703.36" + } + }, + "n73e": { + "hide_name": 0, + "bits": [ 2976 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1704.32-1704.36" + } + }, + "n73f": { + "hide_name": 0, + "bits": [ 2355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1705.32-1705.36" + } + }, + "n740": { + "hide_name": 0, + "bits": [ 2357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1706.32-1706.36" + } + }, + "n741": { + "hide_name": 0, + "bits": [ 2356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1707.32-1707.36" + } + }, + "n742": { + "hide_name": 0, + "bits": [ 1985 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1708.32-1708.36" + } + }, + "n743": { + "hide_name": 0, + "bits": [ 2644 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1709.32-1709.36" + } + }, + "n744": { + "hide_name": 0, + "bits": [ 2647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1710.32-1710.36" + } + }, + "n745": { + "hide_name": 0, + "bits": [ 2361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1711.32-1711.36" + } + }, + "n746": { + "hide_name": 0, + "bits": [ 2667 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1712.32-1712.36" + } + }, + "n747": { + "hide_name": 0, + "bits": [ 2869 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1713.32-1713.36" + } + }, + "n748": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1714.32-1714.36" + } + }, + "n749": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1715.32-1715.36" + } + }, + "n74a": { + "hide_name": 0, + "bits": [ 2358 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1716.32-1716.36" + } + }, + "n74b": { + "hide_name": 0, + "bits": [ 1986 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1717.32-1717.36" + } + }, + "n74c": { + "hide_name": 0, + "bits": [ 1987 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1718.32-1718.36" + } + }, + "n74d": { + "hide_name": 0, + "bits": [ 1989 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1719.32-1719.36" + } + }, + "n74e": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1720.32-1720.36" + } + }, + "n74f": { + "hide_name": 0, + "bits": [ 2870 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1721.32-1721.36" + } + }, + "n750": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1722.32-1722.36" + } + }, + "n751": { + "hide_name": 0, + "bits": [ 2360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1723.32-1723.36" + } + }, + "n752": { + "hide_name": 0, + "bits": [ 2645 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1724.32-1724.36" + } + }, + "n753": { + "hide_name": 0, + "bits": [ 2646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1725.32-1725.36" + } + }, + "n754": { + "hide_name": 0, + "bits": [ 1988 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1726.32-1726.36" + } + }, + "n755": { + "hide_name": 0, + "bits": [ 2977 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1727.32-1727.36" + } + }, + "n756": { + "hide_name": 0, + "bits": [ 2359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1728.32-1728.36" + } + }, + "n757": { + "hide_name": 0, + "bits": [ 2362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1729.32-1729.36" + } + }, + "n758": { + "hide_name": 0, + "bits": [ 2648 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1730.32-1730.36" + } + }, + "n759": { + "hide_name": 0, + "bits": [ 1991 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1731.32-1731.36" + } + }, + "n75a": { + "hide_name": 0, + "bits": [ 2363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1732.32-1732.36" + } + }, + "n75b": { + "hide_name": 0, + "bits": [ 1990 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1733.32-1733.36" + } + }, + "n75c": { + "hide_name": 0, + "bits": [ 1992 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1734.32-1734.36" + } + }, + "n75d": { + "hide_name": 0, + "bits": [ 1993 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1735.32-1735.36" + } + }, + "n75e": { + "hide_name": 0, + "bits": [ 2369 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1736.32-1736.36" + } + }, + "n75f": { + "hide_name": 0, + "bits": [ 2872 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1737.32-1737.36" + } + }, + "n760": { + "hide_name": 0, + "bits": [ 1995 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1738.32-1738.36" + } + }, + "n761": { + "hide_name": 0, + "bits": [ 1994 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1739.32-1739.36" + } + }, + "n762": { + "hide_name": 0, + "bits": [ 1996 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1740.32-1740.36" + } + }, + "n763": { + "hide_name": 0, + "bits": [ 2649 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1741.32-1741.36" + } + }, + "n764": { + "hide_name": 0, + "bits": [ 2650 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1742.32-1742.36" + } + }, + "n765": { + "hide_name": 0, + "bits": [ 2367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1743.32-1743.36" + } + }, + "n766": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1744.32-1744.36" + } + }, + "n767": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1745.32-1745.36" + } + }, + "n768": { + "hide_name": 0, + "bits": [ 2368 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1746.32-1746.36" + } + }, + "n769": { + "hide_name": 0, + "bits": [ 2652 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1747.32-1747.36" + } + }, + "n76a": { + "hide_name": 0, + "bits": [ 2371 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1748.32-1748.36" + } + }, + "n76b": { + "hide_name": 0, + "bits": [ 2653 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1749.32-1749.36" + } + }, + "n76c": { + "hide_name": 0, + "bits": [ 2874 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1750.32-1750.36" + } + }, + "n76d": { + "hide_name": 0, + "bits": [ 2978 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1751.32-1751.36" + } + }, + "n76e": { + "hide_name": 0, + "bits": [ 2375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1752.32-1752.36" + } + }, + "n76f": { + "hide_name": 0, + "bits": [ 2658 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1753.32-1753.36" + } + }, + "n770": { + "hide_name": 0, + "bits": [ 2654 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1754.32-1754.36" + } + }, + "n771": { + "hide_name": 0, + "bits": [ 2373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1755.32-1755.36" + } + }, + "n772": { + "hide_name": 0, + "bits": [ 2979 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1756.32-1756.36" + } + }, + "n773": { + "hide_name": 0, + "bits": [ 2980 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1757.32-1757.36" + } + }, + "n774": { + "hide_name": 0, + "bits": [ 2981 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1758.32-1758.36" + } + }, + "n775": { + "hide_name": 0, + "bits": [ 2875 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1759.32-1759.36" + } + }, + "n776": { + "hide_name": 0, + "bits": [ 2372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1760.32-1760.36" + } + }, + "n777": { + "hide_name": 0, + "bits": [ 2876 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1761.32-1761.36" + } + }, + "n778": { + "hide_name": 0, + "bits": [ 2000 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1762.32-1762.36" + } + }, + "n779": { + "hide_name": 0, + "bits": [ 1998 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1763.32-1763.36" + } + }, + "n77a": { + "hide_name": 0, + "bits": [ 2374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1764.32-1764.36" + } + }, + "n77b": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1765.32-1765.36" + } + }, + "n77c": { + "hide_name": 0, + "bits": [ 1999 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1766.32-1766.36" + } + }, + "n77d": { + "hide_name": 0, + "bits": [ 2010 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1767.32-1767.36" + } + }, + "n77e": { + "hide_name": 0, + "bits": [ 2655 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1768.32-1768.36" + } + }, + "n77f": { + "hide_name": 0, + "bits": [ 2377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1769.32-1769.36" + } + }, + "n780": { + "hide_name": 0, + "bits": [ 2877 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1770.32-1770.36" + } + }, + "n781": { + "hide_name": 0, + "bits": [ 2376 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1771.32-1771.36" + } + }, + "n782": { + "hide_name": 0, + "bits": [ 2656 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1772.32-1772.36" + } + }, + "n783": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1773.32-1773.36" + } + }, + "n784": { + "hide_name": 0, + "bits": [ 2657 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1774.32-1774.36" + } + }, + "n785": { + "hide_name": 0, + "bits": [ 2982 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1775.32-1775.36" + } + }, + "n786": { + "hide_name": 0, + "bits": [ 2001 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1776.32-1776.36" + } + }, + "n787": { + "hide_name": 0, + "bits": [ 2378 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1777.32-1777.36" + } + }, + "n788": { + "hide_name": 0, + "bits": [ 2660 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1778.32-1778.36" + } + }, + "n789": { + "hide_name": 0, + "bits": [ 2003 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1779.32-1779.36" + } + }, + "n78a": { + "hide_name": 0, + "bits": [ 2004 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1780.32-1780.36" + } + }, + "n78b": { + "hide_name": 0, + "bits": [ 2380 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1781.32-1781.36" + } + }, + "n78c": { + "hide_name": 0, + "bits": [ 2379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1782.32-1782.36" + } + }, + "n78d": { + "hide_name": 0, + "bits": [ 2009 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1783.32-1783.36" + } + }, + "n78e": { + "hide_name": 0, + "bits": [ 2005 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1784.32-1784.36" + } + }, + "n78f": { + "hide_name": 0, + "bits": [ 2006 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1785.32-1785.36" + } + }, + "n790": { + "hide_name": 0, + "bits": [ 2007 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1786.32-1786.36" + } + }, + "n791": { + "hide_name": 0, + "bits": [ 2381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1787.32-1787.36" + } + }, + "n792": { + "hide_name": 0, + "bits": [ 2012 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1788.32-1788.36" + } + }, + "n793": { + "hide_name": 0, + "bits": [ 2384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1789.32-1789.36" + } + }, + "n794": { + "hide_name": 0, + "bits": [ 2659 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1790.32-1790.36" + } + }, + "n795": { + "hide_name": 0, + "bits": [ 2011 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1791.32-1791.36" + } + }, + "n796": { + "hide_name": 0, + "bits": [ 2385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1792.32-1792.36" + } + }, + "n797": { + "hide_name": 0, + "bits": [ 2386 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1793.32-1793.36" + } + }, + "n798": { + "hide_name": 0, + "bits": [ 3091 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1794.32-1794.36" + } + }, + "n799": { + "hide_name": 0, + "bits": [ 3092 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1795.32-1795.36" + } + }, + "n79a": { + "hide_name": 0, + "bits": [ 2661 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1796.32-1796.36" + } + }, + "n79b": { + "hide_name": 0, + "bits": [ 2662 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1797.32-1797.36" + } + }, + "n79c": { + "hide_name": 0, + "bits": [ 2013 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1798.32-1798.36" + } + }, + "n79d": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1799.32-1799.36" + } + }, + "n79e": { + "hide_name": 0, + "bits": [ 2387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1800.32-1800.36" + } + }, + "n79f": { + "hide_name": 0, + "bits": [ 2390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1801.32-1801.36" + } + }, + "n7a0": { + "hide_name": 0, + "bits": [ 2878 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1802.32-1802.36" + } + }, + "n7a1": { + "hide_name": 0, + "bits": [ 2879 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1803.32-1803.36" + } + }, + "n7a2": { + "hide_name": 0, + "bits": [ 2880 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1804.32-1804.36" + } + }, + "n7a3": { + "hide_name": 0, + "bits": [ 2881 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1805.32-1805.36" + } + }, + "n7a4": { + "hide_name": 0, + "bits": [ 2388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1806.32-1806.36" + } + }, + "n7a5": { + "hide_name": 0, + "bits": [ 2984 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1807.32-1807.36" + } + }, + "n7a6": { + "hide_name": 0, + "bits": [ 2392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1808.32-1808.36" + } + }, + "n7a7": { + "hide_name": 0, + "bits": [ 498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1809.32-1809.36" + } + }, + "n7a8": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1810.32-1810.36" + } + }, + "n7a9": { + "hide_name": 0, + "bits": [ 2389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1811.32-1811.36" + } + }, + "n7aa": { + "hide_name": 0, + "bits": [ 2391 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1812.32-1812.36" + } + }, + "n7ab": { + "hide_name": 0, + "bits": [ 2882 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1813.32-1813.36" + } + }, + "n7ac": { + "hide_name": 0, + "bits": [ 2663 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1814.32-1814.36" + } + }, + "n7ad": { + "hide_name": 0, + "bits": [ 2664 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1815.32-1815.36" + } + }, + "n7ae": { + "hide_name": 0, + "bits": [ 2883 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1816.32-1816.36" + } + }, + "n7af": { + "hide_name": 0, + "bits": [ 2884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1817.32-1817.36" + } + }, + "n7b0": { + "hide_name": 0, + "bits": [ 2885 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1818.32-1818.36" + } + }, + "n7b1": { + "hide_name": 0, + "bits": [ 2394 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1819.32-1819.36" + } + }, + "n7b2": { + "hide_name": 0, + "bits": [ 2393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1820.32-1820.36" + } + }, + "n7b3": { + "hide_name": 0, + "bits": [ 2395 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1821.32-1821.36" + } + }, + "n7b4": { + "hide_name": 0, + "bits": [ 2665 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1822.32-1822.36" + } + }, + "n7b5": { + "hide_name": 0, + "bits": [ 2666 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1823.32-1823.36" + } + }, + "n7b6": { + "hide_name": 0, + "bits": [ 2396 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1824.32-1824.36" + } + }, + "n7b7": { + "hide_name": 0, + "bits": [ 2398 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1825.32-1825.36" + } + }, + "n7b8": { + "hide_name": 0, + "bits": [ 2397 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1826.32-1826.36" + } + }, + "n7b9": { + "hide_name": 0, + "bits": [ 2015 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1827.32-1827.36" + } + }, + "n7ba": { + "hide_name": 0, + "bits": [ 2401 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1828.32-1828.36" + } + }, + "n7bb": { + "hide_name": 0, + "bits": [ 2669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1829.32-1829.36" + } + }, + "n7bc": { + "hide_name": 0, + "bits": [ 2402 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1830.32-1830.36" + } + }, + "n7bd": { + "hide_name": 0, + "bits": [ 2399 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1831.32-1831.36" + } + }, + "n7be": { + "hide_name": 0, + "bits": [ 2016 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1832.32-1832.36" + } + }, + "n7bf": { + "hide_name": 0, + "bits": [ 2671 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1833.32-1833.36" + } + }, + "n7c0": { + "hide_name": 0, + "bits": [ 2670 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1834.32-1834.36" + } + }, + "n7c1": { + "hide_name": 0, + "bits": [ 2672 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1835.32-1835.36" + } + }, + "n7c2": { + "hide_name": 0, + "bits": [ 2400 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1836.32-1836.36" + } + }, + "n7c3": { + "hide_name": 0, + "bits": [ 2403 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1837.32-1837.36" + } + }, + "n7c4": { + "hide_name": 0, + "bits": [ 2019 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1838.32-1838.36" + } + }, + "n7c5": { + "hide_name": 0, + "bits": [ 2886 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1839.32-1839.36" + } + }, + "n7c6": { + "hide_name": 0, + "bits": [ 2887 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1840.32-1840.36" + } + }, + "n7c7": { + "hide_name": 0, + "bits": [ 2018 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1841.32-1841.36" + } + }, + "n7c8": { + "hide_name": 0, + "bits": [ 2888 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1842.32-1842.36" + } + }, + "n7c9": { + "hide_name": 0, + "bits": [ 3093 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1843.32-1843.36" + } + }, + "n7ca": { + "hide_name": 0, + "bits": [ 2988 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1844.32-1844.36" + } + }, + "n7cb": { + "hide_name": 0, + "bits": [ 2987 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1845.32-1845.36" + } + }, + "n7cc": { + "hide_name": 0, + "bits": [ 2020 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1846.32-1846.36" + } + }, + "n7cd": { + "hide_name": 0, + "bits": [ 2889 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1847.32-1847.36" + } + }, + "n7ce": { + "hide_name": 0, + "bits": [ 2405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1848.32-1848.36" + } + }, + "n7cf": { + "hide_name": 0, + "bits": [ 2021 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1849.32-1849.36" + } + }, + "n7d0": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1850.32-1850.36" + } + }, + "n7d1": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1851.32-1851.36" + } + }, + "n7d2": { + "hide_name": 0, + "bits": [ 2989 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1852.32-1852.36" + } + }, + "n7d3": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1853.32-1853.36" + } + }, + "n7d4": { + "hide_name": 0, + "bits": [ 419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1854.32-1854.36" + } + }, + "n7d5": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1855.32-1855.36" + } + }, + "n7d6": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1856.32-1856.36" + } + }, + "n7d7": { + "hide_name": 0, + "bits": [ 456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1857.32-1857.36" + } + }, + "n7d8": { + "hide_name": 0, + "bits": [ 457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1858.32-1858.36" + } + }, + "n7d9": { + "hide_name": 0, + "bits": [ 458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1859.32-1859.36" + } + }, + "n7da": { + "hide_name": 0, + "bits": [ 459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1860.32-1860.36" + } + }, + "n7db": { + "hide_name": 0, + "bits": [ 460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1861.32-1861.36" + } + }, + "n7dc": { + "hide_name": 0, + "bits": [ 461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1862.32-1862.36" + } + }, + "n7dd": { + "hide_name": 0, + "bits": [ 462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1863.32-1863.36" + } + }, + "n7de": { + "hide_name": 0, + "bits": [ 463 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1864.32-1864.36" + } + }, + "n7df": { + "hide_name": 0, + "bits": [ 464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1865.32-1865.36" + } + }, + "n7e0": { + "hide_name": 0, + "bits": [ 465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1866.32-1866.36" + } + }, + "n7e1": { + "hide_name": 0, + "bits": [ 466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1867.32-1867.36" + } + }, + "n7e2": { + "hide_name": 0, + "bits": [ 467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1868.32-1868.36" + } + }, + "n7e3": { + "hide_name": 0, + "bits": [ 468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1869.32-1869.36" + } + }, + "n7e4": { + "hide_name": 0, + "bits": [ 469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1870.32-1870.36" + } + }, + "n7e5": { + "hide_name": 0, + "bits": [ 470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1871.32-1871.36" + } + }, + "n7e6": { + "hide_name": 0, + "bits": [ 471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1872.32-1872.36" + } + }, + "n7e7": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1873.32-1873.36" + } + }, + "n7e8": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1874.32-1874.36" + } + }, + "n7e9": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1875.32-1875.36" + } + }, + "n7ea": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1876.32-1876.36" + } + }, + "n7eb": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1877.32-1877.36" + } + }, + "n7ec": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1878.32-1878.36" + } + }, + "n7ed": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1879.32-1879.36" + } + }, + "n7ee": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1880.32-1880.36" + } + }, + "n7ef": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1881.32-1881.36" + } + }, + "n7f0": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1882.32-1882.36" + } + }, + "n7f1": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1883.32-1883.36" + } + }, + "n7f2": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1884.32-1884.36" + } + }, + "n7f3": { + "hide_name": 0, + "bits": [ 2891 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1885.32-1885.36" + } + }, + "n7f4": { + "hide_name": 0, + "bits": [ 2892 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1886.32-1886.36" + } + }, + "n7f5": { + "hide_name": 0, + "bits": [ 2894 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1887.32-1887.36" + } + }, + "n7f6": { + "hide_name": 0, + "bits": [ 2409 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1888.32-1888.36" + } + }, + "n7f7": { + "hide_name": 0, + "bits": [ 2895 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1889.32-1889.36" + } + }, + "n7f8": { + "hide_name": 0, + "bits": [ 2407 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1890.32-1890.36" + } + }, + "n7f9": { + "hide_name": 0, + "bits": [ 2022 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1891.32-1891.36" + } + }, + "n7fa": { + "hide_name": 0, + "bits": [ 2674 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1892.32-1892.36" + } + }, + "n7fb": { + "hide_name": 0, + "bits": [ 1125 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1893.32-1893.36" + } + }, + "n7fc": { + "hide_name": 0, + "bits": [ 2408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1894.32-1894.36" + } + }, + "n7fd": { + "hide_name": 0, + "bits": [ 2990 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1895.32-1895.36" + } + }, + "n7fe": { + "hide_name": 0, + "bits": [ 2023 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1896.32-1896.36" + } + }, + "n7ff": { + "hide_name": 0, + "bits": [ 2673 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1897.32-1897.36" + } + }, + "n800": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1898.32-1898.36" + } + }, + "n801": { + "hide_name": 0, + "bits": [ 2897 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1899.32-1899.36" + } + }, + "n802": { + "hide_name": 0, + "bits": [ 2675 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1900.32-1900.36" + } + }, + "n803": { + "hide_name": 0, + "bits": [ 2676 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1901.32-1901.36" + } + }, + "n804": { + "hide_name": 0, + "bits": [ 2026 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1902.32-1902.36" + } + }, + "n805": { + "hide_name": 0, + "bits": [ 3094 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1903.32-1903.36" + } + }, + "n806": { + "hide_name": 0, + "bits": [ 2025 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1904.32-1904.36" + } + }, + "n807": { + "hide_name": 0, + "bits": [ 2898 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1905.32-1905.36" + } + }, + "n808": { + "hide_name": 0, + "bits": [ 2410 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1906.32-1906.36" + } + }, + "n809": { + "hide_name": 0, + "bits": [ 2899 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1907.32-1907.36" + } + }, + "n80a": { + "hide_name": 0, + "bits": [ 2028 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1908.32-1908.36" + } + }, + "n80b": { + "hide_name": 0, + "bits": [ 2027 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1909.32-1909.36" + } + }, + "n80c": { + "hide_name": 0, + "bits": [ 2678 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1910.32-1910.36" + } + }, + "n80d": { + "hide_name": 0, + "bits": [ 2679 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1911.32-1911.36" + } + }, + "n80e": { + "hide_name": 0, + "bits": [ 2680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1912.32-1912.36" + } + }, + "n80f": { + "hide_name": 0, + "bits": [ 2681 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1913.32-1913.36" + } + }, + "n810": { + "hide_name": 0, + "bits": [ 2035 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1914.32-1914.36" + } + }, + "n811": { + "hide_name": 0, + "bits": [ 2900 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1915.32-1915.36" + } + }, + "n812": { + "hide_name": 0, + "bits": [ 2901 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1916.32-1916.36" + } + }, + "n813": { + "hide_name": 0, + "bits": [ 2030 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1917.32-1917.36" + } + }, + "n814": { + "hide_name": 0, + "bits": [ 2902 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1918.32-1918.36" + } + }, + "n815": { + "hide_name": 0, + "bits": [ 2029 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1919.32-1919.36" + } + }, + "n816": { + "hide_name": 0, + "bits": [ 2991 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1920.32-1920.36" + } + }, + "n817": { + "hide_name": 0, + "bits": [ 2036 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1921.32-1921.36" + } + }, + "n818": { + "hide_name": 0, + "bits": [ 2904 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1922.32-1922.36" + } + }, + "n819": { + "hide_name": 0, + "bits": [ 2682 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1923.32-1923.36" + } + }, + "n81a": { + "hide_name": 0, + "bits": [ 2033 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1924.32-1924.36" + } + }, + "n81b": { + "hide_name": 0, + "bits": [ 2683 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1925.32-1925.36" + } + }, + "n81c": { + "hide_name": 0, + "bits": [ 2903 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1926.32-1926.36" + } + }, + "n81d": { + "hide_name": 0, + "bits": [ 2031 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1927.32-1927.36" + } + }, + "n81e": { + "hide_name": 0, + "bits": [ 2684 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1928.32-1928.36" + } + }, + "n81f": { + "hide_name": 0, + "bits": [ 2032 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1929.32-1929.36" + } + }, + "n820": { + "hide_name": 0, + "bits": [ 2905 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1930.32-1930.36" + } + }, + "n821": { + "hide_name": 0, + "bits": [ 2906 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1931.32-1931.36" + } + }, + "n822": { + "hide_name": 0, + "bits": [ 2037 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1932.32-1932.36" + } + }, + "n823": { + "hide_name": 0, + "bits": [ 2992 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1933.32-1933.36" + } + }, + "n824": { + "hide_name": 0, + "bits": [ 2686 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1934.32-1934.36" + } + }, + "n825": { + "hide_name": 0, + "bits": [ 2411 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1935.32-1935.36" + } + }, + "n826": { + "hide_name": 0, + "bits": [ 2038 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1936.32-1936.36" + } + }, + "n827": { + "hide_name": 0, + "bits": [ 2907 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1937.32-1937.36" + } + }, + "n828": { + "hide_name": 0, + "bits": [ 2908 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1938.32-1938.36" + } + }, + "n829": { + "hide_name": 0, + "bits": [ 2039 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1939.32-1939.36" + } + }, + "n82a": { + "hide_name": 0, + "bits": [ 2993 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1940.32-1940.36" + } + }, + "n82b": { + "hide_name": 0, + "bits": [ 2685 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1941.32-1941.36" + } + }, + "n82c": { + "hide_name": 0, + "bits": [ 2412 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1942.32-1942.36" + } + }, + "n82d": { + "hide_name": 0, + "bits": [ 2994 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1943.32-1943.36" + } + }, + "n82e": { + "hide_name": 0, + "bits": [ 2995 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1944.32-1944.36" + } + }, + "n82f": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1945.32-1945.36" + } + }, + "n830": { + "hide_name": 0, + "bits": [ 2997 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1946.32-1946.36" + } + }, + "n831": { + "hide_name": 0, + "bits": [ 2996 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1947.32-1947.36" + } + }, + "n832": { + "hide_name": 0, + "bits": [ 2687 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1948.32-1948.36" + } + }, + "n833": { + "hide_name": 0, + "bits": [ 2998 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1949.32-1949.36" + } + }, + "n834": { + "hide_name": 0, + "bits": [ 2414 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1950.32-1950.36" + } + }, + "n835": { + "hide_name": 0, + "bits": [ 2999 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1951.32-1951.36" + } + }, + "n836": { + "hide_name": 0, + "bits": [ 2042 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1952.32-1952.36" + } + }, + "n837": { + "hide_name": 0, + "bits": [ 2043 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1953.32-1953.36" + } + }, + "n838": { + "hide_name": 0, + "bits": [ 2413 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1954.32-1954.36" + } + }, + "n839": { + "hide_name": 0, + "bits": [ 2418 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1955.32-1955.36" + } + }, + "n83a": { + "hide_name": 0, + "bits": [ 2910 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1956.32-1956.36" + } + }, + "n83b": { + "hide_name": 0, + "bits": [ 2047 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1957.32-1957.36" + } + }, + "n83c": { + "hide_name": 0, + "bits": [ 3000 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1958.32-1958.36" + } + }, + "n83d": { + "hide_name": 0, + "bits": [ 2053 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1959.32-1959.36" + } + }, + "n83e": { + "hide_name": 0, + "bits": [ 2689 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1960.32-1960.36" + } + }, + "n83f": { + "hide_name": 0, + "bits": [ 2046 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1961.32-1961.36" + } + }, + "n840": { + "hide_name": 0, + "bits": [ 2045 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1962.32-1962.36" + } + }, + "n841": { + "hide_name": 0, + "bits": [ 3001 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1963.32-1963.36" + } + }, + "n842": { + "hide_name": 0, + "bits": [ 2049 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1964.32-1964.36" + } + }, + "n843": { + "hide_name": 0, + "bits": [ 2044 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1965.32-1965.36" + } + }, + "n844": { + "hide_name": 0, + "bits": [ 2415 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1966.32-1966.36" + } + }, + "n845": { + "hide_name": 0, + "bits": [ 3002 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1967.32-1967.36" + } + }, + "n846": { + "hide_name": 0, + "bits": [ 2416 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1968.32-1968.36" + } + }, + "n847": { + "hide_name": 0, + "bits": [ 2417 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1969.32-1969.36" + } + }, + "n848": { + "hide_name": 0, + "bits": [ 2050 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1970.32-1970.36" + } + }, + "n849": { + "hide_name": 0, + "bits": [ 3003 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1971.32-1971.36" + } + }, + "n84a": { + "hide_name": 0, + "bits": [ 2048 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1972.32-1972.36" + } + }, + "n84b": { + "hide_name": 0, + "bits": [ 3004 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1973.32-1973.36" + } + }, + "n84c": { + "hide_name": 0, + "bits": [ 2051 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1974.32-1974.36" + } + }, + "n84d": { + "hide_name": 0, + "bits": [ 2909 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1975.32-1975.36" + } + }, + "n84e": { + "hide_name": 0, + "bits": [ 2419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1976.32-1976.36" + } + }, + "n84f": { + "hide_name": 0, + "bits": [ 2054 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1977.32-1977.36" + } + }, + "n850": { + "hide_name": 0, + "bits": [ 2911 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1978.32-1978.36" + } + }, + "n851": { + "hide_name": 0, + "bits": [ 2420 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1979.32-1979.36" + } + }, + "n852": { + "hide_name": 0, + "bits": [ 2690 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1980.32-1980.36" + } + }, + "n853": { + "hide_name": 0, + "bits": [ 3005 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1981.32-1981.36" + } + }, + "n854": { + "hide_name": 0, + "bits": [ 2421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1982.32-1982.36" + } + }, + "n855": { + "hide_name": 0, + "bits": [ 3006 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1983.32-1983.36" + } + }, + "n856": { + "hide_name": 0, + "bits": [ 2422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1984.32-1984.36" + } + }, + "n857": { + "hide_name": 0, + "bits": [ 2691 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1985.32-1985.36" + } + }, + "n858": { + "hide_name": 0, + "bits": [ 2692 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1986.32-1986.36" + } + }, + "n859": { + "hide_name": 0, + "bits": [ 2424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1987.32-1987.36" + } + }, + "n85a": { + "hide_name": 0, + "bits": [ 2423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1988.32-1988.36" + } + }, + "n85b": { + "hide_name": 0, + "bits": [ 2426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1989.32-1989.36" + } + }, + "n85c": { + "hide_name": 0, + "bits": [ 2055 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1990.32-1990.36" + } + }, + "n85d": { + "hide_name": 0, + "bits": [ 2427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1991.32-1991.36" + } + }, + "n85e": { + "hide_name": 0, + "bits": [ 2428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1992.32-1992.36" + } + }, + "n85f": { + "hide_name": 0, + "bits": [ 2057 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1993.32-1993.36" + } + }, + "n860": { + "hide_name": 0, + "bits": [ 3007 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1994.32-1994.36" + } + }, + "n861": { + "hide_name": 0, + "bits": [ 2913 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1995.32-1995.36" + } + }, + "n862": { + "hide_name": 0, + "bits": [ 2912 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1996.32-1996.36" + } + }, + "n863": { + "hide_name": 0, + "bits": [ 3008 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1997.32-1997.36" + } + }, + "n864": { + "hide_name": 0, + "bits": [ 2429 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1998.32-1998.36" + } + }, + "n865": { + "hide_name": 0, + "bits": [ 2058 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:1999.32-1999.36" + } + }, + "n866": { + "hide_name": 0, + "bits": [ 2914 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2000.32-2000.36" + } + }, + "n867": { + "hide_name": 0, + "bits": [ 3010 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2001.32-2001.36" + } + }, + "n868": { + "hide_name": 0, + "bits": [ 3009 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2002.32-2002.36" + } + }, + "n869": { + "hide_name": 0, + "bits": [ 2693 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2003.32-2003.36" + } + }, + "n86a": { + "hide_name": 0, + "bits": [ 2059 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2004.32-2004.36" + } + }, + "n86b": { + "hide_name": 0, + "bits": [ 3011 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2005.32-2005.36" + } + }, + "n86c": { + "hide_name": 0, + "bits": [ 3012 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2006.32-2006.36" + } + }, + "n86d": { + "hide_name": 0, + "bits": [ 2695 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2007.32-2007.36" + } + }, + "n86e": { + "hide_name": 0, + "bits": [ 3013 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2008.32-2008.36" + } + }, + "n86f": { + "hide_name": 0, + "bits": [ 3014 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2009.32-2009.36" + } + }, + "n870": { + "hide_name": 0, + "bits": [ 2061 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2010.32-2010.36" + } + }, + "n871": { + "hide_name": 0, + "bits": [ 2062 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2011.32-2011.36" + } + }, + "n872": { + "hide_name": 0, + "bits": [ 3015 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2012.32-2012.36" + } + }, + "n873": { + "hide_name": 0, + "bits": [ 2915 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2013.32-2013.36" + } + }, + "n874": { + "hide_name": 0, + "bits": [ 2916 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2014.32-2014.36" + } + }, + "n875": { + "hide_name": 0, + "bits": [ 2063 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2015.32-2015.36" + } + }, + "n876": { + "hide_name": 0, + "bits": [ 2431 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2016.32-2016.36" + } + }, + "n877": { + "hide_name": 0, + "bits": [ 3016 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2017.32-2017.36" + } + }, + "n878": { + "hide_name": 0, + "bits": [ 2064 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2018.32-2018.36" + } + }, + "n879": { + "hide_name": 0, + "bits": [ 2433 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2019.32-2019.36" + } + }, + "n87a": { + "hide_name": 0, + "bits": [ 2070 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2020.32-2020.36" + } + }, + "n87b": { + "hide_name": 0, + "bits": [ 2435 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2021.32-2021.36" + } + }, + "n87c": { + "hide_name": 0, + "bits": [ 2065 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2022.32-2022.36" + } + }, + "n87d": { + "hide_name": 0, + "bits": [ 2697 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2023.32-2023.36" + } + }, + "n87e": { + "hide_name": 0, + "bits": [ 2434 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2024.32-2024.36" + } + }, + "n87f": { + "hide_name": 0, + "bits": [ 2698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2025.32-2025.36" + } + }, + "n880": { + "hide_name": 0, + "bits": [ 2069 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2026.32-2026.36" + } + }, + "n881": { + "hide_name": 0, + "bits": [ 1110 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2027.32-2027.36" + } + }, + "n882": { + "hide_name": 0, + "bits": [ 1111 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2028.32-2028.36" + } + }, + "n883": { + "hide_name": 0, + "bits": [ 1112 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2029.32-2029.36" + } + }, + "n884": { + "hide_name": 0, + "bits": [ 1113 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2030.32-2030.36" + } + }, + "n885": { + "hide_name": 0, + "bits": [ 1114 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2031.32-2031.36" + } + }, + "n886": { + "hide_name": 0, + "bits": [ 1115 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2032.32-2032.36" + } + }, + "n887": { + "hide_name": 0, + "bits": [ 1116 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2033.32-2033.36" + } + }, + "n888": { + "hide_name": 0, + "bits": [ 1117 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2034.32-2034.36" + } + }, + "n889": { + "hide_name": 0, + "bits": [ 1118 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2035.32-2035.36" + } + }, + "n88a": { + "hide_name": 0, + "bits": [ 1119 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2036.32-2036.36" + } + }, + "n88b": { + "hide_name": 0, + "bits": [ 1120 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2037.32-2037.36" + } + }, + "n88c": { + "hide_name": 0, + "bits": [ 1121 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2038.32-2038.36" + } + }, + "n88d": { + "hide_name": 0, + "bits": [ 1155 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2039.32-2039.36" + } + }, + "n88e": { + "hide_name": 0, + "bits": [ 1156 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2040.32-2040.36" + } + }, + "n88f": { + "hide_name": 0, + "bits": [ 1157 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2041.32-2041.36" + } + }, + "n890": { + "hide_name": 0, + "bits": [ 1158 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2042.32-2042.36" + } + }, + "n891": { + "hide_name": 0, + "bits": [ 1159 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2043.32-2043.36" + } + }, + "n892": { + "hide_name": 0, + "bits": [ 1160 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2044.32-2044.36" + } + }, + "n893": { + "hide_name": 0, + "bits": [ 1161 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2045.32-2045.36" + } + }, + "n894": { + "hide_name": 0, + "bits": [ 1162 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2046.32-2046.36" + } + }, + "n895": { + "hide_name": 0, + "bits": [ 1163 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2047.32-2047.36" + } + }, + "n896": { + "hide_name": 0, + "bits": [ 1164 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2048.32-2048.36" + } + }, + "n897": { + "hide_name": 0, + "bits": [ 1165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2049.32-2049.36" + } + }, + "n898": { + "hide_name": 0, + "bits": [ 1166 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2050.32-2050.36" + } + }, + "n899": { + "hide_name": 0, + "bits": [ 1167 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2051.32-2051.36" + } + }, + "n89a": { + "hide_name": 0, + "bits": [ 1168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2052.32-2052.36" + } + }, + "n89b": { + "hide_name": 0, + "bits": [ 1169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2053.32-2053.36" + } + }, + "n89c": { + "hide_name": 0, + "bits": [ 1170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2054.32-2054.36" + } + }, + "n89d": { + "hide_name": 0, + "bits": [ 1171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2055.32-2055.36" + } + }, + "n89e": { + "hide_name": 0, + "bits": [ 1172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2056.32-2056.36" + } + }, + "n89f": { + "hide_name": 0, + "bits": [ 1173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2057.32-2057.36" + } + }, + "n8a0": { + "hide_name": 0, + "bits": [ 1174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2058.32-2058.36" + } + }, + "n8a1": { + "hide_name": 0, + "bits": [ 1143 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2059.32-2059.36" + } + }, + "n8a2": { + "hide_name": 0, + "bits": [ 1144 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2060.32-2060.36" + } + }, + "n8a3": { + "hide_name": 0, + "bits": [ 1145 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2061.32-2061.36" + } + }, + "n8a4": { + "hide_name": 0, + "bits": [ 1146 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2062.32-2062.36" + } + }, + "n8a5": { + "hide_name": 0, + "bits": [ 1147 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2063.32-2063.36" + } + }, + "n8a6": { + "hide_name": 0, + "bits": [ 1148 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2064.32-2064.36" + } + }, + "n8a7": { + "hide_name": 0, + "bits": [ 1149 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2065.32-2065.36" + } + }, + "n8a8": { + "hide_name": 0, + "bits": [ 1150 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2066.32-2066.36" + } + }, + "n8a9": { + "hide_name": 0, + "bits": [ 1151 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2067.32-2067.36" + } + }, + "n8aa": { + "hide_name": 0, + "bits": [ 1152 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2068.32-2068.36" + } + }, + "n8ab": { + "hide_name": 0, + "bits": [ 1153 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2069.32-2069.36" + } + }, + "n8ac": { + "hide_name": 0, + "bits": [ 1154 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2070.32-2070.36" + } + }, + "n8ad": { + "hide_name": 0, + "bits": [ 1189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2071.32-2071.36" + } + }, + "n8ae": { + "hide_name": 0, + "bits": [ 1190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2072.32-2072.36" + } + }, + "n8af": { + "hide_name": 0, + "bits": [ 1191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2073.32-2073.36" + } + }, + "n8b0": { + "hide_name": 0, + "bits": [ 1192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2074.32-2074.36" + } + }, + "n8b1": { + "hide_name": 0, + "bits": [ 1193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2075.32-2075.36" + } + }, + "n8b2": { + "hide_name": 0, + "bits": [ 1194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2076.32-2076.36" + } + }, + "n8b3": { + "hide_name": 0, + "bits": [ 1195 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2077.32-2077.36" + } + }, + "n8b4": { + "hide_name": 0, + "bits": [ 1196 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2078.32-2078.36" + } + }, + "n8b5": { + "hide_name": 0, + "bits": [ 1197 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2079.32-2079.36" + } + }, + "n8b6": { + "hide_name": 0, + "bits": [ 1198 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2080.32-2080.36" + } + }, + "n8b7": { + "hide_name": 0, + "bits": [ 1199 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2081.32-2081.36" + } + }, + "n8b8": { + "hide_name": 0, + "bits": [ 1200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2082.32-2082.36" + } + }, + "n8b9": { + "hide_name": 0, + "bits": [ 1201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2083.32-2083.36" + } + }, + "n8ba": { + "hide_name": 0, + "bits": [ 1202 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2084.32-2084.36" + } + }, + "n8bb": { + "hide_name": 0, + "bits": [ 1203 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2085.32-2085.36" + } + }, + "n8bc": { + "hide_name": 0, + "bits": [ 1204 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2086.32-2086.36" + } + }, + "n8bd": { + "hide_name": 0, + "bits": [ 1205 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2087.32-2087.36" + } + }, + "n8be": { + "hide_name": 0, + "bits": [ 1206 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2088.32-2088.36" + } + }, + "n8bf": { + "hide_name": 0, + "bits": [ 1207 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2089.32-2089.36" + } + }, + "n8c0": { + "hide_name": 0, + "bits": [ 1208 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2090.32-2090.36" + } + }, + "n8c1": { + "hide_name": 0, + "bits": [ 1177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2091.32-2091.36" + } + }, + "n8c2": { + "hide_name": 0, + "bits": [ 1178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2092.32-2092.36" + } + }, + "n8c3": { + "hide_name": 0, + "bits": [ 1179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2093.32-2093.36" + } + }, + "n8c4": { + "hide_name": 0, + "bits": [ 1180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2094.32-2094.36" + } + }, + "n8c5": { + "hide_name": 0, + "bits": [ 1181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2095.32-2095.36" + } + }, + "n8c6": { + "hide_name": 0, + "bits": [ 1182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2096.32-2096.36" + } + }, + "n8c7": { + "hide_name": 0, + "bits": [ 1183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2097.32-2097.36" + } + }, + "n8c8": { + "hide_name": 0, + "bits": [ 1184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2098.32-2098.36" + } + }, + "n8c9": { + "hide_name": 0, + "bits": [ 1185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2099.32-2099.36" + } + }, + "n8ca": { + "hide_name": 0, + "bits": [ 1186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2100.32-2100.36" + } + }, + "n8cb": { + "hide_name": 0, + "bits": [ 1187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2101.32-2101.36" + } + }, + "n8cc": { + "hide_name": 0, + "bits": [ 1188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2102.32-2102.36" + } + }, + "n8cd": { + "hide_name": 0, + "bits": [ 1220 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2103.32-2103.36" + } + }, + "n8ce": { + "hide_name": 0, + "bits": [ 1221 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2104.32-2104.36" + } + }, + "n8cf": { + "hide_name": 0, + "bits": [ 1222 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2105.32-2105.36" + } + }, + "n8d0": { + "hide_name": 0, + "bits": [ 1223 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2106.32-2106.36" + } + }, + "n8d1": { + "hide_name": 0, + "bits": [ 1224 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2107.32-2107.36" + } + }, + "n8d2": { + "hide_name": 0, + "bits": [ 1225 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2108.32-2108.36" + } + }, + "n8d3": { + "hide_name": 0, + "bits": [ 1226 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2109.32-2109.36" + } + }, + "n8d4": { + "hide_name": 0, + "bits": [ 1227 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2110.32-2110.36" + } + }, + "n8d5": { + "hide_name": 0, + "bits": [ 1228 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2111.32-2111.36" + } + }, + "n8d6": { + "hide_name": 0, + "bits": [ 1229 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2112.32-2112.36" + } + }, + "n8d7": { + "hide_name": 0, + "bits": [ 1230 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2113.32-2113.36" + } + }, + "n8d8": { + "hide_name": 0, + "bits": [ 1231 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2114.32-2114.36" + } + }, + "n8d9": { + "hide_name": 0, + "bits": [ 1232 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2115.32-2115.36" + } + }, + "n8da": { + "hide_name": 0, + "bits": [ 1233 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2116.32-2116.36" + } + }, + "n8db": { + "hide_name": 0, + "bits": [ 1234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2117.32-2117.36" + } + }, + "n8dc": { + "hide_name": 0, + "bits": [ 1235 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2118.32-2118.36" + } + }, + "n8dd": { + "hide_name": 0, + "bits": [ 1236 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2119.32-2119.36" + } + }, + "n8de": { + "hide_name": 0, + "bits": [ 1237 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2120.32-2120.36" + } + }, + "n8df": { + "hide_name": 0, + "bits": [ 1238 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2121.32-2121.36" + } + }, + "n8e0": { + "hide_name": 0, + "bits": [ 1239 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2122.32-2122.36" + } + }, + "n8e1": { + "hide_name": 0, + "bits": [ 1210 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2123.32-2123.36" + } + }, + "n8e2": { + "hide_name": 0, + "bits": [ 1211 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2124.32-2124.36" + } + }, + "n8e3": { + "hide_name": 0, + "bits": [ 1212 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2125.32-2125.36" + } + }, + "n8e4": { + "hide_name": 0, + "bits": [ 1214 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2126.32-2126.36" + } + }, + "n8e5": { + "hide_name": 0, + "bits": [ 1215 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2127.32-2127.36" + } + }, + "n8e6": { + "hide_name": 0, + "bits": [ 1216 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2128.32-2128.36" + } + }, + "n8e7": { + "hide_name": 0, + "bits": [ 1217 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2129.32-2129.36" + } + }, + "n8e8": { + "hide_name": 0, + "bits": [ 1218 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2130.32-2130.36" + } + }, + "n8e9": { + "hide_name": 0, + "bits": [ 1219 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2131.32-2131.36" + } + }, + "n8ea": { + "hide_name": 0, + "bits": [ 1253 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2132.32-2132.36" + } + }, + "n8eb": { + "hide_name": 0, + "bits": [ 1254 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2133.32-2133.36" + } + }, + "n8ec": { + "hide_name": 0, + "bits": [ 1255 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2134.32-2134.36" + } + }, + "n8ed": { + "hide_name": 0, + "bits": [ 1256 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2135.32-2135.36" + } + }, + "n8ee": { + "hide_name": 0, + "bits": [ 1257 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2136.32-2136.36" + } + }, + "n8ef": { + "hide_name": 0, + "bits": [ 1259 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2137.32-2137.36" + } + }, + "n8f0": { + "hide_name": 0, + "bits": [ 1260 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2138.32-2138.36" + } + }, + "n8f1": { + "hide_name": 0, + "bits": [ 1261 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2139.32-2139.36" + } + }, + "n8f2": { + "hide_name": 0, + "bits": [ 1263 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2140.32-2140.36" + } + }, + "n8f3": { + "hide_name": 0, + "bits": [ 1265 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2141.32-2141.36" + } + }, + "n8f4": { + "hide_name": 0, + "bits": [ 1266 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2142.32-2142.36" + } + }, + "n8f5": { + "hide_name": 0, + "bits": [ 1267 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2143.32-2143.36" + } + }, + "n8f6": { + "hide_name": 0, + "bits": [ 1268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2144.32-2144.36" + } + }, + "n8f7": { + "hide_name": 0, + "bits": [ 1269 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2145.32-2145.36" + } + }, + "n8f8": { + "hide_name": 0, + "bits": [ 1270 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2146.32-2146.36" + } + }, + "n8f9": { + "hide_name": 0, + "bits": [ 1271 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2147.32-2147.36" + } + }, + "n8fa": { + "hide_name": 0, + "bits": [ 1272 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2148.32-2148.36" + } + }, + "n8fb": { + "hide_name": 0, + "bits": [ 3017 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2149.32-2149.36" + } + }, + "n8fc": { + "hide_name": 0, + "bits": [ 2436 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2150.32-2150.36" + } + }, + "n8fd": { + "hide_name": 0, + "bits": [ 2437 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2151.32-2151.36" + } + }, + "n8fe": { + "hide_name": 0, + "bits": [ 2438 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2152.32-2152.36" + } + }, + "n8ff": { + "hide_name": 0, + "bits": [ 2699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2153.32-2153.36" + } + }, + "n900": { + "hide_name": 0, + "bits": [ 1384 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2154.32-2154.36" + } + }, + "n901": { + "hide_name": 0, + "bits": [ 1282 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2155.32-2155.36" + } + }, + "n902": { + "hide_name": 0, + "bits": [ 2439 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2156.32-2156.36" + } + }, + "n903": { + "hide_name": 0, + "bits": [ 2440 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2157.32-2157.36" + } + }, + "n904": { + "hide_name": 0, + "bits": [ 2917 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2158.32-2158.36" + } + }, + "n905": { + "hide_name": 0, + "bits": [ 2071 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2159.32-2159.36" + } + }, + "n906": { + "hide_name": 0, + "bits": [ 2072 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2160.32-2160.36" + } + }, + "n907": { + "hide_name": 0, + "bits": [ 2918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2161.32-2161.36" + } + }, + "n908": { + "hide_name": 0, + "bits": [ 2073 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2162.32-2162.36" + } + }, + "n909": { + "hide_name": 0, + "bits": [ 2074 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2163.32-2163.36" + } + }, + "n90a": { + "hide_name": 0, + "bits": [ 2441 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2164.32-2164.36" + } + }, + "n90b": { + "hide_name": 0, + "bits": [ 3018 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2165.32-2165.36" + } + }, + "n90c": { + "hide_name": 0, + "bits": [ 3019 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2166.32-2166.36" + } + }, + "n90d": { + "hide_name": 0, + "bits": [ 1290 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2167.32-2167.36" + } + }, + "n90e": { + "hide_name": 0, + "bits": [ 2919 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2168.32-2168.36" + } + }, + "n90f": { + "hide_name": 0, + "bits": [ 1335 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2169.32-2169.36" + } + }, + "n910": { + "hide_name": 0, + "bits": [ 1356 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2170.32-2170.36" + } + }, + "n911": { + "hide_name": 0, + "bits": [ 2442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2171.32-2171.36" + } + }, + "n912": { + "hide_name": 0, + "bits": [ 3020 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2172.32-2172.36" + } + }, + "n913": { + "hide_name": 0, + "bits": [ 2081 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2173.32-2173.36" + } + }, + "n914": { + "hide_name": 0, + "bits": [ 1389 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2174.32-2174.36" + } + }, + "n915": { + "hide_name": 0, + "bits": [ 2082 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2175.32-2175.36" + } + }, + "n916": { + "hide_name": 0, + "bits": [ 2076 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2176.32-2176.36" + } + }, + "n917": { + "hide_name": 0, + "bits": [ 2078 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2177.32-2177.36" + } + }, + "n918": { + "hide_name": 0, + "bits": [ 2075 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2178.32-2178.36" + } + }, + "n919": { + "hide_name": 0, + "bits": [ 1320 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2179.32-2179.36" + } + }, + "n91a": { + "hide_name": 0, + "bits": [ 2935 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2180.32-2180.36" + } + }, + "n91b": { + "hide_name": 0, + "bits": [ 2115 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2181.32-2181.36" + } + }, + "n91c": { + "hide_name": 0, + "bits": [ 2710 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2182.32-2182.36" + } + }, + "n91d": { + "hide_name": 0, + "bits": [ 2921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2183.32-2183.36" + } + }, + "n91e": { + "hide_name": 0, + "bits": [ 1308 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2184.32-2184.36" + } + }, + "n91f": { + "hide_name": 0, + "bits": [ 1292 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2185.32-2185.36" + } + }, + "n920": { + "hide_name": 0, + "bits": [ 3021 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2186.32-2186.36" + } + }, + "n921": { + "hide_name": 0, + "bits": [ 1298 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2187.32-2187.36" + } + }, + "n922": { + "hide_name": 0, + "bits": [ 2153 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2188.32-2188.36" + } + }, + "n923": { + "hide_name": 0, + "bits": [ 1346 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2189.32-2189.36" + } + }, + "n924": { + "hide_name": 0, + "bits": [ 3022 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2190.32-2190.36" + } + }, + "n925": { + "hide_name": 0, + "bits": [ 2720 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2191.32-2191.36" + } + }, + "n926": { + "hide_name": 0, + "bits": [ 2700 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2192.32-2192.36" + } + }, + "n927": { + "hide_name": 0, + "bits": [ 1252 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2193.32-2193.36" + } + }, + "n928": { + "hide_name": 0, + "bits": [ 2920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2194.32-2194.36" + } + }, + "n929": { + "hide_name": 0, + "bits": [ 2701 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2195.32-2195.36" + } + }, + "n92a": { + "hide_name": 0, + "bits": [ 2703 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2196.32-2196.36" + } + }, + "n92b": { + "hide_name": 0, + "bits": [ 2702 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2197.32-2197.36" + } + }, + "n92c": { + "hide_name": 0, + "bits": [ 2077 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2198.32-2198.36" + } + }, + "n92d": { + "hide_name": 0, + "bits": [ 2079 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2199.32-2199.36" + } + }, + "n92e": { + "hide_name": 0, + "bits": [ 2080 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2200.32-2200.36" + } + }, + "n92f": { + "hide_name": 0, + "bits": [ 2922 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2201.32-2201.36" + } + }, + "n930": { + "hide_name": 0, + "bits": [ 2095 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2202.32-2202.36" + } + }, + "n931": { + "hide_name": 0, + "bits": [ 2923 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2203.32-2203.36" + } + }, + "n932": { + "hide_name": 0, + "bits": [ 2924 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2204.32-2204.36" + } + }, + "n933": { + "hide_name": 0, + "bits": [ 2083 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2205.32-2205.36" + } + }, + "n934": { + "hide_name": 0, + "bits": [ 2084 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2206.32-2206.36" + } + }, + "n935": { + "hide_name": 0, + "bits": [ 3023 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2207.32-2207.36" + } + }, + "n936": { + "hide_name": 0, + "bits": [ 3024 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2208.32-2208.36" + } + }, + "n937": { + "hide_name": 0, + "bits": [ 2087 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2209.32-2209.36" + } + }, + "n938": { + "hide_name": 0, + "bits": [ 2085 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2210.32-2210.36" + } + }, + "n939": { + "hide_name": 0, + "bits": [ 2086 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2211.32-2211.36" + } + }, + "n93a": { + "hide_name": 0, + "bits": [ 1735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2212.32-2212.36" + } + }, + "n93b": { + "hide_name": 0, + "bits": [ 2446 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2213.32-2213.36" + } + }, + "n93c": { + "hide_name": 0, + "bits": [ 1350 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2214.32-2214.36" + } + }, + "n93d": { + "hide_name": 0, + "bits": [ 1276 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2215.32-2215.36" + } + }, + "n93e": { + "hide_name": 0, + "bits": [ 3025 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2216.32-2216.36" + } + }, + "n93f": { + "hide_name": 0, + "bits": [ 1250 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2217.32-2217.36" + } + }, + "n940": { + "hide_name": 0, + "bits": [ 3027 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2218.32-2218.36" + } + }, + "n941": { + "hide_name": 0, + "bits": [ 2088 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2219.32-2219.36" + } + }, + "n942": { + "hide_name": 0, + "bits": [ 2089 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2220.32-2220.36" + } + }, + "n943": { + "hide_name": 0, + "bits": [ 2117 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2221.32-2221.36" + } + }, + "n944": { + "hide_name": 0, + "bits": [ 2925 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2222.32-2222.36" + } + }, + "n945": { + "hide_name": 0, + "bits": [ 2467 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2223.32-2223.36" + } + }, + "n946": { + "hide_name": 0, + "bits": [ 2090 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2224.32-2224.36" + } + }, + "n947": { + "hide_name": 0, + "bits": [ 2927 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2225.32-2225.36" + } + }, + "n948": { + "hide_name": 0, + "bits": [ 3028 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2226.32-2226.36" + } + }, + "n949": { + "hide_name": 0, + "bits": [ 2094 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2227.32-2227.36" + } + }, + "n94a": { + "hide_name": 0, + "bits": [ 2447 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2228.32-2228.36" + } + }, + "n94b": { + "hide_name": 0, + "bits": [ 2112 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2229.32-2229.36" + } + }, + "n94c": { + "hide_name": 0, + "bits": [ 3029 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2230.32-2230.36" + } + }, + "n94d": { + "hide_name": 0, + "bits": [ 2091 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2231.32-2231.36" + } + }, + "n94e": { + "hide_name": 0, + "bits": [ 1372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2232.32-2232.36" + } + }, + "n94f": { + "hide_name": 0, + "bits": [ 2730 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2233.32-2233.36" + } + }, + "n950": { + "hide_name": 0, + "bits": [ 2721 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2234.32-2234.36" + } + }, + "n951": { + "hide_name": 0, + "bits": [ 2093 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2235.32-2235.36" + } + }, + "n952": { + "hide_name": 0, + "bits": [ 2448 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2236.32-2236.36" + } + }, + "n953": { + "hide_name": 0, + "bits": [ 2458 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2237.32-2237.36" + } + }, + "n954": { + "hide_name": 0, + "bits": [ 2716 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2238.32-2238.36" + } + }, + "n955": { + "hide_name": 0, + "bits": [ 2926 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2239.32-2239.36" + } + }, + "n956": { + "hide_name": 0, + "bits": [ 2092 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2240.32-2240.36" + } + }, + "n957": { + "hide_name": 0, + "bits": [ 2449 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2241.32-2241.36" + } + }, + "n958": { + "hide_name": 0, + "bits": [ 2705 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2242.32-2242.36" + } + }, + "n959": { + "hide_name": 0, + "bits": [ 2704 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2243.32-2243.36" + } + }, + "n95a": { + "hide_name": 0, + "bits": [ 3030 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2244.32-2244.36" + } + }, + "n95b": { + "hide_name": 0, + "bits": [ 2928 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2245.32-2245.36" + } + }, + "n95c": { + "hide_name": 0, + "bits": [ 2113 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2246.32-2246.36" + } + }, + "n95d": { + "hide_name": 0, + "bits": [ 2096 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2247.32-2247.36" + } + }, + "n95e": { + "hide_name": 0, + "bits": [ 1374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2248.32-2248.36" + } + }, + "n95f": { + "hide_name": 0, + "bits": [ 1366 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2249.32-2249.36" + } + }, + "n960": { + "hide_name": 0, + "bits": [ 2103 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2250.32-2250.36" + } + }, + "n961": { + "hide_name": 0, + "bits": [ 2707 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2251.32-2251.36" + } + }, + "n962": { + "hide_name": 0, + "bits": [ 2706 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2252.32-2252.36" + } + }, + "n963": { + "hide_name": 0, + "bits": [ 2450 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2253.32-2253.36" + } + }, + "n964": { + "hide_name": 0, + "bits": [ 2929 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2254.32-2254.36" + } + }, + "n965": { + "hide_name": 0, + "bits": [ 2097 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2255.32-2255.36" + } + }, + "n966": { + "hide_name": 0, + "bits": [ 2098 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2256.32-2256.36" + } + }, + "n967": { + "hide_name": 0, + "bits": [ 2708 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2257.32-2257.36" + } + }, + "n968": { + "hide_name": 0, + "bits": [ 1288 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2258.32-2258.36" + } + }, + "n969": { + "hide_name": 0, + "bits": [ 1362 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2259.32-2259.36" + } + }, + "n96a": { + "hide_name": 0, + "bits": [ 3031 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2260.32-2260.36" + } + }, + "n96b": { + "hide_name": 0, + "bits": [ 2709 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2261.32-2261.36" + } + }, + "n96c": { + "hide_name": 0, + "bits": [ 1311 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2262.32-2262.36" + } + }, + "n96d": { + "hide_name": 0, + "bits": [ 2713 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2263.32-2263.36" + } + }, + "n96e": { + "hide_name": 0, + "bits": [ 1348 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2264.32-2264.36" + } + }, + "n96f": { + "hide_name": 0, + "bits": [ 1382 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2265.32-2265.36" + } + }, + "n970": { + "hide_name": 0, + "bits": [ 3032 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2266.32-2266.36" + } + }, + "n971": { + "hide_name": 0, + "bits": [ 3033 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2267.32-2267.36" + } + }, + "n972": { + "hide_name": 0, + "bits": [ 2711 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2268.32-2268.36" + } + }, + "n973": { + "hide_name": 0, + "bits": [ 2714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2269.32-2269.36" + } + }, + "n974": { + "hide_name": 0, + "bits": [ 2712 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2270.32-2270.36" + } + }, + "n975": { + "hide_name": 0, + "bits": [ 2930 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2271.32-2271.36" + } + }, + "n976": { + "hide_name": 0, + "bits": [ 3034 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2272.32-2272.36" + } + }, + "n977": { + "hide_name": 0, + "bits": [ 2099 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2273.32-2273.36" + } + }, + "n978": { + "hide_name": 0, + "bits": [ 3035 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2274.32-2274.36" + } + }, + "n979": { + "hide_name": 0, + "bits": [ 2452 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2275.32-2275.36" + } + }, + "n97a": { + "hide_name": 0, + "bits": [ 2451 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2276.32-2276.36" + } + }, + "n97b": { + "hide_name": 0, + "bits": [ 2773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2277.32-2277.36" + } + }, + "n97c": { + "hide_name": 0, + "bits": [ 2456 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2278.32-2278.36" + } + }, + "n97d": { + "hide_name": 0, + "bits": [ 1326 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2279.32-2279.36" + } + }, + "n97e": { + "hide_name": 0, + "bits": [ 3036 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2280.32-2280.36" + } + }, + "n97f": { + "hide_name": 0, + "bits": [ 3038 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2281.32-2281.36" + } + }, + "n980": { + "hide_name": 0, + "bits": [ 3037 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2282.32-2282.36" + } + }, + "n981": { + "hide_name": 0, + "bits": [ 2453 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2283.32-2283.36" + } + }, + "n982": { + "hide_name": 0, + "bits": [ 2454 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2284.32-2284.36" + } + }, + "n983": { + "hide_name": 0, + "bits": [ 2455 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2285.32-2285.36" + } + }, + "n984": { + "hide_name": 0, + "bits": [ 2717 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2286.32-2286.36" + } + }, + "n985": { + "hide_name": 0, + "bits": [ 2715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2287.32-2287.36" + } + }, + "n986": { + "hide_name": 0, + "bits": [ 2101 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2288.32-2288.36" + } + }, + "n987": { + "hide_name": 0, + "bits": [ 2718 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2289.32-2289.36" + } + }, + "n988": { + "hide_name": 0, + "bits": [ 2100 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2290.32-2290.36" + } + }, + "n989": { + "hide_name": 0, + "bits": [ 2457 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2291.32-2291.36" + } + }, + "n98a": { + "hide_name": 0, + "bits": [ 2102 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2292.32-2292.36" + } + }, + "n98b": { + "hide_name": 0, + "bits": [ 3039 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2293.32-2293.36" + } + }, + "n98c": { + "hide_name": 0, + "bits": [ 2104 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2294.32-2294.36" + } + }, + "n98d": { + "hide_name": 0, + "bits": [ 3040 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2295.32-2295.36" + } + }, + "n98e": { + "hide_name": 0, + "bits": [ 1248 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2296.32-2296.36" + } + }, + "n98f": { + "hide_name": 0, + "bits": [ 3041 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2297.32-2297.36" + } + }, + "n990": { + "hide_name": 0, + "bits": [ 1340 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2298.32-2298.36" + } + }, + "n991": { + "hide_name": 0, + "bits": [ 2105 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2299.32-2299.36" + } + }, + "n992": { + "hide_name": 0, + "bits": [ 1344 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2300.32-2300.36" + } + }, + "n993": { + "hide_name": 0, + "bits": [ 3042 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2301.32-2301.36" + } + }, + "n994": { + "hide_name": 0, + "bits": [ 2719 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2302.32-2302.36" + } + }, + "n995": { + "hide_name": 0, + "bits": [ 2459 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2303.32-2303.36" + } + }, + "n996": { + "hide_name": 0, + "bits": [ 2109 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2304.32-2304.36" + } + }, + "n997": { + "hide_name": 0, + "bits": [ 2931 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2305.32-2305.36" + } + }, + "n998": { + "hide_name": 0, + "bits": [ 2107 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2306.32-2306.36" + } + }, + "n999": { + "hide_name": 0, + "bits": [ 1360 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2307.32-2307.36" + } + }, + "n99a": { + "hide_name": 0, + "bits": [ 2108 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2308.32-2308.36" + } + }, + "n99b": { + "hide_name": 0, + "bits": [ 2116 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2309.32-2309.36" + } + }, + "n99c": { + "hide_name": 0, + "bits": [ 1324 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2310.32-2310.36" + } + }, + "n99d": { + "hide_name": 0, + "bits": [ 2460 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2311.32-2311.36" + } + }, + "n99e": { + "hide_name": 0, + "bits": [ 2110 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2312.32-2312.36" + } + }, + "n99f": { + "hide_name": 0, + "bits": [ 1278 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2313.32-2313.36" + } + }, + "n9a0": { + "hide_name": 0, + "bits": [ 1328 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2314.32-2314.36" + } + }, + "n9a1": { + "hide_name": 0, + "bits": [ 2461 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2315.32-2315.36" + } + }, + "n9a2": { + "hide_name": 0, + "bits": [ 2111 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2316.32-2316.36" + } + }, + "n9a3": { + "hide_name": 0, + "bits": [ 2462 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2317.32-2317.36" + } + }, + "n9a4": { + "hide_name": 0, + "bits": [ 2464 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2318.32-2318.36" + } + }, + "n9a5": { + "hide_name": 0, + "bits": [ 1246 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2319.32-2319.36" + } + }, + "n9a6": { + "hide_name": 0, + "bits": [ 2932 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2320.32-2320.36" + } + }, + "n9a7": { + "hide_name": 0, + "bits": [ 2933 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2321.32-2321.36" + } + }, + "n9a8": { + "hide_name": 0, + "bits": [ 2114 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2322.32-2322.36" + } + }, + "n9a9": { + "hide_name": 0, + "bits": [ 1300 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2323.32-2323.36" + } + }, + "n9aa": { + "hide_name": 0, + "bits": [ 1353 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2324.32-2324.36" + } + }, + "n9ab": { + "hide_name": 0, + "bits": [ 1284 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2325.32-2325.36" + } + }, + "n9ac": { + "hide_name": 0, + "bits": [ 1294 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2326.32-2326.36" + } + }, + "n9ad": { + "hide_name": 0, + "bits": [ 1342 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2327.32-2327.36" + } + }, + "n9ae": { + "hide_name": 0, + "bits": [ 2722 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2328.32-2328.36" + } + }, + "n9af": { + "hide_name": 0, + "bits": [ 2465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2329.32-2329.36" + } + }, + "n9b0": { + "hide_name": 0, + "bits": [ 2466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2330.32-2330.36" + } + }, + "n9b1": { + "hide_name": 0, + "bits": [ 3043 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2331.32-2331.36" + } + }, + "n9b2": { + "hide_name": 0, + "bits": [ 2468 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2332.32-2332.36" + } + }, + "n9b3": { + "hide_name": 0, + "bits": [ 2934 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2333.32-2333.36" + } + }, + "n9b4": { + "hide_name": 0, + "bits": [ 2120 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2334.32-2334.36" + } + }, + "n9b5": { + "hide_name": 0, + "bits": [ 2723 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2335.32-2335.36" + } + }, + "n9b6": { + "hide_name": 0, + "bits": [ 2121 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2336.32-2336.36" + } + }, + "n9b7": { + "hide_name": 0, + "bits": [ 2724 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2337.32-2337.36" + } + }, + "n9b8": { + "hide_name": 0, + "bits": [ 2118 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2338.32-2338.36" + } + }, + "n9b9": { + "hide_name": 0, + "bits": [ 2725 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2339.32-2339.36" + } + }, + "n9ba": { + "hide_name": 0, + "bits": [ 2122 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2340.32-2340.36" + } + }, + "n9bb": { + "hide_name": 0, + "bits": [ 3044 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2341.32-2341.36" + } + }, + "n9bc": { + "hide_name": 0, + "bits": [ 2470 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2342.32-2342.36" + } + }, + "n9bd": { + "hide_name": 0, + "bits": [ 2471 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2343.32-2343.36" + } + }, + "n9be": { + "hide_name": 0, + "bits": [ 1332 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2344.32-2344.36" + } + }, + "n9bf": { + "hide_name": 0, + "bits": [ 3045 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2345.32-2345.36" + } + }, + "n9c0": { + "hide_name": 0, + "bits": [ 2123 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2346.32-2346.36" + } + }, + "n9c1": { + "hide_name": 0, + "bits": [ 3046 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2347.32-2347.36" + } + }, + "n9c2": { + "hide_name": 0, + "bits": [ 2469 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2348.32-2348.36" + } + }, + "n9c3": { + "hide_name": 0, + "bits": [ 2124 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2349.32-2349.36" + } + }, + "n9c4": { + "hide_name": 0, + "bits": [ 2496 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2350.32-2350.36" + } + }, + "n9c5": { + "hide_name": 0, + "bits": [ 3047 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2351.32-2351.36" + } + }, + "n9c6": { + "hide_name": 0, + "bits": [ 2936 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2352.32-2352.36" + } + }, + "n9c7": { + "hide_name": 0, + "bits": [ 3048 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2353.32-2353.36" + } + }, + "n9c8": { + "hide_name": 0, + "bits": [ 2726 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2354.32-2354.36" + } + }, + "n9c9": { + "hide_name": 0, + "bits": [ 2732 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2355.32-2355.36" + } + }, + "n9ca": { + "hide_name": 0, + "bits": [ 2731 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2356.32-2356.36" + } + }, + "n9cb": { + "hide_name": 0, + "bits": [ 2733 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2357.32-2357.36" + } + }, + "n9cc": { + "hide_name": 0, + "bits": [ 1242 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2358.32-2358.36" + } + }, + "n9cd": { + "hide_name": 0, + "bits": [ 1243 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2359.32-2359.36" + } + }, + "n9ce": { + "hide_name": 0, + "bits": [ 1245 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2360.32-2360.36" + } + }, + "n9cf": { + "hide_name": 0, + "bits": [ 1247 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2361.32-2361.36" + } + }, + "n9d0": { + "hide_name": 0, + "bits": [ 1249 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2362.32-2362.36" + } + }, + "n9d1": { + "hide_name": 0, + "bits": [ 1251 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2363.32-2363.36" + } + }, + "n9d2": { + "hide_name": 0, + "bits": [ 1287 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2364.32-2364.36" + } + }, + "n9d3": { + "hide_name": 0, + "bits": [ 1289 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2365.32-2365.36" + } + }, + "n9d4": { + "hide_name": 0, + "bits": [ 1291 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2366.32-2366.36" + } + }, + "n9d5": { + "hide_name": 0, + "bits": [ 1293 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2367.32-2367.36" + } + }, + "n9d6": { + "hide_name": 0, + "bits": [ 1295 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2368.32-2368.36" + } + }, + "n9d7": { + "hide_name": 0, + "bits": [ 1297 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2369.32-2369.36" + } + }, + "n9d8": { + "hide_name": 0, + "bits": [ 1299 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2370.32-2370.36" + } + }, + "n9d9": { + "hide_name": 0, + "bits": [ 1301 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2371.32-2371.36" + } + }, + "n9da": { + "hide_name": 0, + "bits": [ 1302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2372.32-2372.36" + } + }, + "n9db": { + "hide_name": 0, + "bits": [ 1303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2373.32-2373.36" + } + }, + "n9dc": { + "hide_name": 0, + "bits": [ 1275 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2374.32-2374.36" + } + }, + "n9dd": { + "hide_name": 0, + "bits": [ 1277 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2375.32-2375.36" + } + }, + "n9de": { + "hide_name": 0, + "bits": [ 1279 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2376.32-2376.36" + } + }, + "n9df": { + "hide_name": 0, + "bits": [ 1281 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2377.32-2377.36" + } + }, + "n9e0": { + "hide_name": 0, + "bits": [ 1283 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2378.32-2378.36" + } + }, + "n9e1": { + "hide_name": 0, + "bits": [ 1285 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2379.32-2379.36" + } + }, + "n9e2": { + "hide_name": 0, + "bits": [ 1315 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2380.32-2380.36" + } + }, + "n9e3": { + "hide_name": 0, + "bits": [ 1317 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2381.32-2381.36" + } + }, + "n9e4": { + "hide_name": 0, + "bits": [ 1319 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2382.32-2382.36" + } + }, + "n9e5": { + "hide_name": 0, + "bits": [ 1321 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2383.32-2383.36" + } + }, + "n9e6": { + "hide_name": 0, + "bits": [ 1322 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2384.32-2384.36" + } + }, + "n9e7": { + "hide_name": 0, + "bits": [ 1323 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2385.32-2385.36" + } + }, + "n9e8": { + "hide_name": 0, + "bits": [ 1325 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2386.32-2386.36" + } + }, + "n9e9": { + "hide_name": 0, + "bits": [ 1327 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2387.32-2387.36" + } + }, + "n9ea": { + "hide_name": 0, + "bits": [ 1329 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2388.32-2388.36" + } + }, + "n9eb": { + "hide_name": 0, + "bits": [ 1331 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2389.32-2389.36" + } + }, + "n9ec": { + "hide_name": 0, + "bits": [ 1306 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2390.32-2390.36" + } + }, + "n9ed": { + "hide_name": 0, + "bits": [ 1307 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2391.32-2391.36" + } + }, + "n9ee": { + "hide_name": 0, + "bits": [ 1309 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2392.32-2392.36" + } + }, + "n9ef": { + "hide_name": 0, + "bits": [ 1310 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2393.32-2393.36" + } + }, + "n9f0": { + "hide_name": 0, + "bits": [ 1312 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2394.32-2394.36" + } + }, + "n9f1": { + "hide_name": 0, + "bits": [ 1313 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2395.32-2395.36" + } + }, + "n9f2": { + "hide_name": 0, + "bits": [ 1345 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2396.32-2396.36" + } + }, + "n9f3": { + "hide_name": 0, + "bits": [ 1347 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2397.32-2397.36" + } + }, + "n9f4": { + "hide_name": 0, + "bits": [ 1349 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2398.32-2398.36" + } + }, + "n9f5": { + "hide_name": 0, + "bits": [ 1351 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2399.32-2399.36" + } + }, + "n9f6": { + "hide_name": 0, + "bits": [ 1352 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2400.32-2400.36" + } + }, + "n9f7": { + "hide_name": 0, + "bits": [ 1354 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2401.32-2401.36" + } + }, + "n9f8": { + "hide_name": 0, + "bits": [ 1355 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2402.32-2402.36" + } + }, + "n9f9": { + "hide_name": 0, + "bits": [ 1357 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2403.32-2403.36" + } + }, + "n9fa": { + "hide_name": 0, + "bits": [ 1359 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2404.32-2404.36" + } + }, + "n9fb": { + "hide_name": 0, + "bits": [ 1361 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2405.32-2405.36" + } + }, + "n9fc": { + "hide_name": 0, + "bits": [ 1334 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2406.32-2406.36" + } + }, + "n9fd": { + "hide_name": 0, + "bits": [ 1336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2407.32-2407.36" + } + }, + "n9fe": { + "hide_name": 0, + "bits": [ 1338 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2408.32-2408.36" + } + }, + "n9ff": { + "hide_name": 0, + "bits": [ 1339 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2409.32-2409.36" + } + }, + "na0": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2410.32-2410.35" + } + }, + "na00": { + "hide_name": 0, + "bits": [ 1341 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2411.32-2411.36" + } + }, + "na01": { + "hide_name": 0, + "bits": [ 1343 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2412.32-2412.36" + } + }, + "na02": { + "hide_name": 0, + "bits": [ 1373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2413.32-2413.36" + } + }, + "na03": { + "hide_name": 0, + "bits": [ 1375 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2414.32-2414.36" + } + }, + "na04": { + "hide_name": 0, + "bits": [ 1377 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2415.32-2415.36" + } + }, + "na05": { + "hide_name": 0, + "bits": [ 1379 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2416.32-2416.36" + } + }, + "na06": { + "hide_name": 0, + "bits": [ 1381 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2417.32-2417.36" + } + }, + "na07": { + "hide_name": 0, + "bits": [ 1383 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2418.32-2418.36" + } + }, + "na08": { + "hide_name": 0, + "bits": [ 1385 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2419.32-2419.36" + } + }, + "na09": { + "hide_name": 0, + "bits": [ 1387 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2420.32-2420.36" + } + }, + "na0a": { + "hide_name": 0, + "bits": [ 1388 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2421.32-2421.36" + } + }, + "na0b": { + "hide_name": 0, + "bits": [ 1390 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2422.32-2422.36" + } + }, + "na0c": { + "hide_name": 0, + "bits": [ 1365 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2423.32-2423.36" + } + }, + "na0d": { + "hide_name": 0, + "bits": [ 1367 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2424.32-2424.36" + } + }, + "na0e": { + "hide_name": 0, + "bits": [ 2937 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2425.32-2425.36" + } + }, + "na0f": { + "hide_name": 0, + "bits": [ 2129 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2426.32-2426.36" + } + }, + "na1": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2427.32-2427.35" + } + }, + "na10": { + "hide_name": 0, + "bits": [ 2473 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2428.32-2428.36" + } + }, + "na11": { + "hide_name": 0, + "bits": [ 2939 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2429.32-2429.36" + } + }, + "na12": { + "hide_name": 0, + "bits": [ 2130 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2430.32-2430.36" + } + }, + "na13": { + "hide_name": 0, + "bits": [ 2138 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2431.32-2431.36" + } + }, + "na14": { + "hide_name": 0, + "bits": [ 2735 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2432.32-2432.36" + } + }, + "na15": { + "hide_name": 0, + "bits": [ 2128 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2433.32-2433.36" + } + }, + "na16": { + "hide_name": 0, + "bits": [ 2127 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2434.32-2434.36" + } + }, + "na17": { + "hide_name": 0, + "bits": [ 2734 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2435.32-2435.36" + } + }, + "na18": { + "hide_name": 0, + "bits": [ 2472 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2436.32-2436.36" + } + }, + "na19": { + "hide_name": 0, + "bits": [ 2125 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2437.32-2437.36" + } + }, + "na1a": { + "hide_name": 0, + "bits": [ 2126 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2438.32-2438.36" + } + }, + "na1b": { + "hide_name": 0, + "bits": [ 2944 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2439.32-2439.36" + } + }, + "na1c": { + "hide_name": 0, + "bits": [ 2943 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2440.32-2440.36" + } + }, + "na1d": { + "hide_name": 0, + "bits": [ 2940 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2441.32-2441.36" + } + }, + "na1e": { + "hide_name": 0, + "bits": [ 2134 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2442.32-2442.36" + } + }, + "na1f": { + "hide_name": 0, + "bits": [ 2132 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2443.32-2443.36" + } + }, + "na2": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2444.32-2444.35" + } + }, + "na20": { + "hide_name": 0, + "bits": [ 2941 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2445.32-2445.36" + } + }, + "na21": { + "hide_name": 0, + "bits": [ 2131 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2446.32-2446.36" + } + }, + "na22": { + "hide_name": 0, + "bits": [ 2942 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2447.32-2447.36" + } + }, + "na23": { + "hide_name": 0, + "bits": [ 2945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2448.32-2448.36" + } + }, + "na24": { + "hide_name": 0, + "bits": [ 2133 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2449.32-2449.36" + } + }, + "na25": { + "hide_name": 0, + "bits": [ 2135 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2450.32-2450.36" + } + }, + "na26": { + "hide_name": 0, + "bits": [ 1739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2451.32-2451.36" + } + }, + "na27": { + "hide_name": 0, + "bits": [ 2475 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2452.32-2452.36" + } + }, + "na28": { + "hide_name": 0, + "bits": [ 2947 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2453.32-2453.36" + } + }, + "na29": { + "hide_name": 0, + "bits": [ 2948 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2454.32-2454.36" + } + }, + "na2a": { + "hide_name": 0, + "bits": [ 2139 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2455.32-2455.36" + } + }, + "na2b": { + "hide_name": 0, + "bits": [ 2474 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2456.32-2456.36" + } + }, + "na2c": { + "hide_name": 0, + "bits": [ 2946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2457.32-2457.36" + } + }, + "na2d": { + "hide_name": 0, + "bits": [ 2136 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2458.32-2458.36" + } + }, + "na2e": { + "hide_name": 0, + "bits": [ 2736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2459.32-2459.36" + } + }, + "na2f": { + "hide_name": 0, + "bits": [ 2949 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2460.32-2460.36" + } + }, + "na3": { + "hide_name": 0, + "bits": [ 918 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2461.32-2461.35" + } + }, + "na30": { + "hide_name": 0, + "bits": [ 2137 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2462.32-2462.36" + } + }, + "na31": { + "hide_name": 0, + "bits": [ 1737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2463.32-2463.36" + } + }, + "na32": { + "hide_name": 0, + "bits": [ 2476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2464.32-2464.36" + } + }, + "na33": { + "hide_name": 0, + "bits": [ 1738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2465.32-2465.36" + } + }, + "na34": { + "hide_name": 0, + "bits": [ 2950 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2466.32-2466.36" + } + }, + "na35": { + "hide_name": 0, + "bits": [ 3049 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2467.32-2467.36" + } + }, + "na36": { + "hide_name": 0, + "bits": [ 1736 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2468.32-2468.36" + } + }, + "na37": { + "hide_name": 0, + "bits": [ 2737 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2469.32-2469.36" + } + }, + "na38": { + "hide_name": 0, + "bits": [ 2951 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2470.32-2470.36" + } + }, + "na39": { + "hide_name": 0, + "bits": [ 2477 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2471.32-2471.36" + } + }, + "na3a": { + "hide_name": 0, + "bits": [ 1741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2472.32-2472.36" + } + }, + "na3b": { + "hide_name": 0, + "bits": [ 2147 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2473.32-2473.36" + } + }, + "na3c": { + "hide_name": 0, + "bits": [ 2744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2474.32-2474.36" + } + }, + "na3d": { + "hide_name": 0, + "bits": [ 2952 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2475.32-2475.36" + } + }, + "na3e": { + "hide_name": 0, + "bits": [ 2481 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2476.32-2476.36" + } + }, + "na3f": { + "hide_name": 0, + "bits": [ 2478 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2477.32-2477.36" + } + }, + "na4": { + "hide_name": 0, + "bits": [ 1421 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2478.32-2478.35" + } + }, + "na40": { + "hide_name": 0, + "bits": [ 2747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2479.32-2479.36" + } + }, + "na41": { + "hide_name": 0, + "bits": [ 2479 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2480.32-2480.36" + } + }, + "na42": { + "hide_name": 0, + "bits": [ 2480 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2481.32-2481.36" + } + }, + "na43": { + "hide_name": 0, + "bits": [ 2746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2482.32-2482.36" + } + }, + "na44": { + "hide_name": 0, + "bits": [ 2745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2483.32-2483.36" + } + }, + "na45": { + "hide_name": 0, + "bits": [ 2146 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2484.32-2484.36" + } + }, + "na46": { + "hide_name": 0, + "bits": [ 1740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2485.32-2485.36" + } + }, + "na47": { + "hide_name": 0, + "bits": [ 2748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2486.32-2486.36" + } + }, + "na48": { + "hide_name": 0, + "bits": [ 2148 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2487.32-2487.36" + } + }, + "na49": { + "hide_name": 0, + "bits": [ 2149 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2488.32-2488.36" + } + }, + "na4a": { + "hide_name": 0, + "bits": [ 2157 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2489.32-2489.36" + } + }, + "na4b": { + "hide_name": 0, + "bits": [ 2749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2490.32-2490.36" + } + }, + "na4c": { + "hide_name": 0, + "bits": [ 2750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2491.32-2491.36" + } + }, + "na4d": { + "hide_name": 0, + "bits": [ 1742 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2492.32-2492.36" + } + }, + "na4e": { + "hide_name": 0, + "bits": [ 2150 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2493.32-2493.36" + } + }, + "na4f": { + "hide_name": 0, + "bits": [ 3050 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2494.32-2494.36" + } + }, + "na5": { + "hide_name": 0, + "bits": [ 1422 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2495.32-2495.35" + } + }, + "na50": { + "hide_name": 0, + "bits": [ 1750 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2496.32-2496.36" + } + }, + "na51": { + "hide_name": 0, + "bits": [ 2751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2497.32-2497.36" + } + }, + "na52": { + "hide_name": 0, + "bits": [ 1743 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2498.32-2498.36" + } + }, + "na53": { + "hide_name": 0, + "bits": [ 1749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2499.32-2499.36" + } + }, + "na54": { + "hide_name": 0, + "bits": [ 2738 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2500.32-2500.36" + } + }, + "na55": { + "hide_name": 0, + "bits": [ 2488 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2501.32-2501.36" + } + }, + "na56": { + "hide_name": 0, + "bits": [ 2752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2502.32-2502.36" + } + }, + "na57": { + "hide_name": 0, + "bits": [ 2739 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2503.32-2503.36" + } + }, + "na58": { + "hide_name": 0, + "bits": [ 1744 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2504.32-2504.36" + } + }, + "na59": { + "hide_name": 0, + "bits": [ 1745 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2505.32-2505.36" + } + }, + "na5a": { + "hide_name": 0, + "bits": [ 1746 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2506.32-2506.36" + } + }, + "na5b": { + "hide_name": 0, + "bits": [ 1747 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2507.32-2507.36" + } + }, + "na5c": { + "hide_name": 0, + "bits": [ 1748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2508.32-2508.36" + } + }, + "na5d": { + "hide_name": 0, + "bits": [ 2151 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2509.32-2509.36" + } + }, + "na5e": { + "hide_name": 0, + "bits": [ 2740 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2510.32-2510.36" + } + }, + "na5f": { + "hide_name": 0, + "bits": [ 2741 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2511.32-2511.36" + } + }, + "na6": { + "hide_name": 0, + "bits": [ 1543 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2512.32-2512.35" + } + }, + "na60": { + "hide_name": 0, + "bits": [ 1753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2513.32-2513.36" + } + }, + "na61": { + "hide_name": 0, + "bits": [ 1751 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2514.32-2514.36" + } + }, + "na62": { + "hide_name": 0, + "bits": [ 3051 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2515.32-2515.36" + } + }, + "na63": { + "hide_name": 0, + "bits": [ 2487 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2516.32-2516.36" + } + }, + "na64": { + "hide_name": 0, + "bits": [ 2489 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2517.32-2517.36" + } + }, + "na65": { + "hide_name": 0, + "bits": [ 3052 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2518.32-2518.36" + } + }, + "na66": { + "hide_name": 0, + "bits": [ 2484 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2519.32-2519.36" + } + }, + "na67": { + "hide_name": 0, + "bits": [ 2152 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2520.32-2520.36" + } + }, + "na68": { + "hide_name": 0, + "bits": [ 1752 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2521.32-2521.36" + } + }, + "na69": { + "hide_name": 0, + "bits": [ 1754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2522.32-2522.36" + } + }, + "na6a": { + "hide_name": 0, + "bits": [ 2485 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2523.32-2523.36" + } + }, + "na6b": { + "hide_name": 0, + "bits": [ 2486 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2524.32-2524.36" + } + }, + "na6c": { + "hide_name": 0, + "bits": [ 2154 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2525.32-2525.36" + } + }, + "na6d": { + "hide_name": 0, + "bits": [ 2155 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2526.32-2526.36" + } + }, + "na6e": { + "hide_name": 0, + "bits": [ 1756 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2527.32-2527.36" + } + }, + "na6f": { + "hide_name": 0, + "bits": [ 1755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2528.32-2528.36" + } + }, + "na7": { + "hide_name": 0, + "bits": [ 1544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2529.32-2529.35" + } + }, + "na70": { + "hide_name": 0, + "bits": [ 1758 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2530.32-2530.36" + } + }, + "na71": { + "hide_name": 0, + "bits": [ 2753 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2531.32-2531.36" + } + }, + "na72": { + "hide_name": 0, + "bits": [ 2490 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2532.32-2532.36" + } + }, + "na73": { + "hide_name": 0, + "bits": [ 2491 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2533.32-2533.36" + } + }, + "na74": { + "hide_name": 0, + "bits": [ 2492 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2534.32-2534.36" + } + }, + "na75": { + "hide_name": 0, + "bits": [ 2754 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2535.32-2535.36" + } + }, + "na76": { + "hide_name": 0, + "bits": [ 1757 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2536.32-2536.36" + } + }, + "na77": { + "hide_name": 0, + "bits": [ 2156 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2537.32-2537.36" + } + }, + "na78": { + "hide_name": 0, + "bits": [ 2493 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2538.32-2538.36" + } + }, + "na79": { + "hide_name": 0, + "bits": [ 2160 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2539.32-2539.36" + } + }, + "na7a": { + "hide_name": 0, + "bits": [ 2159 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2540.32-2540.36" + } + }, + "na7b": { + "hide_name": 0, + "bits": [ 2158 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2541.32-2541.36" + } + }, + "na7c": { + "hide_name": 0, + "bits": [ 1759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2542.32-2542.36" + } + }, + "na7d": { + "hide_name": 0, + "bits": [ 2494 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2543.32-2543.36" + } + }, + "na7e": { + "hide_name": 0, + "bits": [ 2759 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2544.32-2544.36" + } + }, + "na7f": { + "hide_name": 0, + "bits": [ 2499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2545.32-2545.36" + } + }, + "na8": { + "hide_name": 0, + "bits": [ 1575 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2546.32-2546.35" + } + }, + "na80": { + "hide_name": 0, + "bits": [ 2755 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2547.32-2547.36" + } + }, + "na81": { + "hide_name": 0, + "bits": [ 3054 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2548.32-2548.36" + } + }, + "na82": { + "hide_name": 0, + "bits": [ 2161 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2549.32-2549.36" + } + }, + "na83": { + "hide_name": 0, + "bits": [ 2495 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2550.32-2550.36" + } + }, + "na84": { + "hide_name": 0, + "bits": [ 2166 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2551.32-2551.36" + } + }, + "na85": { + "hide_name": 0, + "bits": [ 2167 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2552.32-2552.36" + } + }, + "na86": { + "hide_name": 0, + "bits": [ 3055 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2553.32-2553.36" + } + }, + "na87": { + "hide_name": 0, + "bits": [ 2165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2554.32-2554.36" + } + }, + "na88": { + "hide_name": 0, + "bits": [ 2162 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2555.32-2555.36" + } + }, + "na89": { + "hide_name": 0, + "bits": [ 3056 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2556.32-2556.36" + } + }, + "na8a": { + "hide_name": 0, + "bits": [ 3057 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2557.32-2557.36" + } + }, + "na8b": { + "hide_name": 0, + "bits": [ 2498 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2558.32-2558.36" + } + }, + "na8c": { + "hide_name": 0, + "bits": [ 3058 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2559.32-2559.36" + } + }, + "na8d": { + "hide_name": 0, + "bits": [ 2168 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2560.32-2560.36" + } + }, + "na8e": { + "hide_name": 0, + "bits": [ 2760 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2561.32-2561.36" + } + }, + "na8f": { + "hide_name": 0, + "bits": [ 1762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2562.32-2562.36" + } + }, + "na9": { + "hide_name": 0, + "bits": [ 1576 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2563.32-2563.35" + } + }, + "na90": { + "hide_name": 0, + "bits": [ 2500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2564.32-2564.36" + } + }, + "na91": { + "hide_name": 0, + "bits": [ 2761 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2565.32-2565.36" + } + }, + "na92": { + "hide_name": 0, + "bits": [ 1763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2566.32-2566.36" + } + }, + "na93": { + "hide_name": 0, + "bits": [ 2169 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2567.32-2567.36" + } + }, + "na94": { + "hide_name": 0, + "bits": [ 3059 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2568.32-2568.36" + } + }, + "na95": { + "hide_name": 0, + "bits": [ 1764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2569.32-2569.36" + } + }, + "na96": { + "hide_name": 0, + "bits": [ 3060 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2570.32-2570.36" + } + }, + "na97": { + "hide_name": 0, + "bits": [ 3061 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2571.32-2571.36" + } + }, + "na98": { + "hide_name": 0, + "bits": [ 2762 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2572.32-2572.36" + } + }, + "na99": { + "hide_name": 0, + "bits": [ 3062 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2573.32-2573.36" + } + }, + "na9a": { + "hide_name": 0, + "bits": [ 1766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2574.32-2574.36" + } + }, + "na9b": { + "hide_name": 0, + "bits": [ 1765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2575.32-2575.36" + } + }, + "na9c": { + "hide_name": 0, + "bits": [ 2172 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2576.32-2576.36" + } + }, + "na9d": { + "hide_name": 0, + "bits": [ 3063 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2577.32-2577.36" + } + }, + "na9e": { + "hide_name": 0, + "bits": [ 2170 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2578.32-2578.36" + } + }, + "na9f": { + "hide_name": 0, + "bits": [ 2171 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2579.32-2579.36" + } + }, + "naa": { + "hide_name": 0, + "bits": [ 1608 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2580.32-2580.35" + } + }, + "naa0": { + "hide_name": 0, + "bits": [ 2763 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2581.32-2581.36" + } + }, + "naa1": { + "hide_name": 0, + "bits": [ 2173 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2582.32-2582.36" + } + }, + "naa2": { + "hide_name": 0, + "bits": [ 2501 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2583.32-2583.36" + } + }, + "naa3": { + "hide_name": 0, + "bits": [ 2502 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2584.32-2584.36" + } + }, + "naa4": { + "hide_name": 0, + "bits": [ 1767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2585.32-2585.36" + } + }, + "naa5": { + "hide_name": 0, + "bits": [ 3065 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2586.32-2586.36" + } + }, + "naa6": { + "hide_name": 0, + "bits": [ 2504 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2587.32-2587.36" + } + }, + "naa7": { + "hide_name": 0, + "bits": [ 2503 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2588.32-2588.36" + } + }, + "naa8": { + "hide_name": 0, + "bits": [ 2505 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2589.32-2589.36" + } + }, + "naa9": { + "hide_name": 0, + "bits": [ 1768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2590.32-2590.36" + } + }, + "naaa": { + "hide_name": 0, + "bits": [ 2506 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2591.32-2591.36" + } + }, + "naab": { + "hide_name": 0, + "bits": [ 1769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2592.32-2592.36" + } + }, + "naac": { + "hide_name": 0, + "bits": [ 2764 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2593.32-2593.36" + } + }, + "naad": { + "hide_name": 0, + "bits": [ 2522 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2594.32-2594.36" + } + }, + "naae": { + "hide_name": 0, + "bits": [ 3066 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2595.32-2595.36" + } + }, + "naaf": { + "hide_name": 0, + "bits": [ 3067 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2596.32-2596.36" + } + }, + "nab": { + "hide_name": 0, + "bits": [ 1609 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2597.32-2597.35" + } + }, + "nab0": { + "hide_name": 0, + "bits": [ 2768 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2598.32-2598.36" + } + }, + "nab1": { + "hide_name": 0, + "bits": [ 2770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2599.32-2599.36" + } + }, + "nab2": { + "hide_name": 0, + "bits": [ 3068 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2600.32-2600.36" + } + }, + "nab3": { + "hide_name": 0, + "bits": [ 1770 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2601.32-2601.36" + } + }, + "nab4": { + "hide_name": 0, + "bits": [ 2766 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2602.32-2602.36" + } + }, + "nab5": { + "hide_name": 0, + "bits": [ 2765 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2603.32-2603.36" + } + }, + "nab6": { + "hide_name": 0, + "bits": [ 1774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2604.32-2604.36" + } + }, + "nab7": { + "hide_name": 0, + "bits": [ 1771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2605.32-2605.36" + } + }, + "nab8": { + "hide_name": 0, + "bits": [ 1772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2606.32-2606.36" + } + }, + "nab9": { + "hide_name": 0, + "bits": [ 1773 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2607.32-2607.36" + } + }, + "naba": { + "hide_name": 0, + "bits": [ 1371 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2608.32-2608.36" + } + }, + "nabb": { + "hide_name": 0, + "bits": [ 2767 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2609.32-2609.36" + } + }, + "nabc": { + "hide_name": 0, + "bits": [ 1775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2610.32-2610.36" + } + }, + "nabd": { + "hide_name": 0, + "bits": [ 3069 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2611.32-2611.36" + } + }, + "nabe": { + "hide_name": 0, + "bits": [ 2507 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2612.32-2612.36" + } + }, + "nabf": { + "hide_name": 0, + "bits": [ 2508 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2613.32-2613.36" + } + }, + "nac": { + "hide_name": 0, + "bits": [ 1639 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2614.32-2614.35" + } + }, + "nac0": { + "hide_name": 0, + "bits": [ 3072 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2615.32-2615.36" + } + }, + "nac1": { + "hide_name": 0, + "bits": [ 2509 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2616.32-2616.36" + } + }, + "nac2": { + "hide_name": 0, + "bits": [ 1370 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2617.32-2617.36" + } + }, + "nac3": { + "hide_name": 0, + "bits": [ 3073 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2618.32-2618.36" + } + }, + "nac4": { + "hide_name": 0, + "bits": [ 3074 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2619.32-2619.36" + } + }, + "nac5": { + "hide_name": 0, + "bits": [ 1776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2620.32-2620.36" + } + }, + "nac6": { + "hide_name": 0, + "bits": [ 2174 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2621.32-2621.36" + } + }, + "nac7": { + "hide_name": 0, + "bits": [ 3075 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2622.32-2622.36" + } + }, + "nac8": { + "hide_name": 0, + "bits": [ 2175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2623.32-2623.36" + } + }, + "nac9": { + "hide_name": 0, + "bits": [ 1777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2624.32-2624.36" + } + }, + "naca": { + "hide_name": 0, + "bits": [ 2510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2625.32-2625.36" + } + }, + "nacb": { + "hide_name": 0, + "bits": [ 2519 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2626.32-2626.36" + } + }, + "nacc": { + "hide_name": 0, + "bits": [ 2518 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2627.32-2627.36" + } + }, + "nacd": { + "hide_name": 0, + "bits": [ 2179 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2628.32-2628.36" + } + }, + "nace": { + "hide_name": 0, + "bits": [ 1779 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2629.32-2629.36" + } + }, + "nacf": { + "hide_name": 0, + "bits": [ 2512 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2630.32-2630.36" + } + }, + "nad": { + "hide_name": 0, + "bits": [ 1668 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2631.32-2631.35" + } + }, + "nad0": { + "hide_name": 0, + "bits": [ 2511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2632.32-2632.36" + } + }, + "nad1": { + "hide_name": 0, + "bits": [ 1778 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2633.32-2633.36" + } + }, + "nad2": { + "hide_name": 0, + "bits": [ 1780 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2634.32-2634.36" + } + }, + "nad3": { + "hide_name": 0, + "bits": [ 2513 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2635.32-2635.36" + } + }, + "nad4": { + "hide_name": 0, + "bits": [ 2177 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2636.32-2636.36" + } + }, + "nad5": { + "hide_name": 0, + "bits": [ 2516 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2637.32-2637.36" + } + }, + "nad6": { + "hide_name": 0, + "bits": [ 2514 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2638.32-2638.36" + } + }, + "nad7": { + "hide_name": 0, + "bits": [ 2515 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2639.32-2639.36" + } + }, + "nad8": { + "hide_name": 0, + "bits": [ 1405 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2640.32-2640.36" + } + }, + "nad9": { + "hide_name": 0, + "bits": [ 2176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2641.32-2641.36" + } + }, + "nada": { + "hide_name": 0, + "bits": [ 2178 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2642.32-2642.36" + } + }, + "nadb": { + "hide_name": 0, + "bits": [ 2517 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2643.32-2643.36" + } + }, + "nadc": { + "hide_name": 0, + "bits": [ 3110 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2644.32-2644.36" + } + }, + "nadd": { + "hide_name": 0, + "bits": [ 1785 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2645.32-2645.36" + } + }, + "nade": { + "hide_name": 0, + "bits": [ 1786 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2646.32-2646.36" + } + }, + "nadf": { + "hide_name": 0, + "bits": [ 2520 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2647.32-2647.36" + } + }, + "nae": { + "hide_name": 0, + "bits": [ 1669 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2648.32-2648.35" + } + }, + "nae0": { + "hide_name": 0, + "bits": [ 1787 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2649.32-2649.36" + } + }, + "nae1": { + "hide_name": 0, + "bits": [ 2180 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2650.32-2650.36" + } + }, + "nae2": { + "hide_name": 0, + "bits": [ 2521 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2651.32-2651.36" + } + }, + "nae3": { + "hide_name": 0, + "bits": [ 2181 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2652.32-2652.36" + } + }, + "nae4": { + "hide_name": 0, + "bits": [ 1788 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2653.32-2653.36" + } + }, + "nae5": { + "hide_name": 0, + "bits": [ 2769 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2654.32-2654.36" + } + }, + "nae6": { + "hide_name": 0, + "bits": [ 2182 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2655.32-2655.36" + } + }, + "nae7": { + "hide_name": 0, + "bits": [ 2523 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2656.32-2656.36" + } + }, + "nae8": { + "hide_name": 0, + "bits": [ 2771 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2657.32-2657.36" + } + }, + "nae9": { + "hide_name": 0, + "bits": [ 1789 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2658.32-2658.36" + } + }, + "naea": { + "hide_name": 0, + "bits": [ 3096 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2659.32-2659.36" + } + }, + "naeb": { + "hide_name": 0, + "bits": [ 3097 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2660.32-2660.36" + } + }, + "naec": { + "hide_name": 0, + "bits": [ 1790 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2661.32-2661.36" + } + }, + "naed": { + "hide_name": 0, + "bits": [ 2183 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2662.32-2662.36" + } + }, + "naee": { + "hide_name": 0, + "bits": [ 1791 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2663.32-2663.36" + } + }, + "naef": { + "hide_name": 0, + "bits": [ 2184 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2664.32-2664.36" + } + }, + "naf": { + "hide_name": 0, + "bits": [ 1698 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2665.32-2665.35" + } + }, + "naf0": { + "hide_name": 0, + "bits": [ 3098 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2666.32-2666.36" + } + }, + "naf1": { + "hide_name": 0, + "bits": [ 2772 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2667.32-2667.36" + } + }, + "naf2": { + "hide_name": 0, + "bits": [ 3111 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2668.32-2668.36" + } + }, + "naf3": { + "hide_name": 0, + "bits": [ 2775 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2669.32-2669.36" + } + }, + "naf4": { + "hide_name": 0, + "bits": [ 1794 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2670.32-2670.36" + } + }, + "naf5": { + "hide_name": 0, + "bits": [ 2774 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2671.32-2671.36" + } + }, + "naf6": { + "hide_name": 0, + "bits": [ 2185 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2672.32-2672.36" + } + }, + "naf7": { + "hide_name": 0, + "bits": [ 2189 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2673.32-2673.36" + } + }, + "naf8": { + "hide_name": 0, + "bits": [ 2186 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2674.32-2674.36" + } + }, + "naf9": { + "hide_name": 0, + "bits": [ 2187 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2675.32-2675.36" + } + }, + "nafa": { + "hide_name": 0, + "bits": [ 2188 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2676.32-2676.36" + } + }, + "nafb": { + "hide_name": 0, + "bits": [ 1792 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2677.32-2677.36" + } + }, + "nafc": { + "hide_name": 0, + "bits": [ 2191 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2678.32-2678.36" + } + }, + "nafd": { + "hide_name": 0, + "bits": [ 2190 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2679.32-2679.36" + } + }, + "nafe": { + "hide_name": 0, + "bits": [ 1793 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2680.32-2680.36" + } + }, + "naff": { + "hide_name": 0, + "bits": [ 2524 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2681.32-2681.36" + } + }, + "nb0": { + "hide_name": 0, + "bits": [ 1699 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2682.32-2682.35" + } + }, + "nb00": { + "hide_name": 0, + "bits": [ 2776 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2683.32-2683.36" + } + }, + "nb01": { + "hide_name": 0, + "bits": [ 2193 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2684.32-2684.36" + } + }, + "nb02": { + "hide_name": 0, + "bits": [ 2192 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2685.32-2685.36" + } + }, + "nb03": { + "hide_name": 0, + "bits": [ 2777 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2686.32-2686.36" + } + }, + "nb04": { + "hide_name": 0, + "bits": [ 3099 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2687.32-2687.36" + } + }, + "nb05": { + "hide_name": 0, + "bits": [ 3100 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2688.32-2688.36" + } + }, + "nb06": { + "hide_name": 0, + "bits": [ 2194 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2689.32-2689.36" + } + }, + "nb07": { + "hide_name": 0, + "bits": [ 1799 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2690.32-2690.36" + } + }, + "nb08": { + "hide_name": 0, + "bits": [ 1798 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2691.32-2691.36" + } + }, + "nb09": { + "hide_name": 0, + "bits": [ 1795 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2692.32-2692.36" + } + }, + "nb0a": { + "hide_name": 0, + "bits": [ 3113 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2693.32-2693.36" + } + }, + "nb0b": { + "hide_name": 0, + "bits": [ 3114 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2694.32-2694.36" + } + }, + "nb0c": { + "hide_name": 0, + "bits": [ 3115 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2695.32-2695.36" + } + }, + "nb0d": { + "hide_name": 0, + "bits": [ 3102 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2696.32-2696.36" + } + }, + "nb0e": { + "hide_name": 0, + "bits": [ 1797 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2697.32-2697.36" + } + }, + "nb0f": { + "hide_name": 0, + "bits": [ 3101 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2698.32-2698.36" + } + }, + "nb1": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2699.32-2699.35" + } + }, + "nb10": { + "hide_name": 0, + "bits": [ 1796 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2700.32-2700.36" + } + }, + "nb11": { + "hide_name": 0, + "bits": [ 1800 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2701.32-2701.36" + } + }, + "nb12": { + "hide_name": 0, + "bits": [ 3103 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2702.32-2702.36" + } + }, + "nb13": { + "hide_name": 0, + "bits": [ 1802 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2703.32-2703.36" + } + }, + "nb14": { + "hide_name": 0, + "bits": [ 1801 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2704.32-2704.36" + } + }, + "nb2": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2705.32-2705.35" + } + }, + "nb3": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2706.32-2706.35" + } + }, + "nb4": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2707.32-2707.35" + } + }, + "nb5": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2708.32-2708.35" + } + }, + "nb6": { + "hide_name": 0, + "bits": [ 302 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2709.32-2709.35" + } + }, + "nb7": { + "hide_name": 0, + "bits": [ 303 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2710.32-2710.35" + } + }, + "nb8": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2711.32-2711.35" + } + }, + "nb9": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2712.32-2712.35" + } + }, + "nba": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2713.32-2713.35" + } + }, + "nbb": { + "hide_name": 0, + "bits": [ 579 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2714.32-2714.35" + } + }, + "nbc": { + "hide_name": 0, + "bits": [ 612 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2715.32-2715.35" + } + }, + "nbd": { + "hide_name": 0, + "bits": [ 613 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2716.32-2716.35" + } + }, + "nbe": { + "hide_name": 0, + "bits": [ 646 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2717.32-2717.35" + } + }, + "nbf": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2718.32-2718.35" + } + }, + "nc0": { + "hide_name": 0, + "bits": [ 680 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2719.32-2719.35" + } + }, + "nc1": { + "hide_name": 0, + "bits": [ 714 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2720.32-2720.35" + } + }, + "nc2": { + "hide_name": 0, + "bits": [ 715 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2721.32-2721.35" + } + }, + "nc3": { + "hide_name": 0, + "bits": [ 748 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2722.32-2722.35" + } + }, + "nc4": { + "hide_name": 0, + "bits": [ 749 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2723.32-2723.35" + } + }, + "nc5": { + "hide_name": 0, + "bits": [ 782 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2724.32-2724.35" + } + }, + "nc6": { + "hide_name": 0, + "bits": [ 816 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2725.32-2725.35" + } + }, + "nc7": { + "hide_name": 0, + "bits": [ 817 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2726.32-2726.35" + } + }, + "nc8": { + "hide_name": 0, + "bits": [ 850 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2727.32-2727.35" + } + }, + "nc9": { + "hide_name": 0, + "bits": [ 851 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2728.32-2728.35" + } + }, + "nca": { + "hide_name": 0, + "bits": [ 884 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2729.32-2729.35" + } + }, + "ncb": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2730.32-2730.35" + } + }, + "ncc": { + "hide_name": 0, + "bits": [ 408 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2731.32-2731.35" + } + }, + "ncd": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2732.32-2732.35" + } + }, + "nce": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2733.32-2733.35" + } + }, + "ncf": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2734.32-2734.35" + } + }, + "nd0": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2735.32-2735.35" + } + }, + "nd1": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2736.32-2736.35" + } + }, + "nd2": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2737.32-2737.35" + } + }, + "nd3": { + "hide_name": 0, + "bits": [ 1108 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2738.32-2738.35" + } + }, + "nd4": { + "hide_name": 0, + "bits": [ 1109 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2739.32-2739.35" + } + }, + "nd5": { + "hide_name": 0, + "bits": [ 1142 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2740.32-2740.35" + } + }, + "nd6": { + "hide_name": 0, + "bits": [ 1175 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2741.32-2741.35" + } + }, + "nd7": { + "hide_name": 0, + "bits": [ 1176 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2742.32-2742.35" + } + }, + "nd8": { + "hide_name": 0, + "bits": [ 1209 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2743.32-2743.35" + } + }, + "nd9": { + "hide_name": 0, + "bits": [ 1240 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2744.32-2744.35" + } + }, + "nda": { + "hide_name": 0, + "bits": [ 1241 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2745.32-2745.35" + } + }, + "ndb": { + "hide_name": 0, + "bits": [ 1273 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2746.32-2746.35" + } + }, + "ndc": { + "hide_name": 0, + "bits": [ 1274 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2747.32-2747.35" + } + }, + "ndd": { + "hide_name": 0, + "bits": [ 1305 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2748.32-2748.35" + } + }, + "nde": { + "hide_name": 0, + "bits": [ 1333 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2749.32-2749.35" + } + }, + "ndf": { + "hide_name": 0, + "bits": [ 1363 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2750.32-2750.35" + } + }, + "ne0": { + "hide_name": 0, + "bits": [ 1364 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2751.32-2751.35" + } + }, + "ne1": { + "hide_name": 0, + "bits": [ 1392 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2752.32-2752.35" + } + }, + "ne2": { + "hide_name": 0, + "bits": [ 1393 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2753.32-2753.35" + } + }, + "ne3": { + "hide_name": 0, + "bits": [ 920 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2754.32-2754.35" + } + }, + "ne4": { + "hide_name": 0, + "bits": [ 921 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2755.32-2755.35" + } + }, + "ne5": { + "hide_name": 0, + "bits": [ 945 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2756.32-2756.35" + } + }, + "ne6": { + "hide_name": 0, + "bits": [ 946 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2757.32-2757.35" + } + }, + "ne7": { + "hide_name": 0, + "bits": [ 974 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2758.32-2758.35" + } + }, + "ne8": { + "hide_name": 0, + "bits": [ 1007 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2759.32-2759.35" + } + }, + "ne9": { + "hide_name": 0, + "bits": [ 1008 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2760.32-2760.35" + } + }, + "nea": { + "hide_name": 0, + "bits": [ 1041 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2761.32-2761.35" + } + }, + "neb": { + "hide_name": 0, + "bits": [ 1042 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2762.32-2762.35" + } + }, + "nec": { + "hide_name": 0, + "bits": [ 1075 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2763.32-2763.35" + } + }, + "ned": { + "hide_name": 0, + "bits": [ 1432 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2764.32-2764.35" + } + }, + "nee": { + "hide_name": 0, + "bits": [ 1465 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2765.32-2765.35" + } + }, + "nef": { + "hide_name": 0, + "bits": [ 1466 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2766.32-2766.35" + } + }, + "nf0": { + "hide_name": 0, + "bits": [ 1499 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2767.32-2767.35" + } + }, + "nf1": { + "hide_name": 0, + "bits": [ 1500 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2768.32-2768.35" + } + }, + "nf2": { + "hide_name": 0, + "bits": [ 1533 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2769.32-2769.35" + } + }, + "nf3": { + "hide_name": 0, + "bits": [ 1536 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2770.32-2770.35" + } + }, + "nf4": { + "hide_name": 0, + "bits": [ 1537 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2771.32-2771.35" + } + }, + "nf5": { + "hide_name": 0, + "bits": [ 1538 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2772.32-2772.35" + } + }, + "nf6": { + "hide_name": 0, + "bits": [ 1539 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2773.32-2773.35" + } + }, + "nf7": { + "hide_name": 0, + "bits": [ 1540 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2774.32-2774.35" + } + }, + "nf8": { + "hide_name": 0, + "bits": [ 1541 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2775.32-2775.35" + } + }, + "nf9": { + "hide_name": 0, + "bits": [ 1542 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2776.32-2776.35" + } + }, + "nfa": { + "hide_name": 0, + "bits": [ 1423 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2777.32-2777.35" + } + }, + "nfb": { + "hide_name": 0, + "bits": [ 1424 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2778.32-2778.35" + } + }, + "nfc": { + "hide_name": 0, + "bits": [ 1425 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2779.32-2779.35" + } + }, + "nfd": { + "hide_name": 0, + "bits": [ 1426 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2780.32-2780.35" + } + }, + "nfe": { + "hide_name": 0, + "bits": [ 1427 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2781.32-2781.35" + } + }, + "nff": { + "hide_name": 0, + "bits": [ 1428 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2782.32-2782.35" + } + }, + "op": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161 ], + "attributes": { + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:96.16-96.18" + } + }, + "op_OBUF": { + "hide_name": 0, + "bits": [ 926, 1081, 978, 923, 1418, 934, 944, 966, 962, 1050, 958, 1415, 986, 930, 973, 984, 942, 1024, 1395, 938, 971, 1034, 1000, 1022, 992, 1040, 940, 943, 924, 936, 968, 1010, 970, 1038, 954, 1032, 1406, 988, 964, 948, 994, 1404, 952, 976, 990, 969, 1028, 956, 1036, 1413, 1409, 1006, 1400, 1402, 1020, 1436, 928, 959, 1002, 1026, 982, 1398, 1397, 980, 1434, 922, 961, 1004, 996, 1030, 998, 941, 1411, 1417, 1083, 939, 932, 950, 1407, 1420 ], + "attributes": { + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2784.14-2784.21" + } + }, + "opr": { + "hide_name": 0, + "bits": [ 925, 1080, 977, 443, 235, 933, 375, 965, 889, 1049, 957, 1414, 985, 929, 972, 983, 783, 1023, 1394, 937, 577, 1033, 999, 1021, 991, 1039, 257, 885, 477, 935, 967, 1009, 533, 1037, 953, 1031, 371, 987, 963, 947, 993, 1403, 951, 975, 989, 531, 1027, 955, 1035, 1412, 1408, 1005, 1399, 1401, 1019, 1435, 927, 867, 1001, 1025, 981, 269, 1396, 979, 1433, 409, 960, 1003, 995, 1029, 997, 919, 1410, 1416, 1082, 681, 931, 949, 166, 1419 ], + "attributes": { + "RTL_KEEP": "true", + "src": "gnl_2_4_5_2.0_gnl_2000_08_5_80_80.netlist.v:2785.38-2785.41" + } + } + } + } + } +} diff --git a/src/oneblink-nosynth/oneblink.json b/src/oneblink-nosynth/oneblink.json new file mode 100644 index 00000000..32c11deb --- /dev/null +++ b/src/oneblink-nosynth/oneblink.json @@ -0,0 +1,233966 @@ +{ + "creator": "Yosys 0.17+5 (git sha1 990c9b8e1, x86_64-conda_cos6-linux-gnu-gcc 1.24.0.133_b0863d8_dirty -fvisibility-inlines-hidden -fmessage-length=0 -march=nocona -mtune=haswell -ftree-vectorize -fPIC -fstack-protector-strong -fno-plt -O2 -ffunction-sections -fdebug-prefix-map=/home/runner/work/conda-eda/conda-eda/workdir/conda-env/conda-bld/yosys_1652397200587/work=/usr/local/src/conda/yosys-0.17_7_g990c9b8e1 -fdebug-prefix-map=/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange=/usr/local/src/conda-prefix -fPIC -Os -fno-merge-constants)", + "modules": { + "\\$__ABC9_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000001010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.1-303.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + }, + "I6": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + "$specify$31": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010000001111", + "T_FALL_MIN": "00000000000000000000010000001111", + "T_FALL_TYP": "00000000000000000000010000001111", + "T_RISE_MAX": "00000000000000000000010000001111", + "T_RISE_MIN": "00000000000000000000010000001111", + "T_RISE_TYP": "00000000000000000000010000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:294.5-294.66" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$32": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010000000100", + "T_FALL_MIN": "00000000000000000000010000000100", + "T_FALL_TYP": "00000000000000000000010000000100", + "T_RISE_MAX": "00000000000000000000010000000100", + "T_RISE_MIN": "00000000000000000000010000000100", + "T_RISE_TYP": "00000000000000000000010000000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:295.5-295.66" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$33": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101100101", + "T_FALL_MIN": "00000000000000000000001101100101", + "T_FALL_TYP": "00000000000000000000001101100101", + "T_RISE_MAX": "00000000000000000000001101100101", + "T_RISE_MIN": "00000000000000000000001101100101", + "T_RISE_TYP": "00000000000000000000001101100101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:296.5-296.66" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$34": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001100100100", + "T_FALL_MIN": "00000000000000000000001100100100", + "T_FALL_TYP": "00000000000000000000001100100100", + "T_RISE_MAX": "00000000000000000000001100100100", + "T_RISE_MIN": "00000000000000000000001100100100", + "T_RISE_TYP": "00000000000000000000001100100100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:297.5-297.66" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$35": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001111011", + "T_FALL_MIN": "00000000000000000000001001111011", + "T_FALL_TYP": "00000000000000000000001001111011", + "T_RISE_MAX": "00000000000000000000001001111011", + "T_RISE_MIN": "00000000000000000000001001111011", + "T_RISE_TYP": "00000000000000000000001001111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:298.5-298.66" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$36": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000001100", + "T_FALL_MIN": "00000000000000000000001000001100", + "T_FALL_TYP": "00000000000000000000001000001100", + "T_RISE_MAX": "00000000000000000000001000001100", + "T_RISE_MIN": "00000000000000000000001000001100", + "T_RISE_TYP": "00000000000000000000001000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:299.5-299.66" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + }, + "$specify$37": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111010110", + "T_FALL_MIN": "00000000000000000000000111010110", + "T_FALL_TYP": "00000000000000000000000111010110", + "T_RISE_MAX": "00000000000000000000000111010110", + "T_RISE_MIN": "00000000000000000000000111010110", + "T_RISE_TYP": "00000000000000000000000111010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:300.5-300.65" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.39-290.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.43-290.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.47-290.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.51-290.53" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.55-290.57" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.59-290.61" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.63-290.65" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:290.30-290.31" + } + } + } + }, + "\\$__ABC9_LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000010100", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.1-322.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + }, + "I6": { + "direction": "input", + "bits": [ 9 ] + }, + "I7": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + "$specify$38": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010001001", + "T_FALL_MIN": "00000000000000000000010010001001", + "T_FALL_TYP": "00000000000000000000010010001001", + "T_RISE_MAX": "00000000000000000000010010001001", + "T_RISE_MIN": "00000000000000000000010010001001", + "T_RISE_TYP": "00000000000000000000010010001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:312.5-312.93" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$39": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010001111110", + "T_FALL_MIN": "00000000000000000000010001111110", + "T_FALL_TYP": "00000000000000000000010001111110", + "T_RISE_MAX": "00000000000000000000010001111110", + "T_RISE_MIN": "00000000000000000000010001111110", + "T_RISE_TYP": "00000000000000000000010001111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:313.5-313.93" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$40": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001111011111", + "T_FALL_MIN": "00000000000000000000001111011111", + "T_FALL_TYP": "00000000000000000000001111011111", + "T_RISE_MAX": "00000000000000000000001111011111", + "T_RISE_MIN": "00000000000000000000001111011111", + "T_RISE_TYP": "00000000000000000000001111011111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:314.5-314.93" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$41": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110011110", + "T_FALL_MIN": "00000000000000000000001110011110", + "T_FALL_TYP": "00000000000000000000001110011110", + "T_RISE_MAX": "00000000000000000000001110011110", + "T_RISE_MIN": "00000000000000000000001110011110", + "T_RISE_TYP": "00000000000000000000001110011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:315.5-315.93" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$42": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011110101", + "T_FALL_MIN": "00000000000000000000001011110101", + "T_FALL_TYP": "00000000000000000000001011110101", + "T_RISE_MAX": "00000000000000000000001011110101", + "T_RISE_MIN": "00000000000000000000001011110101", + "T_RISE_TYP": "00000000000000000000001011110101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:316.5-316.93" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$43": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010000110", + "T_FALL_MIN": "00000000000000000000001010000110", + "T_FALL_TYP": "00000000000000000000001010000110", + "T_RISE_MAX": "00000000000000000000001010000110", + "T_RISE_MIN": "00000000000000000000001010000110", + "T_RISE_TYP": "00000000000000000000001010000110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:317.5-317.93" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + }, + "$specify$44": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001010000", + "T_FALL_MIN": "00000000000000000000001001010000", + "T_FALL_TYP": "00000000000000000000001001010000", + "T_RISE_MAX": "00000000000000000000001001010000", + "T_RISE_MIN": "00000000000000000000001001010000", + "T_RISE_TYP": "00000000000000000000001001010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:318.5-318.93" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + }, + "$specify$45": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111010001", + "T_FALL_MIN": "00000000000000000000000111010001", + "T_FALL_TYP": "00000000000000000000000111010001", + "T_RISE_MAX": "00000000000000000000000111010001", + "T_RISE_MIN": "00000000000000000000000111010001", + "T_RISE_TYP": "00000000000000000000000111010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:319.5-319.68" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 10 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.39-308.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.43-308.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.47-308.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.51-308.53" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.55-308.57" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.59-308.61" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.63-308.65" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.67-308.69" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:308.30-308.31" + } + } + } + }, + "AND2B1L": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:995.1-1003.10" + }, + "parameter_default_values": { + "IS_SRI_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "SRI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:997.9-997.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:996.10-996.11" + } + }, + "SRI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_SRI_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:999.9-999.12" + } + } + } + }, + "BITSLICE_CONTROL": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7066.1-7148.10" + }, + "parameter_default_values": { + "CTRL_CLK": "EXTERNAL", + "DIV_MODE": "DIV2", + "EN_CLK_TO_EXT_NORTH": "DISABLE", + "EN_CLK_TO_EXT_SOUTH": "DISABLE", + "EN_DYN_ODLY_MODE": "FALSE", + "EN_OTHER_NCLK": "FALSE", + "EN_OTHER_PCLK": "FALSE", + "IDLY_VT_TRACK": "TRUE", + "INV_RXCLK": "FALSE", + "ODLY_VT_TRACK": "TRUE", + "QDLY_VT_TRACK": "TRUE", + "READ_IDLE_COUNT": "000000", + "REFCLK_SRC": "PLLCLK", + "ROUNDING_FACTOR": "00000000000000000000000000010000", + "RXGATE_EXTEND": "FALSE", + "RX_CLK_PHASE_N": "SHIFT_0", + "RX_CLK_PHASE_P": "SHIFT_0", + "RX_GATING": "DISABLE", + "SELF_CALIBRATE": "ENABLE", + "SERIAL_MODE": "FALSE", + "SIM_DEVICE": "ULTRASCALE", + "SIM_SPEEDUP": "FAST", + "TX_GATING": "DISABLE" + }, + "ports": { + "CLK_TO_EXT_NORTH": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK_TO_EXT_SOUTH": { + "direction": "output", + "bits": [ 3 ] + }, + "DLY_RDY": { + "direction": "output", + "bits": [ 4 ] + }, + "DYN_DCI": { + "direction": "output", + "bits": [ 5, 6, 7, 8, 9, 10, 11 ] + }, + "NCLK_NIBBLE_OUT": { + "direction": "output", + "bits": [ 12 ] + }, + "PCLK_NIBBLE_OUT": { + "direction": "output", + "bits": [ 13 ] + }, + "RIU_RD_DATA": { + "direction": "output", + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "RIU_VALID": { + "direction": "output", + "bits": [ 30 ] + }, + "RX_BIT_CTRL_OUT0": { + "direction": "output", + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ] + }, + "RX_BIT_CTRL_OUT1": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ] + }, + "RX_BIT_CTRL_OUT2": { + "direction": "output", + "bits": [ 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ] + }, + "RX_BIT_CTRL_OUT3": { + "direction": "output", + "bits": [ 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190 ] + }, + "RX_BIT_CTRL_OUT4": { + "direction": "output", + "bits": [ 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230 ] + }, + "RX_BIT_CTRL_OUT5": { + "direction": "output", + "bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270 ] + }, + "RX_BIT_CTRL_OUT6": { + "direction": "output", + "bits": [ 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ] + }, + "TX_BIT_CTRL_OUT0": { + "direction": "output", + "bits": [ 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350 ] + }, + "TX_BIT_CTRL_OUT1": { + "direction": "output", + "bits": [ 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390 ] + }, + "TX_BIT_CTRL_OUT2": { + "direction": "output", + "bits": [ 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430 ] + }, + "TX_BIT_CTRL_OUT3": { + "direction": "output", + "bits": [ 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470 ] + }, + "TX_BIT_CTRL_OUT4": { + "direction": "output", + "bits": [ 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510 ] + }, + "TX_BIT_CTRL_OUT5": { + "direction": "output", + "bits": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550 ] + }, + "TX_BIT_CTRL_OUT6": { + "direction": "output", + "bits": [ 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590 ] + }, + "TX_BIT_CTRL_OUT_TRI": { + "direction": "output", + "bits": [ 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630 ] + }, + "VTC_RDY": { + "direction": "output", + "bits": [ 631 ] + }, + "CLK_FROM_EXT": { + "direction": "input", + "bits": [ 632 ] + }, + "EN_VTC": { + "direction": "input", + "bits": [ 633 ] + }, + "NCLK_NIBBLE_IN": { + "direction": "input", + "bits": [ 634 ] + }, + "PCLK_NIBBLE_IN": { + "direction": "input", + "bits": [ 635 ] + }, + "PHY_RDCS0": { + "direction": "input", + "bits": [ 636, 637, 638, 639 ] + }, + "PHY_RDCS1": { + "direction": "input", + "bits": [ 640, 641, 642, 643 ] + }, + "PHY_RDEN": { + "direction": "input", + "bits": [ 644, 645, 646, 647 ] + }, + "PHY_WRCS0": { + "direction": "input", + "bits": [ 648, 649, 650, 651 ] + }, + "PHY_WRCS1": { + "direction": "input", + "bits": [ 652, 653, 654, 655 ] + }, + "PLL_CLK": { + "direction": "input", + "bits": [ 656 ] + }, + "REFCLK": { + "direction": "input", + "bits": [ 657 ] + }, + "RIU_ADDR": { + "direction": "input", + "bits": [ 658, 659, 660, 661, 662, 663 ] + }, + "RIU_CLK": { + "direction": "input", + "bits": [ 664 ] + }, + "RIU_NIBBLE_SEL": { + "direction": "input", + "bits": [ 665 ] + }, + "RIU_WR_DATA": { + "direction": "input", + "bits": [ 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681 ] + }, + "RIU_WR_EN": { + "direction": "input", + "bits": [ 682 ] + }, + "RST": { + "direction": "input", + "bits": [ 683 ] + }, + "RX_BIT_CTRL_IN0": { + "direction": "input", + "bits": [ 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723 ] + }, + "RX_BIT_CTRL_IN1": { + "direction": "input", + "bits": [ 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763 ] + }, + "RX_BIT_CTRL_IN2": { + "direction": "input", + "bits": [ 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803 ] + }, + "RX_BIT_CTRL_IN3": { + "direction": "input", + "bits": [ 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843 ] + }, + "RX_BIT_CTRL_IN4": { + "direction": "input", + "bits": [ 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883 ] + }, + "RX_BIT_CTRL_IN5": { + "direction": "input", + "bits": [ 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923 ] + }, + "RX_BIT_CTRL_IN6": { + "direction": "input", + "bits": [ 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963 ] + }, + "TBYTE_IN": { + "direction": "input", + "bits": [ 964, 965, 966, 967 ] + }, + "TX_BIT_CTRL_IN0": { + "direction": "input", + "bits": [ 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007 ] + }, + "TX_BIT_CTRL_IN1": { + "direction": "input", + "bits": [ 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047 ] + }, + "TX_BIT_CTRL_IN2": { + "direction": "input", + "bits": [ 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087 ] + }, + "TX_BIT_CTRL_IN3": { + "direction": "input", + "bits": [ 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127 ] + }, + "TX_BIT_CTRL_IN4": { + "direction": "input", + "bits": [ 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167 ] + }, + "TX_BIT_CTRL_IN5": { + "direction": "input", + "bits": [ 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207 ] + }, + "TX_BIT_CTRL_IN6": { + "direction": "input", + "bits": [ 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247 ] + }, + "TX_BIT_CTRL_IN_TRI": { + "direction": "input", + "bits": [ 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287 ] + } + }, + "cells": { + }, + "netnames": { + "CLK_FROM_EXT": { + "hide_name": 0, + "bits": [ 632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7115.11-7115.23" + } + }, + "CLK_TO_EXT_NORTH": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7091.12-7091.28" + } + }, + "CLK_TO_EXT_SOUTH": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7092.12-7092.28" + } + }, + "DLY_RDY": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7093.12-7093.19" + } + }, + "DYN_DCI": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7094.18-7094.25" + } + }, + "EN_VTC": { + "hide_name": 0, + "bits": [ 633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7116.11-7116.17" + } + }, + "NCLK_NIBBLE_IN": { + "hide_name": 0, + "bits": [ 634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7117.11-7117.25" + } + }, + "NCLK_NIBBLE_OUT": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7095.12-7095.27" + } + }, + "PCLK_NIBBLE_IN": { + "hide_name": 0, + "bits": [ 635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7118.11-7118.25" + } + }, + "PCLK_NIBBLE_OUT": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7096.12-7096.27" + } + }, + "PHY_RDCS0": { + "hide_name": 0, + "bits": [ 636, 637, 638, 639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7119.17-7119.26" + } + }, + "PHY_RDCS1": { + "hide_name": 0, + "bits": [ 640, 641, 642, 643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7120.17-7120.26" + } + }, + "PHY_RDEN": { + "hide_name": 0, + "bits": [ 644, 645, 646, 647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7121.17-7121.25" + } + }, + "PHY_WRCS0": { + "hide_name": 0, + "bits": [ 648, 649, 650, 651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7122.17-7122.26" + } + }, + "PHY_WRCS1": { + "hide_name": 0, + "bits": [ 652, 653, 654, 655 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7123.17-7123.26" + } + }, + "PLL_CLK": { + "hide_name": 0, + "bits": [ 656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7124.11-7124.18" + } + }, + "REFCLK": { + "hide_name": 0, + "bits": [ 657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7125.11-7125.17" + } + }, + "RIU_ADDR": { + "hide_name": 0, + "bits": [ 658, 659, 660, 661, 662, 663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7126.17-7126.25" + } + }, + "RIU_CLK": { + "hide_name": 0, + "bits": [ 664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7127.11-7127.18" + } + }, + "RIU_NIBBLE_SEL": { + "hide_name": 0, + "bits": [ 665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7128.11-7128.25" + } + }, + "RIU_RD_DATA": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7097.19-7097.30" + } + }, + "RIU_VALID": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7098.12-7098.21" + } + }, + "RIU_WR_DATA": { + "hide_name": 0, + "bits": [ 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7129.18-7129.29" + } + }, + "RIU_WR_EN": { + "hide_name": 0, + "bits": [ 682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7130.11-7130.20" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 683 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7131.11-7131.14" + } + }, + "RX_BIT_CTRL_IN0": { + "hide_name": 0, + "bits": [ 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7132.18-7132.33" + } + }, + "RX_BIT_CTRL_IN1": { + "hide_name": 0, + "bits": [ 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7133.18-7133.33" + } + }, + "RX_BIT_CTRL_IN2": { + "hide_name": 0, + "bits": [ 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7134.18-7134.33" + } + }, + "RX_BIT_CTRL_IN3": { + "hide_name": 0, + "bits": [ 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7135.18-7135.33" + } + }, + "RX_BIT_CTRL_IN4": { + "hide_name": 0, + "bits": [ 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7136.18-7136.33" + } + }, + "RX_BIT_CTRL_IN5": { + "hide_name": 0, + "bits": [ 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7137.18-7137.33" + } + }, + "RX_BIT_CTRL_IN6": { + "hide_name": 0, + "bits": [ 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7138.18-7138.33" + } + }, + "RX_BIT_CTRL_OUT0": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7099.19-7099.35" + } + }, + "RX_BIT_CTRL_OUT1": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7100.19-7100.35" + } + }, + "RX_BIT_CTRL_OUT2": { + "hide_name": 0, + "bits": [ 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7101.19-7101.35" + } + }, + "RX_BIT_CTRL_OUT3": { + "hide_name": 0, + "bits": [ 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7102.19-7102.35" + } + }, + "RX_BIT_CTRL_OUT4": { + "hide_name": 0, + "bits": [ 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7103.19-7103.35" + } + }, + "RX_BIT_CTRL_OUT5": { + "hide_name": 0, + "bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7104.19-7104.35" + } + }, + "RX_BIT_CTRL_OUT6": { + "hide_name": 0, + "bits": [ 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7105.19-7105.35" + } + }, + "TBYTE_IN": { + "hide_name": 0, + "bits": [ 964, 965, 966, 967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7139.17-7139.25" + } + }, + "TX_BIT_CTRL_IN0": { + "hide_name": 0, + "bits": [ 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7140.18-7140.33" + } + }, + "TX_BIT_CTRL_IN1": { + "hide_name": 0, + "bits": [ 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7141.18-7141.33" + } + }, + "TX_BIT_CTRL_IN2": { + "hide_name": 0, + "bits": [ 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7142.18-7142.33" + } + }, + "TX_BIT_CTRL_IN3": { + "hide_name": 0, + "bits": [ 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7143.18-7143.33" + } + }, + "TX_BIT_CTRL_IN4": { + "hide_name": 0, + "bits": [ 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7144.18-7144.33" + } + }, + "TX_BIT_CTRL_IN5": { + "hide_name": 0, + "bits": [ 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7145.18-7145.33" + } + }, + "TX_BIT_CTRL_IN6": { + "hide_name": 0, + "bits": [ 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7146.18-7146.33" + } + }, + "TX_BIT_CTRL_IN_TRI": { + "hide_name": 0, + "bits": [ 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7147.18-7147.36" + } + }, + "TX_BIT_CTRL_OUT0": { + "hide_name": 0, + "bits": [ 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7106.19-7106.35" + } + }, + "TX_BIT_CTRL_OUT1": { + "hide_name": 0, + "bits": [ 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7107.19-7107.35" + } + }, + "TX_BIT_CTRL_OUT2": { + "hide_name": 0, + "bits": [ 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7108.19-7108.35" + } + }, + "TX_BIT_CTRL_OUT3": { + "hide_name": 0, + "bits": [ 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7109.19-7109.35" + } + }, + "TX_BIT_CTRL_OUT4": { + "hide_name": 0, + "bits": [ 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7110.19-7110.35" + } + }, + "TX_BIT_CTRL_OUT5": { + "hide_name": 0, + "bits": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7111.19-7111.35" + } + }, + "TX_BIT_CTRL_OUT6": { + "hide_name": 0, + "bits": [ 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7112.19-7112.35" + } + }, + "TX_BIT_CTRL_OUT_TRI": { + "hide_name": 0, + "bits": [ 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7113.19-7113.38" + } + }, + "VTC_RDY": { + "hide_name": 0, + "bits": [ 631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7114.12-7114.19" + } + } + } + }, + "BSCANE2": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9833.1-9847.10" + }, + "parameter_default_values": { + "DISABLE_JTAG": "FALSE", + "JTAG_CHAIN": "00000000000000000000000000000001" + }, + "ports": { + "CAPTURE": { + "direction": "output", + "bits": [ 2 ] + }, + "DRCK": { + "direction": "output", + "bits": [ 3 ] + }, + "RESET": { + "direction": "output", + "bits": [ 4 ] + }, + "RUNTEST": { + "direction": "output", + "bits": [ 5 ] + }, + "SEL": { + "direction": "output", + "bits": [ 6 ] + }, + "SHIFT": { + "direction": "output", + "bits": [ 7 ] + }, + "TCK": { + "direction": "output", + "bits": [ 8 ] + }, + "TDI": { + "direction": "output", + "bits": [ 9 ] + }, + "TMS": { + "direction": "output", + "bits": [ 10 ] + }, + "UPDATE": { + "direction": "output", + "bits": [ 11 ] + }, + "TDO": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CAPTURE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9836.12-9836.19" + } + }, + "DRCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9837.12-9837.16" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9838.12-9838.17" + } + }, + "RUNTEST": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9839.12-9839.19" + } + }, + "SEL": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9840.12-9840.15" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9841.12-9841.17" + } + }, + "TCK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9842.12-9842.15" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9843.12-9843.15" + } + }, + "TDO": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9846.11-9846.14" + } + }, + "TMS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9844.12-9844.15" + } + }, + "UPDATE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9845.12-9845.18" + } + } + } + }, + "BSCAN_SPARTAN3": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9742.1-9754.10" + }, + "ports": { + "CAPTURE": { + "direction": "output", + "bits": [ 2 ] + }, + "DRCK1": { + "direction": "output", + "bits": [ 3 ] + }, + "DRCK2": { + "direction": "output", + "bits": [ 4 ] + }, + "RESET": { + "direction": "output", + "bits": [ 5 ] + }, + "SEL1": { + "direction": "output", + "bits": [ 6 ] + }, + "SEL2": { + "direction": "output", + "bits": [ 7 ] + }, + "SHIFT": { + "direction": "output", + "bits": [ 8 ] + }, + "TDI": { + "direction": "output", + "bits": [ 9 ] + }, + "UPDATE": { + "direction": "output", + "bits": [ 10 ] + }, + "TDO1": { + "direction": "input", + "bits": [ 11 ] + }, + "TDO2": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CAPTURE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9743.12-9743.19" + } + }, + "DRCK1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9744.12-9744.17" + } + }, + "DRCK2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9745.12-9745.17" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9746.12-9746.17" + } + }, + "SEL1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9747.12-9747.16" + } + }, + "SEL2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9748.12-9748.16" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9749.12-9749.17" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9750.12-9750.15" + } + }, + "TDO1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9752.11-9752.15" + } + }, + "TDO2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9753.11-9753.15" + } + }, + "UPDATE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9751.12-9751.18" + } + } + } + }, + "BSCAN_SPARTAN3A": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9757.1-9771.10" + }, + "ports": { + "CAPTURE": { + "direction": "output", + "bits": [ 2 ] + }, + "DRCK1": { + "direction": "output", + "bits": [ 3 ] + }, + "DRCK2": { + "direction": "output", + "bits": [ 4 ] + }, + "RESET": { + "direction": "output", + "bits": [ 5 ] + }, + "SEL1": { + "direction": "output", + "bits": [ 6 ] + }, + "SEL2": { + "direction": "output", + "bits": [ 7 ] + }, + "SHIFT": { + "direction": "output", + "bits": [ 8 ] + }, + "TCK": { + "direction": "output", + "bits": [ 9 ] + }, + "TDI": { + "direction": "output", + "bits": [ 10 ] + }, + "TMS": { + "direction": "output", + "bits": [ 11 ] + }, + "UPDATE": { + "direction": "output", + "bits": [ 12 ] + }, + "TDO1": { + "direction": "input", + "bits": [ 13 ] + }, + "TDO2": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "CAPTURE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9758.12-9758.19" + } + }, + "DRCK1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9759.12-9759.17" + } + }, + "DRCK2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9760.12-9760.17" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9761.12-9761.17" + } + }, + "SEL1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9762.12-9762.16" + } + }, + "SEL2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9763.12-9763.16" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9764.12-9764.17" + } + }, + "TCK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9765.12-9765.15" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9766.12-9766.15" + } + }, + "TDO1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9769.11-9769.15" + } + }, + "TDO2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9770.11-9770.15" + } + }, + "TMS": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9767.12-9767.15" + } + }, + "UPDATE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9768.12-9768.18" + } + } + } + }, + "BSCAN_SPARTAN6": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9774.1-9787.10" + }, + "parameter_default_values": { + "JTAG_CHAIN": "00000000000000000000000000000001" + }, + "ports": { + "CAPTURE": { + "direction": "output", + "bits": [ 2 ] + }, + "DRCK": { + "direction": "output", + "bits": [ 3 ] + }, + "RESET": { + "direction": "output", + "bits": [ 4 ] + }, + "RUNTEST": { + "direction": "output", + "bits": [ 5 ] + }, + "SEL": { + "direction": "output", + "bits": [ 6 ] + }, + "SHIFT": { + "direction": "output", + "bits": [ 7 ] + }, + "TCK": { + "direction": "output", + "bits": [ 8 ] + }, + "TDI": { + "direction": "output", + "bits": [ 9 ] + }, + "TMS": { + "direction": "output", + "bits": [ 10 ] + }, + "UPDATE": { + "direction": "output", + "bits": [ 11 ] + }, + "TDO": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CAPTURE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9776.12-9776.19" + } + }, + "DRCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9777.12-9777.16" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9778.12-9778.17" + } + }, + "RUNTEST": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9779.12-9779.19" + } + }, + "SEL": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9780.12-9780.15" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9781.12-9781.17" + } + }, + "TCK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9782.12-9782.15" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9783.12-9783.15" + } + }, + "TDO": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9786.11-9786.14" + } + }, + "TMS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9784.12-9784.15" + } + }, + "UPDATE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9785.12-9785.18" + } + } + } + }, + "BSCAN_VIRTEX4": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9790.1-9800.10" + }, + "parameter_default_values": { + "JTAG_CHAIN": "00000000000000000000000000000001" + }, + "ports": { + "CAPTURE": { + "direction": "output", + "bits": [ 2 ] + }, + "DRCK": { + "direction": "output", + "bits": [ 3 ] + }, + "RESET": { + "direction": "output", + "bits": [ 4 ] + }, + "SEL": { + "direction": "output", + "bits": [ 5 ] + }, + "SHIFT": { + "direction": "output", + "bits": [ 6 ] + }, + "TDI": { + "direction": "output", + "bits": [ 7 ] + }, + "UPDATE": { + "direction": "output", + "bits": [ 8 ] + }, + "TDO": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "CAPTURE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9792.12-9792.19" + } + }, + "DRCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9793.12-9793.16" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9794.12-9794.17" + } + }, + "SEL": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9795.12-9795.15" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9796.12-9796.17" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9797.12-9797.15" + } + }, + "TDO": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9799.11-9799.14" + } + }, + "UPDATE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9798.12-9798.18" + } + } + } + }, + "BSCAN_VIRTEX5": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9803.1-9813.10" + }, + "parameter_default_values": { + "JTAG_CHAIN": "00000000000000000000000000000001" + }, + "ports": { + "CAPTURE": { + "direction": "output", + "bits": [ 2 ] + }, + "DRCK": { + "direction": "output", + "bits": [ 3 ] + }, + "RESET": { + "direction": "output", + "bits": [ 4 ] + }, + "SEL": { + "direction": "output", + "bits": [ 5 ] + }, + "SHIFT": { + "direction": "output", + "bits": [ 6 ] + }, + "TDI": { + "direction": "output", + "bits": [ 7 ] + }, + "UPDATE": { + "direction": "output", + "bits": [ 8 ] + }, + "TDO": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "CAPTURE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9805.12-9805.19" + } + }, + "DRCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9806.12-9806.16" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9807.12-9807.17" + } + }, + "SEL": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9808.12-9808.15" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9809.12-9809.17" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9810.12-9810.15" + } + }, + "TDO": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9812.11-9812.14" + } + }, + "UPDATE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9811.12-9811.18" + } + } + } + }, + "BSCAN_VIRTEX6": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9816.1-9830.10" + }, + "parameter_default_values": { + "DISABLE_JTAG": "FALSE", + "JTAG_CHAIN": "00000000000000000000000000000001" + }, + "ports": { + "CAPTURE": { + "direction": "output", + "bits": [ 2 ] + }, + "DRCK": { + "direction": "output", + "bits": [ 3 ] + }, + "RESET": { + "direction": "output", + "bits": [ 4 ] + }, + "RUNTEST": { + "direction": "output", + "bits": [ 5 ] + }, + "SEL": { + "direction": "output", + "bits": [ 6 ] + }, + "SHIFT": { + "direction": "output", + "bits": [ 7 ] + }, + "TCK": { + "direction": "output", + "bits": [ 8 ] + }, + "TDI": { + "direction": "output", + "bits": [ 9 ] + }, + "TMS": { + "direction": "output", + "bits": [ 10 ] + }, + "UPDATE": { + "direction": "output", + "bits": [ 11 ] + }, + "TDO": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CAPTURE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9819.12-9819.19" + } + }, + "DRCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9820.12-9820.16" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9821.12-9821.17" + } + }, + "RUNTEST": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9822.12-9822.19" + } + }, + "SEL": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9823.12-9823.15" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9824.12-9824.17" + } + }, + "TCK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9825.12-9825.15" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9826.12-9826.15" + } + }, + "TDO": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9829.11-9829.14" + } + }, + "TMS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9827.12-9827.15" + } + }, + "UPDATE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9828.12-9828.18" + } + } + } + }, + "BUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:103.1-112.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$8": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001100000", + "T_FALL_MIN": "00000000000000000000000001100000", + "T_FALL_TYP": "00000000000000000000000001100000", + "T_RISE_MAX": "00000000000000000000000001100000", + "T_RISE_MIN": "00000000000000000000000001100000", + "T_RISE_TYP": "00000000000000000000000001100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:110.5-110.19" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:106.11-106.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:105.12-105.13" + } + } + } + }, + "BUFGCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7967.1-7979.10" + }, + "parameter_default_values": { + "CE_TYPE": "SYNC", + "IS_CE_INVERTED": "0", + "IS_I_INVERTED": "0", + "SIM_DEVICE": "ULTRASCALE", + "STARTUP_SYNC": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7976.11-7976.13" + } + }, + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_I_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7978.11-7978.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7974.12-7974.13" + } + } + } + }, + "BUFGCE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7981.1-7986.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7984.11-7984.13" + } + }, + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7985.11-7985.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7983.12-7983.13" + } + } + } + }, + "BUFGCE_DIV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8051.1-8068.10" + }, + "parameter_default_values": { + "BUFGCE_DIVIDE": "00000000000000000000000000000001", + "CE_TYPE": "SYNC", + "HARDSYNC_CLR": "FALSE", + "IS_CE_INVERTED": "0", + "IS_CLR_INVERTED": "0", + "IS_I_INVERTED": "0", + "SIM_DEVICE": "ULTRASCALE", + "STARTUP_SYNC": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLR": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8063.11-8063.13" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8065.11-8065.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_I_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8067.11-8067.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8061.12-8061.13" + } + } + } + }, + "BUFGCTRL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:114.1-148.10" + }, + "parameter_default_values": { + "INIT_OUT": "0", + "IS_CE0_INVERTED": "0", + "IS_CE1_INVERTED": "0", + "IS_IGNORE0_INVERTED": "0", + "IS_IGNORE1_INVERTED": "0", + "IS_S0_INVERTED": "0", + "IS_S1_INVERTED": "0", + "PRESELECT_I0": "FALSE", + "PRESELECT_I1": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + }, + "S1": { + "direction": "input", + "bits": [ 6 ] + }, + "CE0": { + "direction": "input", + "bits": [ 7 ] + }, + "CE1": { + "direction": "input", + "bits": [ 8 ] + }, + "IGNORE0": { + "direction": "input", + "bits": [ 9 ] + }, + "IGNORE1": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "CE0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_CE0_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:123.11-123.14" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "invertible_pin": "IS_CE1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:125.11-125.14" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:117.11-117.13" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:117.21-117.23" + } + }, + "IGNORE0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_IGNORE0_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:127.11-127.18" + } + }, + "IGNORE1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_IGNORE1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:129.11-129.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:116.12-116.13" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_S0_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:119.11-119.13" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_S1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:121.11-121.13" + } + } + } + }, + "BUFGMUX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7988.1-7995.10" + }, + "parameter_default_values": { + "CLK_SEL_TYPE": "SYNC" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7992.11-7992.13" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7993.11-7993.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7991.12-7991.13" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7994.11-7994.12" + } + } + } + }, + "BUFGMUX_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7997.1-8004.10" + }, + "parameter_default_values": { + "CLK_SEL_TYPE": "SYNC" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8001.11-8001.13" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8002.11-8002.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8000.12-8000.13" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8003.11-8003.12" + } + } + } + }, + "BUFGMUX_CTRL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8006.1-8012.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8009.11-8009.13" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8010.11-8010.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8008.12-8008.13" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8011.11-8011.12" + } + } + } + }, + "BUFGMUX_VIRTEX4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8014.1-8020.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8017.11-8017.13" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8018.11-8018.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8016.12-8016.13" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8019.11-8019.12" + } + } + } + }, + "BUFG_GT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8022.1-8033.10" + }, + "parameter_default_values": { + "SIM_DEVICE": "ULTRASCALE", + "STARTUP_SYNC": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CEMASK": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "CLRMASK": { + "direction": "input", + "bits": [ 6 ] + }, + "DIV": { + "direction": "input", + "bits": [ 7, 8, 9 ] + }, + "I": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8027.11-8027.13" + } + }, + "CEMASK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8028.11-8028.17" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8029.11-8029.14" + } + }, + "CLRMASK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8030.11-8030.18" + } + }, + "DIV": { + "hide_name": 0, + "bits": [ 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8031.17-8031.20" + } + }, + "I": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8032.11-8032.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8026.12-8026.13" + } + } + } + }, + "BUFG_GT_SYNC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8035.1-8041.10" + }, + "ports": { + "CESYNC": { + "direction": "output", + "bits": [ 2 ] + }, + "CLRSYNC": { + "direction": "output", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLK": { + "direction": "input", + "bits": [ 5 ] + }, + "CLR": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8038.11-8038.13" + } + }, + "CESYNC": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8036.12-8036.18" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8039.11-8039.14" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8040.11-8040.14" + } + }, + "CLRSYNC": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8037.12-8037.19" + } + } + } + }, + "BUFG_PS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8043.1-8049.10" + }, + "parameter_default_values": { + "SIM_DEVICE": "ULTRASCALE_PLUS", + "STARTUP_SYNC": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8048.11-8048.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8047.12-8047.13" + } + } + } + }, + "BUFH": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8070.1-8074.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8073.11-8073.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8072.12-8072.13" + } + } + } + }, + "BUFHCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:150.1-163.10" + }, + "parameter_default_values": { + "CE_TYPE": "SYNC", + "INIT_OUT": "0", + "IS_CE_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:155.11-155.13" + } + }, + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:153.11-153.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:152.12-152.13" + } + } + } + }, + "BUFIO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8135.1-8139.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8138.11-8138.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8137.12-8137.13" + } + } + } + }, + "BUFIO2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8076.1-8087.10" + }, + "parameter_default_values": { + "DIVIDE": "00000000000000000000000000000001", + "DIVIDE_BYPASS": "TRUE", + "I_INVERT": "FALSE", + "USE_DOUBLER": "FALSE" + }, + "ports": { + "DIVCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "IOCLK": { + "direction": "output", + "bits": [ 3 ] + }, + "SERDESSTROBE": { + "direction": "output", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "DIVCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8082.12-8082.18" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8086.11-8086.12" + } + }, + "IOCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8084.12-8084.17" + } + }, + "SERDESSTROBE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8085.12-8085.24" + } + } + } + }, + "BUFIO2FB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8100.1-8105.10" + }, + "parameter_default_values": { + "DIVIDE_BYPASS": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8104.11-8104.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8103.12-8103.13" + } + } + } + }, + "BUFIO2_2CLK": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8089.1-8098.10" + }, + "parameter_default_values": { + "DIVIDE": "00000000000000000000000000000010" + }, + "ports": { + "DIVCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "IOCLK": { + "direction": "output", + "bits": [ 3 ] + }, + "SERDESSTROBE": { + "direction": "output", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IB": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "DIVCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8092.12-8092.18" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8096.11-8096.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8097.11-8097.13" + } + }, + "IOCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8094.12-8094.17" + } + }, + "SERDESSTROBE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8095.12-8095.24" + } + } + } + }, + "BUFIODQS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8141.1-8147.10" + }, + "parameter_default_values": { + "DQSMASK_ENABLE": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DQSMASK": { + "direction": "input", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DQSMASK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8145.11-8145.18" + } + }, + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8146.11-8146.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8144.12-8144.13" + } + } + } + }, + "BUFMR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8159.1-8163.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8162.11-8162.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8161.12-8161.13" + } + } + } + }, + "BUFMRCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8165.1-8174.10" + }, + "parameter_default_values": { + "CE_TYPE": "SYNC", + "INIT_OUT": "00000000000000000000000000000000", + "IS_CE_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8172.11-8172.13" + } + }, + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8173.11-8173.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8170.12-8170.13" + } + } + } + }, + "BUFPLL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8107.1-8117.10" + }, + "parameter_default_values": { + "DIVIDE": "00000000000000000000000000000001", + "ENABLE_SYNC": "TRUE" + }, + "ports": { + "IOCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 3 ] + }, + "SERDESSTROBE": { + "direction": "output", + "bits": [ 4 ] + }, + "GCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "LOCKED": { + "direction": "input", + "bits": [ 6 ] + }, + "PLLIN": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "GCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8114.11-8114.15" + } + }, + "IOCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8111.12-8111.17" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8112.12-8112.16" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8115.11-8115.17" + } + }, + "PLLIN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8116.11-8116.16" + } + }, + "SERDESSTROBE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8113.12-8113.24" + } + } + } + }, + "BUFPLL_MCB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8119.1-8133.10" + }, + "parameter_default_values": { + "DIVIDE": "00000000000000000000000000000010", + "LOCK_SRC": "LOCK_TO_0" + }, + "ports": { + "IOCLK0": { + "direction": "output", + "bits": [ 2 ] + }, + "IOCLK1": { + "direction": "output", + "bits": [ 3 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 4 ] + }, + "SERDESSTROBE0": { + "direction": "output", + "bits": [ 5 ] + }, + "SERDESSTROBE1": { + "direction": "output", + "bits": [ 6 ] + }, + "GCLK": { + "direction": "input", + "bits": [ 7 ] + }, + "LOCKED": { + "direction": "input", + "bits": [ 8 ] + }, + "PLLIN0": { + "direction": "input", + "bits": [ 9 ] + }, + "PLLIN1": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "GCLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8129.11-8129.15" + } + }, + "IOCLK0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8123.12-8123.18" + } + }, + "IOCLK1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8125.12-8125.18" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8126.12-8126.16" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8130.11-8130.17" + } + }, + "PLLIN0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8131.11-8131.17" + } + }, + "PLLIN1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8132.11-8132.17" + } + }, + "SERDESSTROBE0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8127.12-8127.25" + } + }, + "SERDESSTROBE1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8128.12-8128.25" + } + } + } + }, + "BUFR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8149.1-8157.10" + }, + "parameter_default_values": { + "BUFR_DIVIDE": "BYPASS", + "SIM_DEVICE": "7SERIES" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLR": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8154.11-8154.13" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8155.11-8155.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8156.11-8156.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_driver": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8153.12-8153.13" + } + } + } + }, + "BUFT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9398.1-9402.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "T": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9400.11-9400.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9399.12-9399.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9401.11-9401.12" + } + } + } + }, + "CAPTUREE2": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9651.1-9655.10" + }, + "parameter_default_values": { + "ONESHOT": "TRUE" + }, + "ports": { + "CAP": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CAP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9653.11-9653.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9654.11-9654.14" + } + } + } + }, + "CAPTURE_SPARTAN3": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9616.1-9620.10" + }, + "parameter_default_values": { + "ONESHOT": "FALSE" + }, + "ports": { + "CAP": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CAP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9618.11-9618.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9619.11-9619.14" + } + } + } + }, + "CAPTURE_SPARTAN3A": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9623.1-9627.10" + }, + "parameter_default_values": { + "ONESHOT": "TRUE" + }, + "ports": { + "CAP": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CAP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9625.11-9625.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9626.11-9626.14" + } + } + } + }, + "CAPTURE_VIRTEX4": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9630.1-9634.10" + }, + "parameter_default_values": { + "ONESHOT": "TRUE" + }, + "ports": { + "CAP": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CAP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9632.11-9632.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9633.11-9633.14" + } + } + } + }, + "CAPTURE_VIRTEX5": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9637.1-9641.10" + }, + "parameter_default_values": { + "ONESHOT": "TRUE" + }, + "ports": { + "CAP": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CAP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9639.11-9639.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9640.11-9640.14" + } + } + } + }, + "CAPTURE_VIRTEX6": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9644.1-9648.10" + }, + "parameter_default_values": { + "ONESHOT": "TRUE" + }, + "ports": { + "CAP": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CAP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9646.11-9646.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9647.11-9647.14" + } + } + } + }, + "CARRY4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:367.1-436.10" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "O": { + "direction": "output", + "bits": [ 6, 7, 8, 9 ] + }, + "CI": { + "direction": "input", + "bits": [ 10 ] + }, + "CYINIT": { + "direction": "input", + "bits": [ 11 ] + }, + "DI": { + "direction": "input", + "bits": [ 12, 13, 14, 15 ] + }, + "S": { + "direction": "input", + "bits": [ 16, 17, 18, 19 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:372.16-372.18" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:369.16-369.18" + } + }, + "CYINIT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:373.16-373.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:374.16-374.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:370.16-370.17" + } + }, + "S": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:374.20-374.21" + } + } + } + }, + "CARRY8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:438.1-456.10" + }, + "parameter_default_values": { + "CARRY_TYPE": "SINGLE_CY8" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "O": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "CI": { + "direction": "input", + "bits": [ 18 ] + }, + "CI_TOP": { + "direction": "input", + "bits": [ 19 ] + }, + "DI": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ] + }, + "S": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:441.16-441.18" + } + }, + "CI_TOP": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:442.16-442.22" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:439.16-439.18" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:443.16-443.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:440.16-440.17" + } + }, + "S": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:443.20-443.21" + } + } + } + }, + "CFGLUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2431.1-2454.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "CDO": { + "direction": "output", + "bits": [ 2 ] + }, + "O5": { + "direction": "output", + "bits": [ 3 ] + }, + "O6": { + "direction": "output", + "bits": [ 4 ] + }, + "I4": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I2": { + "direction": "input", + "bits": [ 7 ] + }, + "I1": { + "direction": "input", + "bits": [ 8 ] + }, + "I0": { + "direction": "input", + "bits": [ 9 ] + }, + "CDI": { + "direction": "input", + "bits": [ 10 ] + }, + "CE": { + "direction": "input", + "bits": [ 11 ] + }, + "CLK": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CDI": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2440.9-2440.12" + } + }, + "CDO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2432.10-2432.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2441.9-2441.11" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2444.9-2444.12" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2439.9-2439.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2438.9-2438.11" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2437.9-2437.11" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2436.9-2436.11" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2435.9-2435.11" + } + }, + "O5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2433.10-2433.12" + } + }, + "O6": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2434.10-2434.12" + } + } + } + }, + "CMAC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27086.1-27529.10" + }, + "parameter_default_values": { + "CTL_PTP_TRANSPCLK_MODE": "FALSE", + "CTL_RX_CHECK_ACK": "TRUE", + "CTL_RX_CHECK_PREAMBLE": "FALSE", + "CTL_RX_CHECK_SFD": "FALSE", + "CTL_RX_DELETE_FCS": "TRUE", + "CTL_RX_ETYPE_GCP": "1000100000001000", + "CTL_RX_ETYPE_GPP": "1000100000001000", + "CTL_RX_ETYPE_PCP": "1000100000001000", + "CTL_RX_ETYPE_PPP": "1000100000001000", + "CTL_RX_FORWARD_CONTROL": "FALSE", + "CTL_RX_IGNORE_FCS": "FALSE", + "CTL_RX_MAX_PACKET_LEN": "010010110000000", + "CTL_RX_MIN_PACKET_LEN": "01000000", + "CTL_RX_OPCODE_GPP": "0000000000000001", + "CTL_RX_OPCODE_MAX_GCP": "1111111111111111", + "CTL_RX_OPCODE_MAX_PCP": "1111111111111111", + "CTL_RX_OPCODE_MIN_GCP": "0000000000000000", + "CTL_RX_OPCODE_MIN_PCP": "0000000000000000", + "CTL_RX_OPCODE_PPP": "0000000000000001", + "CTL_RX_PAUSE_DA_MCAST": "000000011000000011000010000000000000000000000001", + "CTL_RX_PAUSE_DA_UCAST": "000000000000000000000000000000000000000000000000", + "CTL_RX_PAUSE_SA": "000000000000000000000000000000000000000000000000", + "CTL_RX_PROCESS_LFI": "FALSE", + "CTL_RX_VL_LENGTH_MINUS1": "0011111111111111", + "CTL_RX_VL_MARKER_ID0": "1100000101101000001000010000000000111110100101111101111000000000", + "CTL_RX_VL_MARKER_ID1": "1001110101110001100011100000000001100010100011100111000100000000", + "CTL_RX_VL_MARKER_ID10": "1111110101101100100110010000000000000010100100110110011000000000", + "CTL_RX_VL_MARKER_ID11": "1011100110010001010101010000000001000110011011101010101000000000", + "CTL_RX_VL_MARKER_ID12": "0101110010111001101100100000000010100011010001100100110100000000", + "CTL_RX_VL_MARKER_ID13": "0001101011111000101111010000000011100101000001110100001000000000", + "CTL_RX_VL_MARKER_ID14": "1000001111000111110010100000000001111100001110000011010100000000", + "CTL_RX_VL_MARKER_ID15": "0011010100110110110011010000000011001010110010010011001000000000", + "CTL_RX_VL_MARKER_ID16": "1100010000110001010011000000000000111011110011101011001100000000", + "CTL_RX_VL_MARKER_ID17": "1010110111010110101101110000000001010010001010010100100000000000", + "CTL_RX_VL_MARKER_ID18": "0101111101100110001010100000000010100000100110011101010100000000", + "CTL_RX_VL_MARKER_ID19": "1100000011110000111001010000000000111111000011110001101000000000", + "CTL_RX_VL_MARKER_ID2": "0101100101001011111010000000000010100110101101000001011100000000", + "CTL_RX_VL_MARKER_ID3": "0100110110010101011110110000000010110010011010101000010000000000", + "CTL_RX_VL_MARKER_ID4": "1111010100000111000010010000000000001010111110001111011000000000", + "CTL_RX_VL_MARKER_ID5": "1101110100010100110000100000000000100010111010110011110100000000", + "CTL_RX_VL_MARKER_ID6": "1001101001001010001001100000000001100101101101011101100100000000", + "CTL_RX_VL_MARKER_ID7": "0111101101000101011001100000000010000100101110101001100100000000", + "CTL_RX_VL_MARKER_ID8": "1010000000100100011101100000000001011111110110111000100100000000", + "CTL_RX_VL_MARKER_ID9": "0110100011001001111110110000000010010111001101100000010000000000", + "CTL_TEST_MODE_PIN_CHAR": "FALSE", + "CTL_TX_DA_GPP": "000000011000000011000010000000000000000000000001", + "CTL_TX_DA_PPP": "000000011000000011000010000000000000000000000001", + "CTL_TX_ETHERTYPE_GPP": "1000100000001000", + "CTL_TX_ETHERTYPE_PPP": "1000100000001000", + "CTL_TX_FCS_INS_ENABLE": "TRUE", + "CTL_TX_IGNORE_FCS": "FALSE", + "CTL_TX_OPCODE_GPP": "0000000000000001", + "CTL_TX_OPCODE_PPP": "0000000000000001", + "CTL_TX_PTP_1STEP_ENABLE": "FALSE", + "CTL_TX_PTP_LATENCY_ADJUST": "01011000001", + "CTL_TX_SA_GPP": "000000000000000000000000000000000000000000000000", + "CTL_TX_SA_PPP": "000000000000000000000000000000000000000000000000", + "CTL_TX_VL_LENGTH_MINUS1": "0011111111111111", + "CTL_TX_VL_MARKER_ID0": "1100000101101000001000010000000000111110100101111101111000000000", + "CTL_TX_VL_MARKER_ID1": "1001110101110001100011100000000001100010100011100111000100000000", + "CTL_TX_VL_MARKER_ID10": "1111110101101100100110010000000000000010100100110110011000000000", + "CTL_TX_VL_MARKER_ID11": "1011100110010001010101010000000001000110011011101010101000000000", + "CTL_TX_VL_MARKER_ID12": "0101110010111001101100100000000010100011010001100100110100000000", + "CTL_TX_VL_MARKER_ID13": "0001101011111000101111010000000011100101000001110100001000000000", + "CTL_TX_VL_MARKER_ID14": "1000001111000111110010100000000001111100001110000011010100000000", + "CTL_TX_VL_MARKER_ID15": "0011010100110110110011010000000011001010110010010011001000000000", + "CTL_TX_VL_MARKER_ID16": "1100010000110001010011000000000000111011110011101011001100000000", + "CTL_TX_VL_MARKER_ID17": "1010110111010110101101110000000001010010001010010100100000000000", + "CTL_TX_VL_MARKER_ID18": "0101111101100110001010100000000010100000100110011101010100000000", + "CTL_TX_VL_MARKER_ID19": "1100000011110000111001010000000000111111000011110001101000000000", + "CTL_TX_VL_MARKER_ID2": "0101100101001011111010000000000010100110101101000001011100000000", + "CTL_TX_VL_MARKER_ID3": "0100110110010101011110110000000010110010011010101000010000000000", + "CTL_TX_VL_MARKER_ID4": "1111010100000111000010010000000000001010111110001111011000000000", + "CTL_TX_VL_MARKER_ID5": "1101110100010100110000100000000000100010111010110011110100000000", + "CTL_TX_VL_MARKER_ID6": "1001101001001010001001100000000001100101101101011101100100000000", + "CTL_TX_VL_MARKER_ID7": "0111101101000101011001100000000010000100101110101001100100000000", + "CTL_TX_VL_MARKER_ID8": "1010000000100100011101100000000001011111110110111000100100000000", + "CTL_TX_VL_MARKER_ID9": "0110100011001001111110110000000010010111001101100000010000000000", + "SIM_VERSION": "2.0", + "TEST_MODE_PIN_CHAR": "FALSE" + }, + "ports": { + "DRP_DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DRP_RDY": { + "direction": "output", + "bits": [ 18 ] + }, + "RX_DATAOUT0": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146 ] + }, + "RX_DATAOUT1": { + "direction": "output", + "bits": [ 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ] + }, + "RX_DATAOUT2": { + "direction": "output", + "bits": [ 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402 ] + }, + "RX_DATAOUT3": { + "direction": "output", + "bits": [ 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530 ] + }, + "RX_ENAOUT0": { + "direction": "output", + "bits": [ 531 ] + }, + "RX_ENAOUT1": { + "direction": "output", + "bits": [ 532 ] + }, + "RX_ENAOUT2": { + "direction": "output", + "bits": [ 533 ] + }, + "RX_ENAOUT3": { + "direction": "output", + "bits": [ 534 ] + }, + "RX_EOPOUT0": { + "direction": "output", + "bits": [ 535 ] + }, + "RX_EOPOUT1": { + "direction": "output", + "bits": [ 536 ] + }, + "RX_EOPOUT2": { + "direction": "output", + "bits": [ 537 ] + }, + "RX_EOPOUT3": { + "direction": "output", + "bits": [ 538 ] + }, + "RX_ERROUT0": { + "direction": "output", + "bits": [ 539 ] + }, + "RX_ERROUT1": { + "direction": "output", + "bits": [ 540 ] + }, + "RX_ERROUT2": { + "direction": "output", + "bits": [ 541 ] + }, + "RX_ERROUT3": { + "direction": "output", + "bits": [ 542 ] + }, + "RX_LANE_ALIGNER_FILL_0": { + "direction": "output", + "bits": [ 543, 544, 545, 546, 547, 548, 549 ] + }, + "RX_LANE_ALIGNER_FILL_1": { + "direction": "output", + "bits": [ 550, 551, 552, 553, 554, 555, 556 ] + }, + "RX_LANE_ALIGNER_FILL_10": { + "direction": "output", + "bits": [ 557, 558, 559, 560, 561, 562, 563 ] + }, + "RX_LANE_ALIGNER_FILL_11": { + "direction": "output", + "bits": [ 564, 565, 566, 567, 568, 569, 570 ] + }, + "RX_LANE_ALIGNER_FILL_12": { + "direction": "output", + "bits": [ 571, 572, 573, 574, 575, 576, 577 ] + }, + "RX_LANE_ALIGNER_FILL_13": { + "direction": "output", + "bits": [ 578, 579, 580, 581, 582, 583, 584 ] + }, + "RX_LANE_ALIGNER_FILL_14": { + "direction": "output", + "bits": [ 585, 586, 587, 588, 589, 590, 591 ] + }, + "RX_LANE_ALIGNER_FILL_15": { + "direction": "output", + "bits": [ 592, 593, 594, 595, 596, 597, 598 ] + }, + "RX_LANE_ALIGNER_FILL_16": { + "direction": "output", + "bits": [ 599, 600, 601, 602, 603, 604, 605 ] + }, + "RX_LANE_ALIGNER_FILL_17": { + "direction": "output", + "bits": [ 606, 607, 608, 609, 610, 611, 612 ] + }, + "RX_LANE_ALIGNER_FILL_18": { + "direction": "output", + "bits": [ 613, 614, 615, 616, 617, 618, 619 ] + }, + "RX_LANE_ALIGNER_FILL_19": { + "direction": "output", + "bits": [ 620, 621, 622, 623, 624, 625, 626 ] + }, + "RX_LANE_ALIGNER_FILL_2": { + "direction": "output", + "bits": [ 627, 628, 629, 630, 631, 632, 633 ] + }, + "RX_LANE_ALIGNER_FILL_3": { + "direction": "output", + "bits": [ 634, 635, 636, 637, 638, 639, 640 ] + }, + "RX_LANE_ALIGNER_FILL_4": { + "direction": "output", + "bits": [ 641, 642, 643, 644, 645, 646, 647 ] + }, + "RX_LANE_ALIGNER_FILL_5": { + "direction": "output", + "bits": [ 648, 649, 650, 651, 652, 653, 654 ] + }, + "RX_LANE_ALIGNER_FILL_6": { + "direction": "output", + "bits": [ 655, 656, 657, 658, 659, 660, 661 ] + }, + "RX_LANE_ALIGNER_FILL_7": { + "direction": "output", + "bits": [ 662, 663, 664, 665, 666, 667, 668 ] + }, + "RX_LANE_ALIGNER_FILL_8": { + "direction": "output", + "bits": [ 669, 670, 671, 672, 673, 674, 675 ] + }, + "RX_LANE_ALIGNER_FILL_9": { + "direction": "output", + "bits": [ 676, 677, 678, 679, 680, 681, 682 ] + }, + "RX_MTYOUT0": { + "direction": "output", + "bits": [ 683, 684, 685, 686 ] + }, + "RX_MTYOUT1": { + "direction": "output", + "bits": [ 687, 688, 689, 690 ] + }, + "RX_MTYOUT2": { + "direction": "output", + "bits": [ 691, 692, 693, 694 ] + }, + "RX_MTYOUT3": { + "direction": "output", + "bits": [ 695, 696, 697, 698 ] + }, + "RX_PTP_PCSLANE_OUT": { + "direction": "output", + "bits": [ 699, 700, 701, 702, 703 ] + }, + "RX_PTP_TSTAMP_OUT": { + "direction": "output", + "bits": [ 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783 ] + }, + "RX_SOPOUT0": { + "direction": "output", + "bits": [ 784 ] + }, + "RX_SOPOUT1": { + "direction": "output", + "bits": [ 785 ] + }, + "RX_SOPOUT2": { + "direction": "output", + "bits": [ 786 ] + }, + "RX_SOPOUT3": { + "direction": "output", + "bits": [ 787 ] + }, + "STAT_RX_ALIGNED": { + "direction": "output", + "bits": [ 788 ] + }, + "STAT_RX_ALIGNED_ERR": { + "direction": "output", + "bits": [ 789 ] + }, + "STAT_RX_BAD_CODE": { + "direction": "output", + "bits": [ 790, 791, 792, 793, 794, 795, 796 ] + }, + "STAT_RX_BAD_FCS": { + "direction": "output", + "bits": [ 797, 798, 799, 800 ] + }, + "STAT_RX_BAD_PREAMBLE": { + "direction": "output", + "bits": [ 801 ] + }, + "STAT_RX_BAD_SFD": { + "direction": "output", + "bits": [ 802 ] + }, + "STAT_RX_BIP_ERR_0": { + "direction": "output", + "bits": [ 803 ] + }, + "STAT_RX_BIP_ERR_1": { + "direction": "output", + "bits": [ 804 ] + }, + "STAT_RX_BIP_ERR_10": { + "direction": "output", + "bits": [ 805 ] + }, + "STAT_RX_BIP_ERR_11": { + "direction": "output", + "bits": [ 806 ] + }, + "STAT_RX_BIP_ERR_12": { + "direction": "output", + "bits": [ 807 ] + }, + "STAT_RX_BIP_ERR_13": { + "direction": "output", + "bits": [ 808 ] + }, + "STAT_RX_BIP_ERR_14": { + "direction": "output", + "bits": [ 809 ] + }, + "STAT_RX_BIP_ERR_15": { + "direction": "output", + "bits": [ 810 ] + }, + "STAT_RX_BIP_ERR_16": { + "direction": "output", + "bits": [ 811 ] + }, + "STAT_RX_BIP_ERR_17": { + "direction": "output", + "bits": [ 812 ] + }, + "STAT_RX_BIP_ERR_18": { + "direction": "output", + "bits": [ 813 ] + }, + "STAT_RX_BIP_ERR_19": { + "direction": "output", + "bits": [ 814 ] + }, + "STAT_RX_BIP_ERR_2": { + "direction": "output", + "bits": [ 815 ] + }, + "STAT_RX_BIP_ERR_3": { + "direction": "output", + "bits": [ 816 ] + }, + "STAT_RX_BIP_ERR_4": { + "direction": "output", + "bits": [ 817 ] + }, + "STAT_RX_BIP_ERR_5": { + "direction": "output", + "bits": [ 818 ] + }, + "STAT_RX_BIP_ERR_6": { + "direction": "output", + "bits": [ 819 ] + }, + "STAT_RX_BIP_ERR_7": { + "direction": "output", + "bits": [ 820 ] + }, + "STAT_RX_BIP_ERR_8": { + "direction": "output", + "bits": [ 821 ] + }, + "STAT_RX_BIP_ERR_9": { + "direction": "output", + "bits": [ 822 ] + }, + "STAT_RX_BLOCK_LOCK": { + "direction": "output", + "bits": [ 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842 ] + }, + "STAT_RX_BROADCAST": { + "direction": "output", + "bits": [ 843 ] + }, + "STAT_RX_FRAGMENT": { + "direction": "output", + "bits": [ 844, 845, 846, 847 ] + }, + "STAT_RX_FRAMING_ERR_0": { + "direction": "output", + "bits": [ 848, 849, 850, 851 ] + }, + "STAT_RX_FRAMING_ERR_1": { + "direction": "output", + "bits": [ 852, 853, 854, 855 ] + }, + "STAT_RX_FRAMING_ERR_10": { + "direction": "output", + "bits": [ 856, 857, 858, 859 ] + }, + "STAT_RX_FRAMING_ERR_11": { + "direction": "output", + "bits": [ 860, 861, 862, 863 ] + }, + "STAT_RX_FRAMING_ERR_12": { + "direction": "output", + "bits": [ 864, 865, 866, 867 ] + }, + "STAT_RX_FRAMING_ERR_13": { + "direction": "output", + "bits": [ 868, 869, 870, 871 ] + }, + "STAT_RX_FRAMING_ERR_14": { + "direction": "output", + "bits": [ 872, 873, 874, 875 ] + }, + "STAT_RX_FRAMING_ERR_15": { + "direction": "output", + "bits": [ 876, 877, 878, 879 ] + }, + "STAT_RX_FRAMING_ERR_16": { + "direction": "output", + "bits": [ 880, 881, 882, 883 ] + }, + "STAT_RX_FRAMING_ERR_17": { + "direction": "output", + "bits": [ 884, 885, 886, 887 ] + }, + "STAT_RX_FRAMING_ERR_18": { + "direction": "output", + "bits": [ 888, 889, 890, 891 ] + }, + "STAT_RX_FRAMING_ERR_19": { + "direction": "output", + "bits": [ 892, 893, 894, 895 ] + }, + "STAT_RX_FRAMING_ERR_2": { + "direction": "output", + "bits": [ 896, 897, 898, 899 ] + }, + "STAT_RX_FRAMING_ERR_3": { + "direction": "output", + "bits": [ 900, 901, 902, 903 ] + }, + "STAT_RX_FRAMING_ERR_4": { + "direction": "output", + "bits": [ 904, 905, 906, 907 ] + }, + "STAT_RX_FRAMING_ERR_5": { + "direction": "output", + "bits": [ 908, 909, 910, 911 ] + }, + "STAT_RX_FRAMING_ERR_6": { + "direction": "output", + "bits": [ 912, 913, 914, 915 ] + }, + "STAT_RX_FRAMING_ERR_7": { + "direction": "output", + "bits": [ 916, 917, 918, 919 ] + }, + "STAT_RX_FRAMING_ERR_8": { + "direction": "output", + "bits": [ 920, 921, 922, 923 ] + }, + "STAT_RX_FRAMING_ERR_9": { + "direction": "output", + "bits": [ 924, 925, 926, 927 ] + }, + "STAT_RX_FRAMING_ERR_VALID_0": { + "direction": "output", + "bits": [ 928 ] + }, + "STAT_RX_FRAMING_ERR_VALID_1": { + "direction": "output", + "bits": [ 929 ] + }, + "STAT_RX_FRAMING_ERR_VALID_10": { + "direction": "output", + "bits": [ 930 ] + }, + "STAT_RX_FRAMING_ERR_VALID_11": { + "direction": "output", + "bits": [ 931 ] + }, + "STAT_RX_FRAMING_ERR_VALID_12": { + "direction": "output", + "bits": [ 932 ] + }, + "STAT_RX_FRAMING_ERR_VALID_13": { + "direction": "output", + "bits": [ 933 ] + }, + "STAT_RX_FRAMING_ERR_VALID_14": { + "direction": "output", + "bits": [ 934 ] + }, + "STAT_RX_FRAMING_ERR_VALID_15": { + "direction": "output", + "bits": [ 935 ] + }, + "STAT_RX_FRAMING_ERR_VALID_16": { + "direction": "output", + "bits": [ 936 ] + }, + "STAT_RX_FRAMING_ERR_VALID_17": { + "direction": "output", + "bits": [ 937 ] + }, + "STAT_RX_FRAMING_ERR_VALID_18": { + "direction": "output", + "bits": [ 938 ] + }, + "STAT_RX_FRAMING_ERR_VALID_19": { + "direction": "output", + "bits": [ 939 ] + }, + "STAT_RX_FRAMING_ERR_VALID_2": { + "direction": "output", + "bits": [ 940 ] + }, + "STAT_RX_FRAMING_ERR_VALID_3": { + "direction": "output", + "bits": [ 941 ] + }, + "STAT_RX_FRAMING_ERR_VALID_4": { + "direction": "output", + "bits": [ 942 ] + }, + "STAT_RX_FRAMING_ERR_VALID_5": { + "direction": "output", + "bits": [ 943 ] + }, + "STAT_RX_FRAMING_ERR_VALID_6": { + "direction": "output", + "bits": [ 944 ] + }, + "STAT_RX_FRAMING_ERR_VALID_7": { + "direction": "output", + "bits": [ 945 ] + }, + "STAT_RX_FRAMING_ERR_VALID_8": { + "direction": "output", + "bits": [ 946 ] + }, + "STAT_RX_FRAMING_ERR_VALID_9": { + "direction": "output", + "bits": [ 947 ] + }, + "STAT_RX_GOT_SIGNAL_OS": { + "direction": "output", + "bits": [ 948 ] + }, + "STAT_RX_HI_BER": { + "direction": "output", + "bits": [ 949 ] + }, + "STAT_RX_INRANGEERR": { + "direction": "output", + "bits": [ 950 ] + }, + "STAT_RX_INTERNAL_LOCAL_FAULT": { + "direction": "output", + "bits": [ 951 ] + }, + "STAT_RX_JABBER": { + "direction": "output", + "bits": [ 952 ] + }, + "STAT_RX_LANE0_VLM_BIP7": { + "direction": "output", + "bits": [ 953, 954, 955, 956, 957, 958, 959, 960 ] + }, + "STAT_RX_LANE0_VLM_BIP7_VALID": { + "direction": "output", + "bits": [ 961 ] + }, + "STAT_RX_LOCAL_FAULT": { + "direction": "output", + "bits": [ 962 ] + }, + "STAT_RX_MF_ERR": { + "direction": "output", + "bits": [ 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982 ] + }, + "STAT_RX_MF_LEN_ERR": { + "direction": "output", + "bits": [ 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002 ] + }, + "STAT_RX_MF_REPEAT_ERR": { + "direction": "output", + "bits": [ 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022 ] + }, + "STAT_RX_MISALIGNED": { + "direction": "output", + "bits": [ 1023 ] + }, + "STAT_RX_MULTICAST": { + "direction": "output", + "bits": [ 1024 ] + }, + "STAT_RX_OVERSIZE": { + "direction": "output", + "bits": [ 1025 ] + }, + "STAT_RX_PACKET_1024_1518_BYTES": { + "direction": "output", + "bits": [ 1026 ] + }, + "STAT_RX_PACKET_128_255_BYTES": { + "direction": "output", + "bits": [ 1027 ] + }, + "STAT_RX_PACKET_1519_1522_BYTES": { + "direction": "output", + "bits": [ 1028 ] + }, + "STAT_RX_PACKET_1523_1548_BYTES": { + "direction": "output", + "bits": [ 1029 ] + }, + "STAT_RX_PACKET_1549_2047_BYTES": { + "direction": "output", + "bits": [ 1030 ] + }, + "STAT_RX_PACKET_2048_4095_BYTES": { + "direction": "output", + "bits": [ 1031 ] + }, + "STAT_RX_PACKET_256_511_BYTES": { + "direction": "output", + "bits": [ 1032 ] + }, + "STAT_RX_PACKET_4096_8191_BYTES": { + "direction": "output", + "bits": [ 1033 ] + }, + "STAT_RX_PACKET_512_1023_BYTES": { + "direction": "output", + "bits": [ 1034 ] + }, + "STAT_RX_PACKET_64_BYTES": { + "direction": "output", + "bits": [ 1035 ] + }, + "STAT_RX_PACKET_65_127_BYTES": { + "direction": "output", + "bits": [ 1036 ] + }, + "STAT_RX_PACKET_8192_9215_BYTES": { + "direction": "output", + "bits": [ 1037 ] + }, + "STAT_RX_PACKET_BAD_FCS": { + "direction": "output", + "bits": [ 1038 ] + }, + "STAT_RX_PACKET_LARGE": { + "direction": "output", + "bits": [ 1039 ] + }, + "STAT_RX_PACKET_SMALL": { + "direction": "output", + "bits": [ 1040, 1041, 1042, 1043 ] + }, + "STAT_RX_PAUSE": { + "direction": "output", + "bits": [ 1044 ] + }, + "STAT_RX_PAUSE_QUANTA0": { + "direction": "output", + "bits": [ 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060 ] + }, + "STAT_RX_PAUSE_QUANTA1": { + "direction": "output", + "bits": [ 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076 ] + }, + "STAT_RX_PAUSE_QUANTA2": { + "direction": "output", + "bits": [ 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092 ] + }, + "STAT_RX_PAUSE_QUANTA3": { + "direction": "output", + "bits": [ 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108 ] + }, + "STAT_RX_PAUSE_QUANTA4": { + "direction": "output", + "bits": [ 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124 ] + }, + "STAT_RX_PAUSE_QUANTA5": { + "direction": "output", + "bits": [ 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140 ] + }, + "STAT_RX_PAUSE_QUANTA6": { + "direction": "output", + "bits": [ 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156 ] + }, + "STAT_RX_PAUSE_QUANTA7": { + "direction": "output", + "bits": [ 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172 ] + }, + "STAT_RX_PAUSE_QUANTA8": { + "direction": "output", + "bits": [ 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188 ] + }, + "STAT_RX_PAUSE_REQ": { + "direction": "output", + "bits": [ 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197 ] + }, + "STAT_RX_PAUSE_VALID": { + "direction": "output", + "bits": [ 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206 ] + }, + "STAT_RX_RECEIVED_LOCAL_FAULT": { + "direction": "output", + "bits": [ 1207 ] + }, + "STAT_RX_REMOTE_FAULT": { + "direction": "output", + "bits": [ 1208 ] + }, + "STAT_RX_STATUS": { + "direction": "output", + "bits": [ 1209 ] + }, + "STAT_RX_STOMPED_FCS": { + "direction": "output", + "bits": [ 1210, 1211, 1212, 1213 ] + }, + "STAT_RX_SYNCED": { + "direction": "output", + "bits": [ 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233 ] + }, + "STAT_RX_SYNCED_ERR": { + "direction": "output", + "bits": [ 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253 ] + }, + "STAT_RX_TEST_PATTERN_MISMATCH": { + "direction": "output", + "bits": [ 1254, 1255, 1256 ] + }, + "STAT_RX_TOOLONG": { + "direction": "output", + "bits": [ 1257 ] + }, + "STAT_RX_TOTAL_BYTES": { + "direction": "output", + "bits": [ 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265 ] + }, + "STAT_RX_TOTAL_GOOD_BYTES": { + "direction": "output", + "bits": [ 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279 ] + }, + "STAT_RX_TOTAL_GOOD_PACKETS": { + "direction": "output", + "bits": [ 1280 ] + }, + "STAT_RX_TOTAL_PACKETS": { + "direction": "output", + "bits": [ 1281, 1282, 1283, 1284 ] + }, + "STAT_RX_TRUNCATED": { + "direction": "output", + "bits": [ 1285 ] + }, + "STAT_RX_UNDERSIZE": { + "direction": "output", + "bits": [ 1286, 1287, 1288, 1289 ] + }, + "STAT_RX_UNICAST": { + "direction": "output", + "bits": [ 1290 ] + }, + "STAT_RX_USER_PAUSE": { + "direction": "output", + "bits": [ 1291 ] + }, + "STAT_RX_VLAN": { + "direction": "output", + "bits": [ 1292 ] + }, + "STAT_RX_VL_DEMUXED": { + "direction": "output", + "bits": [ 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312 ] + }, + "STAT_RX_VL_NUMBER_0": { + "direction": "output", + "bits": [ 1313, 1314, 1315, 1316, 1317 ] + }, + "STAT_RX_VL_NUMBER_1": { + "direction": "output", + "bits": [ 1318, 1319, 1320, 1321, 1322 ] + }, + "STAT_RX_VL_NUMBER_10": { + "direction": "output", + "bits": [ 1323, 1324, 1325, 1326, 1327 ] + }, + "STAT_RX_VL_NUMBER_11": { + "direction": "output", + "bits": [ 1328, 1329, 1330, 1331, 1332 ] + }, + "STAT_RX_VL_NUMBER_12": { + "direction": "output", + "bits": [ 1333, 1334, 1335, 1336, 1337 ] + }, + "STAT_RX_VL_NUMBER_13": { + "direction": "output", + "bits": [ 1338, 1339, 1340, 1341, 1342 ] + }, + "STAT_RX_VL_NUMBER_14": { + "direction": "output", + "bits": [ 1343, 1344, 1345, 1346, 1347 ] + }, + "STAT_RX_VL_NUMBER_15": { + "direction": "output", + "bits": [ 1348, 1349, 1350, 1351, 1352 ] + }, + "STAT_RX_VL_NUMBER_16": { + "direction": "output", + "bits": [ 1353, 1354, 1355, 1356, 1357 ] + }, + "STAT_RX_VL_NUMBER_17": { + "direction": "output", + "bits": [ 1358, 1359, 1360, 1361, 1362 ] + }, + "STAT_RX_VL_NUMBER_18": { + "direction": "output", + "bits": [ 1363, 1364, 1365, 1366, 1367 ] + }, + "STAT_RX_VL_NUMBER_19": { + "direction": "output", + "bits": [ 1368, 1369, 1370, 1371, 1372 ] + }, + "STAT_RX_VL_NUMBER_2": { + "direction": "output", + "bits": [ 1373, 1374, 1375, 1376, 1377 ] + }, + "STAT_RX_VL_NUMBER_3": { + "direction": "output", + "bits": [ 1378, 1379, 1380, 1381, 1382 ] + }, + "STAT_RX_VL_NUMBER_4": { + "direction": "output", + "bits": [ 1383, 1384, 1385, 1386, 1387 ] + }, + "STAT_RX_VL_NUMBER_5": { + "direction": "output", + "bits": [ 1388, 1389, 1390, 1391, 1392 ] + }, + "STAT_RX_VL_NUMBER_6": { + "direction": "output", + "bits": [ 1393, 1394, 1395, 1396, 1397 ] + }, + "STAT_RX_VL_NUMBER_7": { + "direction": "output", + "bits": [ 1398, 1399, 1400, 1401, 1402 ] + }, + "STAT_RX_VL_NUMBER_8": { + "direction": "output", + "bits": [ 1403, 1404, 1405, 1406, 1407 ] + }, + "STAT_RX_VL_NUMBER_9": { + "direction": "output", + "bits": [ 1408, 1409, 1410, 1411, 1412 ] + }, + "STAT_TX_BAD_FCS": { + "direction": "output", + "bits": [ 1413 ] + }, + "STAT_TX_BROADCAST": { + "direction": "output", + "bits": [ 1414 ] + }, + "STAT_TX_FRAME_ERROR": { + "direction": "output", + "bits": [ 1415 ] + }, + "STAT_TX_LOCAL_FAULT": { + "direction": "output", + "bits": [ 1416 ] + }, + "STAT_TX_MULTICAST": { + "direction": "output", + "bits": [ 1417 ] + }, + "STAT_TX_PACKET_1024_1518_BYTES": { + "direction": "output", + "bits": [ 1418 ] + }, + "STAT_TX_PACKET_128_255_BYTES": { + "direction": "output", + "bits": [ 1419 ] + }, + "STAT_TX_PACKET_1519_1522_BYTES": { + "direction": "output", + "bits": [ 1420 ] + }, + "STAT_TX_PACKET_1523_1548_BYTES": { + "direction": "output", + "bits": [ 1421 ] + }, + "STAT_TX_PACKET_1549_2047_BYTES": { + "direction": "output", + "bits": [ 1422 ] + }, + "STAT_TX_PACKET_2048_4095_BYTES": { + "direction": "output", + "bits": [ 1423 ] + }, + "STAT_TX_PACKET_256_511_BYTES": { + "direction": "output", + "bits": [ 1424 ] + }, + "STAT_TX_PACKET_4096_8191_BYTES": { + "direction": "output", + "bits": [ 1425 ] + }, + "STAT_TX_PACKET_512_1023_BYTES": { + "direction": "output", + "bits": [ 1426 ] + }, + "STAT_TX_PACKET_64_BYTES": { + "direction": "output", + "bits": [ 1427 ] + }, + "STAT_TX_PACKET_65_127_BYTES": { + "direction": "output", + "bits": [ 1428 ] + }, + "STAT_TX_PACKET_8192_9215_BYTES": { + "direction": "output", + "bits": [ 1429 ] + }, + "STAT_TX_PACKET_LARGE": { + "direction": "output", + "bits": [ 1430 ] + }, + "STAT_TX_PACKET_SMALL": { + "direction": "output", + "bits": [ 1431 ] + }, + "STAT_TX_PAUSE": { + "direction": "output", + "bits": [ 1432 ] + }, + "STAT_TX_PAUSE_VALID": { + "direction": "output", + "bits": [ 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441 ] + }, + "STAT_TX_PTP_FIFO_READ_ERROR": { + "direction": "output", + "bits": [ 1442 ] + }, + "STAT_TX_PTP_FIFO_WRITE_ERROR": { + "direction": "output", + "bits": [ 1443 ] + }, + "STAT_TX_TOTAL_BYTES": { + "direction": "output", + "bits": [ 1444, 1445, 1446, 1447, 1448, 1449, 1450 ] + }, + "STAT_TX_TOTAL_GOOD_BYTES": { + "direction": "output", + "bits": [ 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464 ] + }, + "STAT_TX_TOTAL_GOOD_PACKETS": { + "direction": "output", + "bits": [ 1465 ] + }, + "STAT_TX_TOTAL_PACKETS": { + "direction": "output", + "bits": [ 1466 ] + }, + "STAT_TX_UNICAST": { + "direction": "output", + "bits": [ 1467 ] + }, + "STAT_TX_USER_PAUSE": { + "direction": "output", + "bits": [ 1468 ] + }, + "STAT_TX_VLAN": { + "direction": "output", + "bits": [ 1469 ] + }, + "TX_OVFOUT": { + "direction": "output", + "bits": [ 1470 ] + }, + "TX_PTP_PCSLANE_OUT": { + "direction": "output", + "bits": [ 1471, 1472, 1473, 1474, 1475 ] + }, + "TX_PTP_TSTAMP_OUT": { + "direction": "output", + "bits": [ 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555 ] + }, + "TX_PTP_TSTAMP_TAG_OUT": { + "direction": "output", + "bits": [ 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571 ] + }, + "TX_PTP_TSTAMP_VALID_OUT": { + "direction": "output", + "bits": [ 1572 ] + }, + "TX_RDYOUT": { + "direction": "output", + "bits": [ 1573 ] + }, + "TX_SERDES_ALT_DATA0": { + "direction": "output", + "bits": [ 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589 ] + }, + "TX_SERDES_ALT_DATA1": { + "direction": "output", + "bits": [ 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605 ] + }, + "TX_SERDES_ALT_DATA2": { + "direction": "output", + "bits": [ 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621 ] + }, + "TX_SERDES_ALT_DATA3": { + "direction": "output", + "bits": [ 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637 ] + }, + "TX_SERDES_DATA0": { + "direction": "output", + "bits": [ 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701 ] + }, + "TX_SERDES_DATA1": { + "direction": "output", + "bits": [ 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765 ] + }, + "TX_SERDES_DATA2": { + "direction": "output", + "bits": [ 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829 ] + }, + "TX_SERDES_DATA3": { + "direction": "output", + "bits": [ 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893 ] + }, + "TX_SERDES_DATA4": { + "direction": "output", + "bits": [ 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925 ] + }, + "TX_SERDES_DATA5": { + "direction": "output", + "bits": [ 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957 ] + }, + "TX_SERDES_DATA6": { + "direction": "output", + "bits": [ 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989 ] + }, + "TX_SERDES_DATA7": { + "direction": "output", + "bits": [ 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021 ] + }, + "TX_SERDES_DATA8": { + "direction": "output", + "bits": [ 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053 ] + }, + "TX_SERDES_DATA9": { + "direction": "output", + "bits": [ 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085 ] + }, + "TX_UNFOUT": { + "direction": "output", + "bits": [ 2086 ] + }, + "CTL_CAUI4_MODE": { + "direction": "input", + "bits": [ 2087 ] + }, + "CTL_RX_CHECK_ETYPE_GCP": { + "direction": "input", + "bits": [ 2088 ] + }, + "CTL_RX_CHECK_ETYPE_GPP": { + "direction": "input", + "bits": [ 2089 ] + }, + "CTL_RX_CHECK_ETYPE_PCP": { + "direction": "input", + "bits": [ 2090 ] + }, + "CTL_RX_CHECK_ETYPE_PPP": { + "direction": "input", + "bits": [ 2091 ] + }, + "CTL_RX_CHECK_MCAST_GCP": { + "direction": "input", + "bits": [ 2092 ] + }, + "CTL_RX_CHECK_MCAST_GPP": { + "direction": "input", + "bits": [ 2093 ] + }, + "CTL_RX_CHECK_MCAST_PCP": { + "direction": "input", + "bits": [ 2094 ] + }, + "CTL_RX_CHECK_MCAST_PPP": { + "direction": "input", + "bits": [ 2095 ] + }, + "CTL_RX_CHECK_OPCODE_GCP": { + "direction": "input", + "bits": [ 2096 ] + }, + "CTL_RX_CHECK_OPCODE_GPP": { + "direction": "input", + "bits": [ 2097 ] + }, + "CTL_RX_CHECK_OPCODE_PCP": { + "direction": "input", + "bits": [ 2098 ] + }, + "CTL_RX_CHECK_OPCODE_PPP": { + "direction": "input", + "bits": [ 2099 ] + }, + "CTL_RX_CHECK_SA_GCP": { + "direction": "input", + "bits": [ 2100 ] + }, + "CTL_RX_CHECK_SA_GPP": { + "direction": "input", + "bits": [ 2101 ] + }, + "CTL_RX_CHECK_SA_PCP": { + "direction": "input", + "bits": [ 2102 ] + }, + "CTL_RX_CHECK_SA_PPP": { + "direction": "input", + "bits": [ 2103 ] + }, + "CTL_RX_CHECK_UCAST_GCP": { + "direction": "input", + "bits": [ 2104 ] + }, + "CTL_RX_CHECK_UCAST_GPP": { + "direction": "input", + "bits": [ 2105 ] + }, + "CTL_RX_CHECK_UCAST_PCP": { + "direction": "input", + "bits": [ 2106 ] + }, + "CTL_RX_CHECK_UCAST_PPP": { + "direction": "input", + "bits": [ 2107 ] + }, + "CTL_RX_ENABLE": { + "direction": "input", + "bits": [ 2108 ] + }, + "CTL_RX_ENABLE_GCP": { + "direction": "input", + "bits": [ 2109 ] + }, + "CTL_RX_ENABLE_GPP": { + "direction": "input", + "bits": [ 2110 ] + }, + "CTL_RX_ENABLE_PCP": { + "direction": "input", + "bits": [ 2111 ] + }, + "CTL_RX_ENABLE_PPP": { + "direction": "input", + "bits": [ 2112 ] + }, + "CTL_RX_FORCE_RESYNC": { + "direction": "input", + "bits": [ 2113 ] + }, + "CTL_RX_PAUSE_ACK": { + "direction": "input", + "bits": [ 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122 ] + }, + "CTL_RX_PAUSE_ENABLE": { + "direction": "input", + "bits": [ 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131 ] + }, + "CTL_RX_SYSTEMTIMERIN": { + "direction": "input", + "bits": [ 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211 ] + }, + "CTL_RX_TEST_PATTERN": { + "direction": "input", + "bits": [ 2212 ] + }, + "CTL_TX_ENABLE": { + "direction": "input", + "bits": [ 2213 ] + }, + "CTL_TX_LANE0_VLM_BIP7_OVERRIDE": { + "direction": "input", + "bits": [ 2214 ] + }, + "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE": { + "direction": "input", + "bits": [ 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222 ] + }, + "CTL_TX_PAUSE_ENABLE": { + "direction": "input", + "bits": [ 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231 ] + }, + "CTL_TX_PAUSE_QUANTA0": { + "direction": "input", + "bits": [ 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247 ] + }, + "CTL_TX_PAUSE_QUANTA1": { + "direction": "input", + "bits": [ 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263 ] + }, + "CTL_TX_PAUSE_QUANTA2": { + "direction": "input", + "bits": [ 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279 ] + }, + "CTL_TX_PAUSE_QUANTA3": { + "direction": "input", + "bits": [ 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295 ] + }, + "CTL_TX_PAUSE_QUANTA4": { + "direction": "input", + "bits": [ 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311 ] + }, + "CTL_TX_PAUSE_QUANTA5": { + "direction": "input", + "bits": [ 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327 ] + }, + "CTL_TX_PAUSE_QUANTA6": { + "direction": "input", + "bits": [ 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343 ] + }, + "CTL_TX_PAUSE_QUANTA7": { + "direction": "input", + "bits": [ 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359 ] + }, + "CTL_TX_PAUSE_QUANTA8": { + "direction": "input", + "bits": [ 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER0": { + "direction": "input", + "bits": [ 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER1": { + "direction": "input", + "bits": [ 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER2": { + "direction": "input", + "bits": [ 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER3": { + "direction": "input", + "bits": [ 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER4": { + "direction": "input", + "bits": [ 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER5": { + "direction": "input", + "bits": [ 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER6": { + "direction": "input", + "bits": [ 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER7": { + "direction": "input", + "bits": [ 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER8": { + "direction": "input", + "bits": [ 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519 ] + }, + "CTL_TX_PAUSE_REQ": { + "direction": "input", + "bits": [ 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528 ] + }, + "CTL_TX_PTP_VLANE_ADJUST_MODE": { + "direction": "input", + "bits": [ 2529 ] + }, + "CTL_TX_RESEND_PAUSE": { + "direction": "input", + "bits": [ 2530 ] + }, + "CTL_TX_SEND_IDLE": { + "direction": "input", + "bits": [ 2531 ] + }, + "CTL_TX_SEND_RFI": { + "direction": "input", + "bits": [ 2532 ] + }, + "CTL_TX_SYSTEMTIMERIN": { + "direction": "input", + "bits": [ 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612 ] + }, + "CTL_TX_TEST_PATTERN": { + "direction": "input", + "bits": [ 2613 ] + }, + "DRP_ADDR": { + "direction": "input", + "bits": [ 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623 ] + }, + "DRP_CLK": { + "direction": "input", + "bits": [ 2624 ] + }, + "DRP_DI": { + "direction": "input", + "bits": [ 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640 ] + }, + "DRP_EN": { + "direction": "input", + "bits": [ 2641 ] + }, + "DRP_WE": { + "direction": "input", + "bits": [ 2642 ] + }, + "RX_CLK": { + "direction": "input", + "bits": [ 2643 ] + }, + "RX_RESET": { + "direction": "input", + "bits": [ 2644 ] + }, + "RX_SERDES_ALT_DATA0": { + "direction": "input", + "bits": [ 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660 ] + }, + "RX_SERDES_ALT_DATA1": { + "direction": "input", + "bits": [ 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676 ] + }, + "RX_SERDES_ALT_DATA2": { + "direction": "input", + "bits": [ 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692 ] + }, + "RX_SERDES_ALT_DATA3": { + "direction": "input", + "bits": [ 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708 ] + }, + "RX_SERDES_CLK": { + "direction": "input", + "bits": [ 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718 ] + }, + "RX_SERDES_DATA0": { + "direction": "input", + "bits": [ 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782 ] + }, + "RX_SERDES_DATA1": { + "direction": "input", + "bits": [ 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846 ] + }, + "RX_SERDES_DATA2": { + "direction": "input", + "bits": [ 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910 ] + }, + "RX_SERDES_DATA3": { + "direction": "input", + "bits": [ 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974 ] + }, + "RX_SERDES_DATA4": { + "direction": "input", + "bits": [ 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006 ] + }, + "RX_SERDES_DATA5": { + "direction": "input", + "bits": [ 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038 ] + }, + "RX_SERDES_DATA6": { + "direction": "input", + "bits": [ 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070 ] + }, + "RX_SERDES_DATA7": { + "direction": "input", + "bits": [ 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102 ] + }, + "RX_SERDES_DATA8": { + "direction": "input", + "bits": [ 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134 ] + }, + "RX_SERDES_DATA9": { + "direction": "input", + "bits": [ 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166 ] + }, + "RX_SERDES_RESET": { + "direction": "input", + "bits": [ 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176 ] + }, + "TX_CLK": { + "direction": "input", + "bits": [ 3177 ] + }, + "TX_DATAIN0": { + "direction": "input", + "bits": [ 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305 ] + }, + "TX_DATAIN1": { + "direction": "input", + "bits": [ 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433 ] + }, + "TX_DATAIN2": { + "direction": "input", + "bits": [ 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561 ] + }, + "TX_DATAIN3": { + "direction": "input", + "bits": [ 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689 ] + }, + "TX_ENAIN0": { + "direction": "input", + "bits": [ 3690 ] + }, + "TX_ENAIN1": { + "direction": "input", + "bits": [ 3691 ] + }, + "TX_ENAIN2": { + "direction": "input", + "bits": [ 3692 ] + }, + "TX_ENAIN3": { + "direction": "input", + "bits": [ 3693 ] + }, + "TX_EOPIN0": { + "direction": "input", + "bits": [ 3694 ] + }, + "TX_EOPIN1": { + "direction": "input", + "bits": [ 3695 ] + }, + "TX_EOPIN2": { + "direction": "input", + "bits": [ 3696 ] + }, + "TX_EOPIN3": { + "direction": "input", + "bits": [ 3697 ] + }, + "TX_ERRIN0": { + "direction": "input", + "bits": [ 3698 ] + }, + "TX_ERRIN1": { + "direction": "input", + "bits": [ 3699 ] + }, + "TX_ERRIN2": { + "direction": "input", + "bits": [ 3700 ] + }, + "TX_ERRIN3": { + "direction": "input", + "bits": [ 3701 ] + }, + "TX_MTYIN0": { + "direction": "input", + "bits": [ 3702, 3703, 3704, 3705 ] + }, + "TX_MTYIN1": { + "direction": "input", + "bits": [ 3706, 3707, 3708, 3709 ] + }, + "TX_MTYIN2": { + "direction": "input", + "bits": [ 3710, 3711, 3712, 3713 ] + }, + "TX_MTYIN3": { + "direction": "input", + "bits": [ 3714, 3715, 3716, 3717 ] + }, + "TX_PTP_1588OP_IN": { + "direction": "input", + "bits": [ 3718, 3719 ] + }, + "TX_PTP_CHKSUM_OFFSET_IN": { + "direction": "input", + "bits": [ 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735 ] + }, + "TX_PTP_RXTSTAMP_IN": { + "direction": "input", + "bits": [ 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799 ] + }, + "TX_PTP_TAG_FIELD_IN": { + "direction": "input", + "bits": [ 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815 ] + }, + "TX_PTP_TSTAMP_OFFSET_IN": { + "direction": "input", + "bits": [ 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831 ] + }, + "TX_PTP_UPD_CHKSUM_IN": { + "direction": "input", + "bits": [ 3832 ] + }, + "TX_RESET": { + "direction": "input", + "bits": [ 3833 ] + }, + "TX_SOPIN0": { + "direction": "input", + "bits": [ 3834 ] + }, + "TX_SOPIN1": { + "direction": "input", + "bits": [ 3835 ] + }, + "TX_SOPIN2": { + "direction": "input", + "bits": [ 3836 ] + }, + "TX_SOPIN3": { + "direction": "input", + "bits": [ 3837 ] + } + }, + "cells": { + }, + "netnames": { + "CTL_CAUI4_MODE": { + "hide_name": 0, + "bits": [ 2087 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27414.11-27414.25" + } + }, + "CTL_RX_CHECK_ETYPE_GCP": { + "hide_name": 0, + "bits": [ 2088 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27415.11-27415.33" + } + }, + "CTL_RX_CHECK_ETYPE_GPP": { + "hide_name": 0, + "bits": [ 2089 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27416.11-27416.33" + } + }, + "CTL_RX_CHECK_ETYPE_PCP": { + "hide_name": 0, + "bits": [ 2090 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27417.11-27417.33" + } + }, + "CTL_RX_CHECK_ETYPE_PPP": { + "hide_name": 0, + "bits": [ 2091 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27418.11-27418.33" + } + }, + "CTL_RX_CHECK_MCAST_GCP": { + "hide_name": 0, + "bits": [ 2092 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27419.11-27419.33" + } + }, + "CTL_RX_CHECK_MCAST_GPP": { + "hide_name": 0, + "bits": [ 2093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27420.11-27420.33" + } + }, + "CTL_RX_CHECK_MCAST_PCP": { + "hide_name": 0, + "bits": [ 2094 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27421.11-27421.33" + } + }, + "CTL_RX_CHECK_MCAST_PPP": { + "hide_name": 0, + "bits": [ 2095 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27422.11-27422.33" + } + }, + "CTL_RX_CHECK_OPCODE_GCP": { + "hide_name": 0, + "bits": [ 2096 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27423.11-27423.34" + } + }, + "CTL_RX_CHECK_OPCODE_GPP": { + "hide_name": 0, + "bits": [ 2097 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27424.11-27424.34" + } + }, + "CTL_RX_CHECK_OPCODE_PCP": { + "hide_name": 0, + "bits": [ 2098 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27425.11-27425.34" + } + }, + "CTL_RX_CHECK_OPCODE_PPP": { + "hide_name": 0, + "bits": [ 2099 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27426.11-27426.34" + } + }, + "CTL_RX_CHECK_SA_GCP": { + "hide_name": 0, + "bits": [ 2100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27427.11-27427.30" + } + }, + "CTL_RX_CHECK_SA_GPP": { + "hide_name": 0, + "bits": [ 2101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27428.11-27428.30" + } + }, + "CTL_RX_CHECK_SA_PCP": { + "hide_name": 0, + "bits": [ 2102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27429.11-27429.30" + } + }, + "CTL_RX_CHECK_SA_PPP": { + "hide_name": 0, + "bits": [ 2103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27430.11-27430.30" + } + }, + "CTL_RX_CHECK_UCAST_GCP": { + "hide_name": 0, + "bits": [ 2104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27431.11-27431.33" + } + }, + "CTL_RX_CHECK_UCAST_GPP": { + "hide_name": 0, + "bits": [ 2105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27432.11-27432.33" + } + }, + "CTL_RX_CHECK_UCAST_PCP": { + "hide_name": 0, + "bits": [ 2106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27433.11-27433.33" + } + }, + "CTL_RX_CHECK_UCAST_PPP": { + "hide_name": 0, + "bits": [ 2107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27434.11-27434.33" + } + }, + "CTL_RX_ENABLE": { + "hide_name": 0, + "bits": [ 2108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27435.11-27435.24" + } + }, + "CTL_RX_ENABLE_GCP": { + "hide_name": 0, + "bits": [ 2109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27436.11-27436.28" + } + }, + "CTL_RX_ENABLE_GPP": { + "hide_name": 0, + "bits": [ 2110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27437.11-27437.28" + } + }, + "CTL_RX_ENABLE_PCP": { + "hide_name": 0, + "bits": [ 2111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27438.11-27438.28" + } + }, + "CTL_RX_ENABLE_PPP": { + "hide_name": 0, + "bits": [ 2112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27439.11-27439.28" + } + }, + "CTL_RX_FORCE_RESYNC": { + "hide_name": 0, + "bits": [ 2113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27440.11-27440.30" + } + }, + "CTL_RX_PAUSE_ACK": { + "hide_name": 0, + "bits": [ 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27441.17-27441.33" + } + }, + "CTL_RX_PAUSE_ENABLE": { + "hide_name": 0, + "bits": [ 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27442.17-27442.36" + } + }, + "CTL_RX_SYSTEMTIMERIN": { + "hide_name": 0, + "bits": [ 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27443.18-27443.38" + } + }, + "CTL_RX_TEST_PATTERN": { + "hide_name": 0, + "bits": [ 2212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27444.11-27444.30" + } + }, + "CTL_TX_ENABLE": { + "hide_name": 0, + "bits": [ 2213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27445.11-27445.24" + } + }, + "CTL_TX_LANE0_VLM_BIP7_OVERRIDE": { + "hide_name": 0, + "bits": [ 2214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27446.11-27446.41" + } + }, + "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE": { + "hide_name": 0, + "bits": [ 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27447.17-27447.53" + } + }, + "CTL_TX_PAUSE_ENABLE": { + "hide_name": 0, + "bits": [ 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27448.17-27448.36" + } + }, + "CTL_TX_PAUSE_QUANTA0": { + "hide_name": 0, + "bits": [ 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27449.18-27449.38" + } + }, + "CTL_TX_PAUSE_QUANTA1": { + "hide_name": 0, + "bits": [ 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27450.18-27450.38" + } + }, + "CTL_TX_PAUSE_QUANTA2": { + "hide_name": 0, + "bits": [ 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27451.18-27451.38" + } + }, + "CTL_TX_PAUSE_QUANTA3": { + "hide_name": 0, + "bits": [ 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27452.18-27452.38" + } + }, + "CTL_TX_PAUSE_QUANTA4": { + "hide_name": 0, + "bits": [ 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27453.18-27453.38" + } + }, + "CTL_TX_PAUSE_QUANTA5": { + "hide_name": 0, + "bits": [ 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27454.18-27454.38" + } + }, + "CTL_TX_PAUSE_QUANTA6": { + "hide_name": 0, + "bits": [ 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27455.18-27455.38" + } + }, + "CTL_TX_PAUSE_QUANTA7": { + "hide_name": 0, + "bits": [ 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27456.18-27456.38" + } + }, + "CTL_TX_PAUSE_QUANTA8": { + "hide_name": 0, + "bits": [ 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27457.18-27457.38" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER0": { + "hide_name": 0, + "bits": [ 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27458.18-27458.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER1": { + "hide_name": 0, + "bits": [ 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27459.18-27459.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER2": { + "hide_name": 0, + "bits": [ 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27460.18-27460.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER3": { + "hide_name": 0, + "bits": [ 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27461.18-27461.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER4": { + "hide_name": 0, + "bits": [ 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27462.18-27462.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER5": { + "hide_name": 0, + "bits": [ 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27463.18-27463.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER6": { + "hide_name": 0, + "bits": [ 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27464.18-27464.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER7": { + "hide_name": 0, + "bits": [ 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27465.18-27465.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER8": { + "hide_name": 0, + "bits": [ 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27466.18-27466.45" + } + }, + "CTL_TX_PAUSE_REQ": { + "hide_name": 0, + "bits": [ 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27467.17-27467.33" + } + }, + "CTL_TX_PTP_VLANE_ADJUST_MODE": { + "hide_name": 0, + "bits": [ 2529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27468.11-27468.39" + } + }, + "CTL_TX_RESEND_PAUSE": { + "hide_name": 0, + "bits": [ 2530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27469.11-27469.30" + } + }, + "CTL_TX_SEND_IDLE": { + "hide_name": 0, + "bits": [ 2531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27470.11-27470.27" + } + }, + "CTL_TX_SEND_RFI": { + "hide_name": 0, + "bits": [ 2532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27471.11-27471.26" + } + }, + "CTL_TX_SYSTEMTIMERIN": { + "hide_name": 0, + "bits": [ 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27472.18-27472.38" + } + }, + "CTL_TX_TEST_PATTERN": { + "hide_name": 0, + "bits": [ 2613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27473.11-27473.30" + } + }, + "DRP_ADDR": { + "hide_name": 0, + "bits": [ 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27474.17-27474.25" + } + }, + "DRP_CLK": { + "hide_name": 0, + "bits": [ 2624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27475.11-27475.18" + } + }, + "DRP_DI": { + "hide_name": 0, + "bits": [ 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27476.18-27476.24" + } + }, + "DRP_DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27167.19-27167.25" + } + }, + "DRP_EN": { + "hide_name": 0, + "bits": [ 2641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27477.11-27477.17" + } + }, + "DRP_RDY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27168.12-27168.19" + } + }, + "DRP_WE": { + "hide_name": 0, + "bits": [ 2642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27478.11-27478.17" + } + }, + "RX_CLK": { + "hide_name": 0, + "bits": [ 2643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27479.11-27479.17" + } + }, + "RX_DATAOUT0": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27169.20-27169.31" + } + }, + "RX_DATAOUT1": { + "hide_name": 0, + "bits": [ 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27170.20-27170.31" + } + }, + "RX_DATAOUT2": { + "hide_name": 0, + "bits": [ 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27171.20-27171.31" + } + }, + "RX_DATAOUT3": { + "hide_name": 0, + "bits": [ 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27172.20-27172.31" + } + }, + "RX_ENAOUT0": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27173.12-27173.22" + } + }, + "RX_ENAOUT1": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27174.12-27174.22" + } + }, + "RX_ENAOUT2": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27175.12-27175.22" + } + }, + "RX_ENAOUT3": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27176.12-27176.22" + } + }, + "RX_EOPOUT0": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27177.12-27177.22" + } + }, + "RX_EOPOUT1": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27178.12-27178.22" + } + }, + "RX_EOPOUT2": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27179.12-27179.22" + } + }, + "RX_EOPOUT3": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27180.12-27180.22" + } + }, + "RX_ERROUT0": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27181.12-27181.22" + } + }, + "RX_ERROUT1": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27182.12-27182.22" + } + }, + "RX_ERROUT2": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27183.12-27183.22" + } + }, + "RX_ERROUT3": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27184.12-27184.22" + } + }, + "RX_LANE_ALIGNER_FILL_0": { + "hide_name": 0, + "bits": [ 543, 544, 545, 546, 547, 548, 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27185.18-27185.40" + } + }, + "RX_LANE_ALIGNER_FILL_1": { + "hide_name": 0, + "bits": [ 550, 551, 552, 553, 554, 555, 556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27186.18-27186.40" + } + }, + "RX_LANE_ALIGNER_FILL_10": { + "hide_name": 0, + "bits": [ 557, 558, 559, 560, 561, 562, 563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27187.18-27187.41" + } + }, + "RX_LANE_ALIGNER_FILL_11": { + "hide_name": 0, + "bits": [ 564, 565, 566, 567, 568, 569, 570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27188.18-27188.41" + } + }, + "RX_LANE_ALIGNER_FILL_12": { + "hide_name": 0, + "bits": [ 571, 572, 573, 574, 575, 576, 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27189.18-27189.41" + } + }, + "RX_LANE_ALIGNER_FILL_13": { + "hide_name": 0, + "bits": [ 578, 579, 580, 581, 582, 583, 584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27190.18-27190.41" + } + }, + "RX_LANE_ALIGNER_FILL_14": { + "hide_name": 0, + "bits": [ 585, 586, 587, 588, 589, 590, 591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27191.18-27191.41" + } + }, + "RX_LANE_ALIGNER_FILL_15": { + "hide_name": 0, + "bits": [ 592, 593, 594, 595, 596, 597, 598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27192.18-27192.41" + } + }, + "RX_LANE_ALIGNER_FILL_16": { + "hide_name": 0, + "bits": [ 599, 600, 601, 602, 603, 604, 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27193.18-27193.41" + } + }, + "RX_LANE_ALIGNER_FILL_17": { + "hide_name": 0, + "bits": [ 606, 607, 608, 609, 610, 611, 612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27194.18-27194.41" + } + }, + "RX_LANE_ALIGNER_FILL_18": { + "hide_name": 0, + "bits": [ 613, 614, 615, 616, 617, 618, 619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27195.18-27195.41" + } + }, + "RX_LANE_ALIGNER_FILL_19": { + "hide_name": 0, + "bits": [ 620, 621, 622, 623, 624, 625, 626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27196.18-27196.41" + } + }, + "RX_LANE_ALIGNER_FILL_2": { + "hide_name": 0, + "bits": [ 627, 628, 629, 630, 631, 632, 633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27197.18-27197.40" + } + }, + "RX_LANE_ALIGNER_FILL_3": { + "hide_name": 0, + "bits": [ 634, 635, 636, 637, 638, 639, 640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27198.18-27198.40" + } + }, + "RX_LANE_ALIGNER_FILL_4": { + "hide_name": 0, + "bits": [ 641, 642, 643, 644, 645, 646, 647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27199.18-27199.40" + } + }, + "RX_LANE_ALIGNER_FILL_5": { + "hide_name": 0, + "bits": [ 648, 649, 650, 651, 652, 653, 654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27200.18-27200.40" + } + }, + "RX_LANE_ALIGNER_FILL_6": { + "hide_name": 0, + "bits": [ 655, 656, 657, 658, 659, 660, 661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27201.18-27201.40" + } + }, + "RX_LANE_ALIGNER_FILL_7": { + "hide_name": 0, + "bits": [ 662, 663, 664, 665, 666, 667, 668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27202.18-27202.40" + } + }, + "RX_LANE_ALIGNER_FILL_8": { + "hide_name": 0, + "bits": [ 669, 670, 671, 672, 673, 674, 675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27203.18-27203.40" + } + }, + "RX_LANE_ALIGNER_FILL_9": { + "hide_name": 0, + "bits": [ 676, 677, 678, 679, 680, 681, 682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27204.18-27204.40" + } + }, + "RX_MTYOUT0": { + "hide_name": 0, + "bits": [ 683, 684, 685, 686 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27205.18-27205.28" + } + }, + "RX_MTYOUT1": { + "hide_name": 0, + "bits": [ 687, 688, 689, 690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27206.18-27206.28" + } + }, + "RX_MTYOUT2": { + "hide_name": 0, + "bits": [ 691, 692, 693, 694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27207.18-27207.28" + } + }, + "RX_MTYOUT3": { + "hide_name": 0, + "bits": [ 695, 696, 697, 698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27208.18-27208.28" + } + }, + "RX_PTP_PCSLANE_OUT": { + "hide_name": 0, + "bits": [ 699, 700, 701, 702, 703 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27209.18-27209.36" + } + }, + "RX_PTP_TSTAMP_OUT": { + "hide_name": 0, + "bits": [ 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27210.19-27210.36" + } + }, + "RX_RESET": { + "hide_name": 0, + "bits": [ 2644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27480.11-27480.19" + } + }, + "RX_SERDES_ALT_DATA0": { + "hide_name": 0, + "bits": [ 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27481.18-27481.37" + } + }, + "RX_SERDES_ALT_DATA1": { + "hide_name": 0, + "bits": [ 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27482.18-27482.37" + } + }, + "RX_SERDES_ALT_DATA2": { + "hide_name": 0, + "bits": [ 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27483.18-27483.37" + } + }, + "RX_SERDES_ALT_DATA3": { + "hide_name": 0, + "bits": [ 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27484.18-27484.37" + } + }, + "RX_SERDES_CLK": { + "hide_name": 0, + "bits": [ 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27485.17-27485.30" + } + }, + "RX_SERDES_DATA0": { + "hide_name": 0, + "bits": [ 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27486.18-27486.33" + } + }, + "RX_SERDES_DATA1": { + "hide_name": 0, + "bits": [ 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27487.18-27487.33" + } + }, + "RX_SERDES_DATA2": { + "hide_name": 0, + "bits": [ 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27488.18-27488.33" + } + }, + "RX_SERDES_DATA3": { + "hide_name": 0, + "bits": [ 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27489.18-27489.33" + } + }, + "RX_SERDES_DATA4": { + "hide_name": 0, + "bits": [ 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27490.18-27490.33" + } + }, + "RX_SERDES_DATA5": { + "hide_name": 0, + "bits": [ 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27491.18-27491.33" + } + }, + "RX_SERDES_DATA6": { + "hide_name": 0, + "bits": [ 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27492.18-27492.33" + } + }, + "RX_SERDES_DATA7": { + "hide_name": 0, + "bits": [ 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27493.18-27493.33" + } + }, + "RX_SERDES_DATA8": { + "hide_name": 0, + "bits": [ 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27494.18-27494.33" + } + }, + "RX_SERDES_DATA9": { + "hide_name": 0, + "bits": [ 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27495.18-27495.33" + } + }, + "RX_SERDES_RESET": { + "hide_name": 0, + "bits": [ 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27496.17-27496.32" + } + }, + "RX_SOPOUT0": { + "hide_name": 0, + "bits": [ 784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27211.12-27211.22" + } + }, + "RX_SOPOUT1": { + "hide_name": 0, + "bits": [ 785 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27212.12-27212.22" + } + }, + "RX_SOPOUT2": { + "hide_name": 0, + "bits": [ 786 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27213.12-27213.22" + } + }, + "RX_SOPOUT3": { + "hide_name": 0, + "bits": [ 787 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27214.12-27214.22" + } + }, + "STAT_RX_ALIGNED": { + "hide_name": 0, + "bits": [ 788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27215.12-27215.27" + } + }, + "STAT_RX_ALIGNED_ERR": { + "hide_name": 0, + "bits": [ 789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27216.12-27216.31" + } + }, + "STAT_RX_BAD_CODE": { + "hide_name": 0, + "bits": [ 790, 791, 792, 793, 794, 795, 796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27217.18-27217.34" + } + }, + "STAT_RX_BAD_FCS": { + "hide_name": 0, + "bits": [ 797, 798, 799, 800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27218.18-27218.33" + } + }, + "STAT_RX_BAD_PREAMBLE": { + "hide_name": 0, + "bits": [ 801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27219.12-27219.32" + } + }, + "STAT_RX_BAD_SFD": { + "hide_name": 0, + "bits": [ 802 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27220.12-27220.27" + } + }, + "STAT_RX_BIP_ERR_0": { + "hide_name": 0, + "bits": [ 803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27221.12-27221.29" + } + }, + "STAT_RX_BIP_ERR_1": { + "hide_name": 0, + "bits": [ 804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27222.12-27222.29" + } + }, + "STAT_RX_BIP_ERR_10": { + "hide_name": 0, + "bits": [ 805 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27223.12-27223.30" + } + }, + "STAT_RX_BIP_ERR_11": { + "hide_name": 0, + "bits": [ 806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27224.12-27224.30" + } + }, + "STAT_RX_BIP_ERR_12": { + "hide_name": 0, + "bits": [ 807 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27225.12-27225.30" + } + }, + "STAT_RX_BIP_ERR_13": { + "hide_name": 0, + "bits": [ 808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27226.12-27226.30" + } + }, + "STAT_RX_BIP_ERR_14": { + "hide_name": 0, + "bits": [ 809 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27227.12-27227.30" + } + }, + "STAT_RX_BIP_ERR_15": { + "hide_name": 0, + "bits": [ 810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27228.12-27228.30" + } + }, + "STAT_RX_BIP_ERR_16": { + "hide_name": 0, + "bits": [ 811 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27229.12-27229.30" + } + }, + "STAT_RX_BIP_ERR_17": { + "hide_name": 0, + "bits": [ 812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27230.12-27230.30" + } + }, + "STAT_RX_BIP_ERR_18": { + "hide_name": 0, + "bits": [ 813 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27231.12-27231.30" + } + }, + "STAT_RX_BIP_ERR_19": { + "hide_name": 0, + "bits": [ 814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27232.12-27232.30" + } + }, + "STAT_RX_BIP_ERR_2": { + "hide_name": 0, + "bits": [ 815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27233.12-27233.29" + } + }, + "STAT_RX_BIP_ERR_3": { + "hide_name": 0, + "bits": [ 816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27234.12-27234.29" + } + }, + "STAT_RX_BIP_ERR_4": { + "hide_name": 0, + "bits": [ 817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27235.12-27235.29" + } + }, + "STAT_RX_BIP_ERR_5": { + "hide_name": 0, + "bits": [ 818 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27236.12-27236.29" + } + }, + "STAT_RX_BIP_ERR_6": { + "hide_name": 0, + "bits": [ 819 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27237.12-27237.29" + } + }, + "STAT_RX_BIP_ERR_7": { + "hide_name": 0, + "bits": [ 820 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27238.12-27238.29" + } + }, + "STAT_RX_BIP_ERR_8": { + "hide_name": 0, + "bits": [ 821 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27239.12-27239.29" + } + }, + "STAT_RX_BIP_ERR_9": { + "hide_name": 0, + "bits": [ 822 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27240.12-27240.29" + } + }, + "STAT_RX_BLOCK_LOCK": { + "hide_name": 0, + "bits": [ 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27241.19-27241.37" + } + }, + "STAT_RX_BROADCAST": { + "hide_name": 0, + "bits": [ 843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27242.12-27242.29" + } + }, + "STAT_RX_FRAGMENT": { + "hide_name": 0, + "bits": [ 844, 845, 846, 847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27243.18-27243.34" + } + }, + "STAT_RX_FRAMING_ERR_0": { + "hide_name": 0, + "bits": [ 848, 849, 850, 851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27244.18-27244.39" + } + }, + "STAT_RX_FRAMING_ERR_1": { + "hide_name": 0, + "bits": [ 852, 853, 854, 855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27245.18-27245.39" + } + }, + "STAT_RX_FRAMING_ERR_10": { + "hide_name": 0, + "bits": [ 856, 857, 858, 859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27246.18-27246.40" + } + }, + "STAT_RX_FRAMING_ERR_11": { + "hide_name": 0, + "bits": [ 860, 861, 862, 863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27247.18-27247.40" + } + }, + "STAT_RX_FRAMING_ERR_12": { + "hide_name": 0, + "bits": [ 864, 865, 866, 867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27248.18-27248.40" + } + }, + "STAT_RX_FRAMING_ERR_13": { + "hide_name": 0, + "bits": [ 868, 869, 870, 871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27249.18-27249.40" + } + }, + "STAT_RX_FRAMING_ERR_14": { + "hide_name": 0, + "bits": [ 872, 873, 874, 875 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27250.18-27250.40" + } + }, + "STAT_RX_FRAMING_ERR_15": { + "hide_name": 0, + "bits": [ 876, 877, 878, 879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27251.18-27251.40" + } + }, + "STAT_RX_FRAMING_ERR_16": { + "hide_name": 0, + "bits": [ 880, 881, 882, 883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27252.18-27252.40" + } + }, + "STAT_RX_FRAMING_ERR_17": { + "hide_name": 0, + "bits": [ 884, 885, 886, 887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27253.18-27253.40" + } + }, + "STAT_RX_FRAMING_ERR_18": { + "hide_name": 0, + "bits": [ 888, 889, 890, 891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27254.18-27254.40" + } + }, + "STAT_RX_FRAMING_ERR_19": { + "hide_name": 0, + "bits": [ 892, 893, 894, 895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27255.18-27255.40" + } + }, + "STAT_RX_FRAMING_ERR_2": { + "hide_name": 0, + "bits": [ 896, 897, 898, 899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27256.18-27256.39" + } + }, + "STAT_RX_FRAMING_ERR_3": { + "hide_name": 0, + "bits": [ 900, 901, 902, 903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27257.18-27257.39" + } + }, + "STAT_RX_FRAMING_ERR_4": { + "hide_name": 0, + "bits": [ 904, 905, 906, 907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27258.18-27258.39" + } + }, + "STAT_RX_FRAMING_ERR_5": { + "hide_name": 0, + "bits": [ 908, 909, 910, 911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27259.18-27259.39" + } + }, + "STAT_RX_FRAMING_ERR_6": { + "hide_name": 0, + "bits": [ 912, 913, 914, 915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27260.18-27260.39" + } + }, + "STAT_RX_FRAMING_ERR_7": { + "hide_name": 0, + "bits": [ 916, 917, 918, 919 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27261.18-27261.39" + } + }, + "STAT_RX_FRAMING_ERR_8": { + "hide_name": 0, + "bits": [ 920, 921, 922, 923 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27262.18-27262.39" + } + }, + "STAT_RX_FRAMING_ERR_9": { + "hide_name": 0, + "bits": [ 924, 925, 926, 927 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27263.18-27263.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_0": { + "hide_name": 0, + "bits": [ 928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27264.12-27264.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_1": { + "hide_name": 0, + "bits": [ 929 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27265.12-27265.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_10": { + "hide_name": 0, + "bits": [ 930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27266.12-27266.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_11": { + "hide_name": 0, + "bits": [ 931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27267.12-27267.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_12": { + "hide_name": 0, + "bits": [ 932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27268.12-27268.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_13": { + "hide_name": 0, + "bits": [ 933 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27269.12-27269.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_14": { + "hide_name": 0, + "bits": [ 934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27270.12-27270.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_15": { + "hide_name": 0, + "bits": [ 935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27271.12-27271.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_16": { + "hide_name": 0, + "bits": [ 936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27272.12-27272.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_17": { + "hide_name": 0, + "bits": [ 937 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27273.12-27273.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_18": { + "hide_name": 0, + "bits": [ 938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27274.12-27274.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_19": { + "hide_name": 0, + "bits": [ 939 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27275.12-27275.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_2": { + "hide_name": 0, + "bits": [ 940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27276.12-27276.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_3": { + "hide_name": 0, + "bits": [ 941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27277.12-27277.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_4": { + "hide_name": 0, + "bits": [ 942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27278.12-27278.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_5": { + "hide_name": 0, + "bits": [ 943 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27279.12-27279.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_6": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27280.12-27280.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_7": { + "hide_name": 0, + "bits": [ 945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27281.12-27281.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_8": { + "hide_name": 0, + "bits": [ 946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27282.12-27282.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_9": { + "hide_name": 0, + "bits": [ 947 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27283.12-27283.39" + } + }, + "STAT_RX_GOT_SIGNAL_OS": { + "hide_name": 0, + "bits": [ 948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27284.12-27284.33" + } + }, + "STAT_RX_HI_BER": { + "hide_name": 0, + "bits": [ 949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27285.12-27285.26" + } + }, + "STAT_RX_INRANGEERR": { + "hide_name": 0, + "bits": [ 950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27286.12-27286.30" + } + }, + "STAT_RX_INTERNAL_LOCAL_FAULT": { + "hide_name": 0, + "bits": [ 951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27287.12-27287.40" + } + }, + "STAT_RX_JABBER": { + "hide_name": 0, + "bits": [ 952 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27288.12-27288.26" + } + }, + "STAT_RX_LANE0_VLM_BIP7": { + "hide_name": 0, + "bits": [ 953, 954, 955, 956, 957, 958, 959, 960 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27289.18-27289.40" + } + }, + "STAT_RX_LANE0_VLM_BIP7_VALID": { + "hide_name": 0, + "bits": [ 961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27290.12-27290.40" + } + }, + "STAT_RX_LOCAL_FAULT": { + "hide_name": 0, + "bits": [ 962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27291.12-27291.31" + } + }, + "STAT_RX_MF_ERR": { + "hide_name": 0, + "bits": [ 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27292.19-27292.33" + } + }, + "STAT_RX_MF_LEN_ERR": { + "hide_name": 0, + "bits": [ 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27293.19-27293.37" + } + }, + "STAT_RX_MF_REPEAT_ERR": { + "hide_name": 0, + "bits": [ 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27294.19-27294.40" + } + }, + "STAT_RX_MISALIGNED": { + "hide_name": 0, + "bits": [ 1023 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27295.12-27295.30" + } + }, + "STAT_RX_MULTICAST": { + "hide_name": 0, + "bits": [ 1024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27296.12-27296.29" + } + }, + "STAT_RX_OVERSIZE": { + "hide_name": 0, + "bits": [ 1025 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27297.12-27297.28" + } + }, + "STAT_RX_PACKET_1024_1518_BYTES": { + "hide_name": 0, + "bits": [ 1026 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27298.12-27298.42" + } + }, + "STAT_RX_PACKET_128_255_BYTES": { + "hide_name": 0, + "bits": [ 1027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27299.12-27299.40" + } + }, + "STAT_RX_PACKET_1519_1522_BYTES": { + "hide_name": 0, + "bits": [ 1028 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27300.12-27300.42" + } + }, + "STAT_RX_PACKET_1523_1548_BYTES": { + "hide_name": 0, + "bits": [ 1029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27301.12-27301.42" + } + }, + "STAT_RX_PACKET_1549_2047_BYTES": { + "hide_name": 0, + "bits": [ 1030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27302.12-27302.42" + } + }, + "STAT_RX_PACKET_2048_4095_BYTES": { + "hide_name": 0, + "bits": [ 1031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27303.12-27303.42" + } + }, + "STAT_RX_PACKET_256_511_BYTES": { + "hide_name": 0, + "bits": [ 1032 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27304.12-27304.40" + } + }, + "STAT_RX_PACKET_4096_8191_BYTES": { + "hide_name": 0, + "bits": [ 1033 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27305.12-27305.42" + } + }, + "STAT_RX_PACKET_512_1023_BYTES": { + "hide_name": 0, + "bits": [ 1034 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27306.12-27306.41" + } + }, + "STAT_RX_PACKET_64_BYTES": { + "hide_name": 0, + "bits": [ 1035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27307.12-27307.35" + } + }, + "STAT_RX_PACKET_65_127_BYTES": { + "hide_name": 0, + "bits": [ 1036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27308.12-27308.39" + } + }, + "STAT_RX_PACKET_8192_9215_BYTES": { + "hide_name": 0, + "bits": [ 1037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27309.12-27309.42" + } + }, + "STAT_RX_PACKET_BAD_FCS": { + "hide_name": 0, + "bits": [ 1038 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27310.12-27310.34" + } + }, + "STAT_RX_PACKET_LARGE": { + "hide_name": 0, + "bits": [ 1039 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27311.12-27311.32" + } + }, + "STAT_RX_PACKET_SMALL": { + "hide_name": 0, + "bits": [ 1040, 1041, 1042, 1043 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27312.18-27312.38" + } + }, + "STAT_RX_PAUSE": { + "hide_name": 0, + "bits": [ 1044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27313.12-27313.25" + } + }, + "STAT_RX_PAUSE_QUANTA0": { + "hide_name": 0, + "bits": [ 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27314.19-27314.40" + } + }, + "STAT_RX_PAUSE_QUANTA1": { + "hide_name": 0, + "bits": [ 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27315.19-27315.40" + } + }, + "STAT_RX_PAUSE_QUANTA2": { + "hide_name": 0, + "bits": [ 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27316.19-27316.40" + } + }, + "STAT_RX_PAUSE_QUANTA3": { + "hide_name": 0, + "bits": [ 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27317.19-27317.40" + } + }, + "STAT_RX_PAUSE_QUANTA4": { + "hide_name": 0, + "bits": [ 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27318.19-27318.40" + } + }, + "STAT_RX_PAUSE_QUANTA5": { + "hide_name": 0, + "bits": [ 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27319.19-27319.40" + } + }, + "STAT_RX_PAUSE_QUANTA6": { + "hide_name": 0, + "bits": [ 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27320.19-27320.40" + } + }, + "STAT_RX_PAUSE_QUANTA7": { + "hide_name": 0, + "bits": [ 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27321.19-27321.40" + } + }, + "STAT_RX_PAUSE_QUANTA8": { + "hide_name": 0, + "bits": [ 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27322.19-27322.40" + } + }, + "STAT_RX_PAUSE_REQ": { + "hide_name": 0, + "bits": [ 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27323.18-27323.35" + } + }, + "STAT_RX_PAUSE_VALID": { + "hide_name": 0, + "bits": [ 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27324.18-27324.37" + } + }, + "STAT_RX_RECEIVED_LOCAL_FAULT": { + "hide_name": 0, + "bits": [ 1207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27325.12-27325.40" + } + }, + "STAT_RX_REMOTE_FAULT": { + "hide_name": 0, + "bits": [ 1208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27326.12-27326.32" + } + }, + "STAT_RX_STATUS": { + "hide_name": 0, + "bits": [ 1209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27327.12-27327.26" + } + }, + "STAT_RX_STOMPED_FCS": { + "hide_name": 0, + "bits": [ 1210, 1211, 1212, 1213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27328.18-27328.37" + } + }, + "STAT_RX_SYNCED": { + "hide_name": 0, + "bits": [ 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27329.19-27329.33" + } + }, + "STAT_RX_SYNCED_ERR": { + "hide_name": 0, + "bits": [ 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27330.19-27330.37" + } + }, + "STAT_RX_TEST_PATTERN_MISMATCH": { + "hide_name": 0, + "bits": [ 1254, 1255, 1256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27331.18-27331.47" + } + }, + "STAT_RX_TOOLONG": { + "hide_name": 0, + "bits": [ 1257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27332.12-27332.27" + } + }, + "STAT_RX_TOTAL_BYTES": { + "hide_name": 0, + "bits": [ 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27333.18-27333.37" + } + }, + "STAT_RX_TOTAL_GOOD_BYTES": { + "hide_name": 0, + "bits": [ 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27334.19-27334.43" + } + }, + "STAT_RX_TOTAL_GOOD_PACKETS": { + "hide_name": 0, + "bits": [ 1280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27335.12-27335.38" + } + }, + "STAT_RX_TOTAL_PACKETS": { + "hide_name": 0, + "bits": [ 1281, 1282, 1283, 1284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27336.18-27336.39" + } + }, + "STAT_RX_TRUNCATED": { + "hide_name": 0, + "bits": [ 1285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27337.12-27337.29" + } + }, + "STAT_RX_UNDERSIZE": { + "hide_name": 0, + "bits": [ 1286, 1287, 1288, 1289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27338.18-27338.35" + } + }, + "STAT_RX_UNICAST": { + "hide_name": 0, + "bits": [ 1290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27339.12-27339.27" + } + }, + "STAT_RX_USER_PAUSE": { + "hide_name": 0, + "bits": [ 1291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27340.12-27340.30" + } + }, + "STAT_RX_VLAN": { + "hide_name": 0, + "bits": [ 1292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27341.12-27341.24" + } + }, + "STAT_RX_VL_DEMUXED": { + "hide_name": 0, + "bits": [ 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27342.19-27342.37" + } + }, + "STAT_RX_VL_NUMBER_0": { + "hide_name": 0, + "bits": [ 1313, 1314, 1315, 1316, 1317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27343.18-27343.37" + } + }, + "STAT_RX_VL_NUMBER_1": { + "hide_name": 0, + "bits": [ 1318, 1319, 1320, 1321, 1322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27344.18-27344.37" + } + }, + "STAT_RX_VL_NUMBER_10": { + "hide_name": 0, + "bits": [ 1323, 1324, 1325, 1326, 1327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27345.18-27345.38" + } + }, + "STAT_RX_VL_NUMBER_11": { + "hide_name": 0, + "bits": [ 1328, 1329, 1330, 1331, 1332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27346.18-27346.38" + } + }, + "STAT_RX_VL_NUMBER_12": { + "hide_name": 0, + "bits": [ 1333, 1334, 1335, 1336, 1337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27347.18-27347.38" + } + }, + "STAT_RX_VL_NUMBER_13": { + "hide_name": 0, + "bits": [ 1338, 1339, 1340, 1341, 1342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27348.18-27348.38" + } + }, + "STAT_RX_VL_NUMBER_14": { + "hide_name": 0, + "bits": [ 1343, 1344, 1345, 1346, 1347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27349.18-27349.38" + } + }, + "STAT_RX_VL_NUMBER_15": { + "hide_name": 0, + "bits": [ 1348, 1349, 1350, 1351, 1352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27350.18-27350.38" + } + }, + "STAT_RX_VL_NUMBER_16": { + "hide_name": 0, + "bits": [ 1353, 1354, 1355, 1356, 1357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27351.18-27351.38" + } + }, + "STAT_RX_VL_NUMBER_17": { + "hide_name": 0, + "bits": [ 1358, 1359, 1360, 1361, 1362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27352.18-27352.38" + } + }, + "STAT_RX_VL_NUMBER_18": { + "hide_name": 0, + "bits": [ 1363, 1364, 1365, 1366, 1367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27353.18-27353.38" + } + }, + "STAT_RX_VL_NUMBER_19": { + "hide_name": 0, + "bits": [ 1368, 1369, 1370, 1371, 1372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27354.18-27354.38" + } + }, + "STAT_RX_VL_NUMBER_2": { + "hide_name": 0, + "bits": [ 1373, 1374, 1375, 1376, 1377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27355.18-27355.37" + } + }, + "STAT_RX_VL_NUMBER_3": { + "hide_name": 0, + "bits": [ 1378, 1379, 1380, 1381, 1382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27356.18-27356.37" + } + }, + "STAT_RX_VL_NUMBER_4": { + "hide_name": 0, + "bits": [ 1383, 1384, 1385, 1386, 1387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27357.18-27357.37" + } + }, + "STAT_RX_VL_NUMBER_5": { + "hide_name": 0, + "bits": [ 1388, 1389, 1390, 1391, 1392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27358.18-27358.37" + } + }, + "STAT_RX_VL_NUMBER_6": { + "hide_name": 0, + "bits": [ 1393, 1394, 1395, 1396, 1397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27359.18-27359.37" + } + }, + "STAT_RX_VL_NUMBER_7": { + "hide_name": 0, + "bits": [ 1398, 1399, 1400, 1401, 1402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27360.18-27360.37" + } + }, + "STAT_RX_VL_NUMBER_8": { + "hide_name": 0, + "bits": [ 1403, 1404, 1405, 1406, 1407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27361.18-27361.37" + } + }, + "STAT_RX_VL_NUMBER_9": { + "hide_name": 0, + "bits": [ 1408, 1409, 1410, 1411, 1412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27362.18-27362.37" + } + }, + "STAT_TX_BAD_FCS": { + "hide_name": 0, + "bits": [ 1413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27363.12-27363.27" + } + }, + "STAT_TX_BROADCAST": { + "hide_name": 0, + "bits": [ 1414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27364.12-27364.29" + } + }, + "STAT_TX_FRAME_ERROR": { + "hide_name": 0, + "bits": [ 1415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27365.12-27365.31" + } + }, + "STAT_TX_LOCAL_FAULT": { + "hide_name": 0, + "bits": [ 1416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27366.12-27366.31" + } + }, + "STAT_TX_MULTICAST": { + "hide_name": 0, + "bits": [ 1417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27367.12-27367.29" + } + }, + "STAT_TX_PACKET_1024_1518_BYTES": { + "hide_name": 0, + "bits": [ 1418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27368.12-27368.42" + } + }, + "STAT_TX_PACKET_128_255_BYTES": { + "hide_name": 0, + "bits": [ 1419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27369.12-27369.40" + } + }, + "STAT_TX_PACKET_1519_1522_BYTES": { + "hide_name": 0, + "bits": [ 1420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27370.12-27370.42" + } + }, + "STAT_TX_PACKET_1523_1548_BYTES": { + "hide_name": 0, + "bits": [ 1421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27371.12-27371.42" + } + }, + "STAT_TX_PACKET_1549_2047_BYTES": { + "hide_name": 0, + "bits": [ 1422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27372.12-27372.42" + } + }, + "STAT_TX_PACKET_2048_4095_BYTES": { + "hide_name": 0, + "bits": [ 1423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27373.12-27373.42" + } + }, + "STAT_TX_PACKET_256_511_BYTES": { + "hide_name": 0, + "bits": [ 1424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27374.12-27374.40" + } + }, + "STAT_TX_PACKET_4096_8191_BYTES": { + "hide_name": 0, + "bits": [ 1425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27375.12-27375.42" + } + }, + "STAT_TX_PACKET_512_1023_BYTES": { + "hide_name": 0, + "bits": [ 1426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27376.12-27376.41" + } + }, + "STAT_TX_PACKET_64_BYTES": { + "hide_name": 0, + "bits": [ 1427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27377.12-27377.35" + } + }, + "STAT_TX_PACKET_65_127_BYTES": { + "hide_name": 0, + "bits": [ 1428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27378.12-27378.39" + } + }, + "STAT_TX_PACKET_8192_9215_BYTES": { + "hide_name": 0, + "bits": [ 1429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27379.12-27379.42" + } + }, + "STAT_TX_PACKET_LARGE": { + "hide_name": 0, + "bits": [ 1430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27380.12-27380.32" + } + }, + "STAT_TX_PACKET_SMALL": { + "hide_name": 0, + "bits": [ 1431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27381.12-27381.32" + } + }, + "STAT_TX_PAUSE": { + "hide_name": 0, + "bits": [ 1432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27382.12-27382.25" + } + }, + "STAT_TX_PAUSE_VALID": { + "hide_name": 0, + "bits": [ 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27383.18-27383.37" + } + }, + "STAT_TX_PTP_FIFO_READ_ERROR": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27384.12-27384.39" + } + }, + "STAT_TX_PTP_FIFO_WRITE_ERROR": { + "hide_name": 0, + "bits": [ 1443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27385.12-27385.40" + } + }, + "STAT_TX_TOTAL_BYTES": { + "hide_name": 0, + "bits": [ 1444, 1445, 1446, 1447, 1448, 1449, 1450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27386.18-27386.37" + } + }, + "STAT_TX_TOTAL_GOOD_BYTES": { + "hide_name": 0, + "bits": [ 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27387.19-27387.43" + } + }, + "STAT_TX_TOTAL_GOOD_PACKETS": { + "hide_name": 0, + "bits": [ 1465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27388.12-27388.38" + } + }, + "STAT_TX_TOTAL_PACKETS": { + "hide_name": 0, + "bits": [ 1466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27389.12-27389.33" + } + }, + "STAT_TX_UNICAST": { + "hide_name": 0, + "bits": [ 1467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27390.12-27390.27" + } + }, + "STAT_TX_USER_PAUSE": { + "hide_name": 0, + "bits": [ 1468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27391.12-27391.30" + } + }, + "STAT_TX_VLAN": { + "hide_name": 0, + "bits": [ 1469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27392.12-27392.24" + } + }, + "TX_CLK": { + "hide_name": 0, + "bits": [ 3177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27497.11-27497.17" + } + }, + "TX_DATAIN0": { + "hide_name": 0, + "bits": [ 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27498.19-27498.29" + } + }, + "TX_DATAIN1": { + "hide_name": 0, + "bits": [ 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27499.19-27499.29" + } + }, + "TX_DATAIN2": { + "hide_name": 0, + "bits": [ 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27500.19-27500.29" + } + }, + "TX_DATAIN3": { + "hide_name": 0, + "bits": [ 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27501.19-27501.29" + } + }, + "TX_ENAIN0": { + "hide_name": 0, + "bits": [ 3690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27502.11-27502.20" + } + }, + "TX_ENAIN1": { + "hide_name": 0, + "bits": [ 3691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27503.11-27503.20" + } + }, + "TX_ENAIN2": { + "hide_name": 0, + "bits": [ 3692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27504.11-27504.20" + } + }, + "TX_ENAIN3": { + "hide_name": 0, + "bits": [ 3693 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27505.11-27505.20" + } + }, + "TX_EOPIN0": { + "hide_name": 0, + "bits": [ 3694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27506.11-27506.20" + } + }, + "TX_EOPIN1": { + "hide_name": 0, + "bits": [ 3695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27507.11-27507.20" + } + }, + "TX_EOPIN2": { + "hide_name": 0, + "bits": [ 3696 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27508.11-27508.20" + } + }, + "TX_EOPIN3": { + "hide_name": 0, + "bits": [ 3697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27509.11-27509.20" + } + }, + "TX_ERRIN0": { + "hide_name": 0, + "bits": [ 3698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27510.11-27510.20" + } + }, + "TX_ERRIN1": { + "hide_name": 0, + "bits": [ 3699 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27511.11-27511.20" + } + }, + "TX_ERRIN2": { + "hide_name": 0, + "bits": [ 3700 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27512.11-27512.20" + } + }, + "TX_ERRIN3": { + "hide_name": 0, + "bits": [ 3701 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27513.11-27513.20" + } + }, + "TX_MTYIN0": { + "hide_name": 0, + "bits": [ 3702, 3703, 3704, 3705 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27514.17-27514.26" + } + }, + "TX_MTYIN1": { + "hide_name": 0, + "bits": [ 3706, 3707, 3708, 3709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27515.17-27515.26" + } + }, + "TX_MTYIN2": { + "hide_name": 0, + "bits": [ 3710, 3711, 3712, 3713 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27516.17-27516.26" + } + }, + "TX_MTYIN3": { + "hide_name": 0, + "bits": [ 3714, 3715, 3716, 3717 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27517.17-27517.26" + } + }, + "TX_OVFOUT": { + "hide_name": 0, + "bits": [ 1470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27393.12-27393.21" + } + }, + "TX_PTP_1588OP_IN": { + "hide_name": 0, + "bits": [ 3718, 3719 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27518.17-27518.33" + } + }, + "TX_PTP_CHKSUM_OFFSET_IN": { + "hide_name": 0, + "bits": [ 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27519.18-27519.41" + } + }, + "TX_PTP_PCSLANE_OUT": { + "hide_name": 0, + "bits": [ 1471, 1472, 1473, 1474, 1475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27394.18-27394.36" + } + }, + "TX_PTP_RXTSTAMP_IN": { + "hide_name": 0, + "bits": [ 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27520.18-27520.36" + } + }, + "TX_PTP_TAG_FIELD_IN": { + "hide_name": 0, + "bits": [ 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27521.18-27521.37" + } + }, + "TX_PTP_TSTAMP_OFFSET_IN": { + "hide_name": 0, + "bits": [ 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27522.18-27522.41" + } + }, + "TX_PTP_TSTAMP_OUT": { + "hide_name": 0, + "bits": [ 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27395.19-27395.36" + } + }, + "TX_PTP_TSTAMP_TAG_OUT": { + "hide_name": 0, + "bits": [ 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27396.19-27396.40" + } + }, + "TX_PTP_TSTAMP_VALID_OUT": { + "hide_name": 0, + "bits": [ 1572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27397.12-27397.35" + } + }, + "TX_PTP_UPD_CHKSUM_IN": { + "hide_name": 0, + "bits": [ 3832 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27523.11-27523.31" + } + }, + "TX_RDYOUT": { + "hide_name": 0, + "bits": [ 1573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27398.12-27398.21" + } + }, + "TX_RESET": { + "hide_name": 0, + "bits": [ 3833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27524.11-27524.19" + } + }, + "TX_SERDES_ALT_DATA0": { + "hide_name": 0, + "bits": [ 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27399.19-27399.38" + } + }, + "TX_SERDES_ALT_DATA1": { + "hide_name": 0, + "bits": [ 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27400.19-27400.38" + } + }, + "TX_SERDES_ALT_DATA2": { + "hide_name": 0, + "bits": [ 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27401.19-27401.38" + } + }, + "TX_SERDES_ALT_DATA3": { + "hide_name": 0, + "bits": [ 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27402.19-27402.38" + } + }, + "TX_SERDES_DATA0": { + "hide_name": 0, + "bits": [ 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27403.19-27403.34" + } + }, + "TX_SERDES_DATA1": { + "hide_name": 0, + "bits": [ 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27404.19-27404.34" + } + }, + "TX_SERDES_DATA2": { + "hide_name": 0, + "bits": [ 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27405.19-27405.34" + } + }, + "TX_SERDES_DATA3": { + "hide_name": 0, + "bits": [ 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27406.19-27406.34" + } + }, + "TX_SERDES_DATA4": { + "hide_name": 0, + "bits": [ 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27407.19-27407.34" + } + }, + "TX_SERDES_DATA5": { + "hide_name": 0, + "bits": [ 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27408.19-27408.34" + } + }, + "TX_SERDES_DATA6": { + "hide_name": 0, + "bits": [ 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27409.19-27409.34" + } + }, + "TX_SERDES_DATA7": { + "hide_name": 0, + "bits": [ 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27410.19-27410.34" + } + }, + "TX_SERDES_DATA8": { + "hide_name": 0, + "bits": [ 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27411.19-27411.34" + } + }, + "TX_SERDES_DATA9": { + "hide_name": 0, + "bits": [ 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27412.19-27412.34" + } + }, + "TX_SOPIN0": { + "hide_name": 0, + "bits": [ 3834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27525.11-27525.20" + } + }, + "TX_SOPIN1": { + "hide_name": 0, + "bits": [ 3835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27526.11-27526.20" + } + }, + "TX_SOPIN2": { + "hide_name": 0, + "bits": [ 3836 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27527.11-27527.20" + } + }, + "TX_SOPIN3": { + "hide_name": 0, + "bits": [ 3837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27528.11-27528.20" + } + }, + "TX_UNFOUT": { + "hide_name": 0, + "bits": [ 2086 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27413.12-27413.21" + } + } + } + }, + "CMACE4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27531.1-28029.10" + }, + "parameter_default_values": { + "CTL_PTP_TRANSPCLK_MODE": "FALSE", + "CTL_RX_CHECK_ACK": "TRUE", + "CTL_RX_CHECK_PREAMBLE": "FALSE", + "CTL_RX_CHECK_SFD": "FALSE", + "CTL_RX_DELETE_FCS": "TRUE", + "CTL_RX_ETYPE_GCP": "1000100000001000", + "CTL_RX_ETYPE_GPP": "1000100000001000", + "CTL_RX_ETYPE_PCP": "1000100000001000", + "CTL_RX_ETYPE_PPP": "1000100000001000", + "CTL_RX_FORWARD_CONTROL": "FALSE", + "CTL_RX_IGNORE_FCS": "FALSE", + "CTL_RX_MAX_PACKET_LEN": "010010110000000", + "CTL_RX_MIN_PACKET_LEN": "01000000", + "CTL_RX_OPCODE_GPP": "0000000000000001", + "CTL_RX_OPCODE_MAX_GCP": "1111111111111111", + "CTL_RX_OPCODE_MAX_PCP": "1111111111111111", + "CTL_RX_OPCODE_MIN_GCP": "0000000000000000", + "CTL_RX_OPCODE_MIN_PCP": "0000000000000000", + "CTL_RX_OPCODE_PPP": "0000000000000001", + "CTL_RX_PAUSE_DA_MCAST": "000000011000000011000010000000000000000000000001", + "CTL_RX_PAUSE_DA_UCAST": "000000000000000000000000000000000000000000000000", + "CTL_RX_PAUSE_SA": "000000000000000000000000000000000000000000000000", + "CTL_RX_PROCESS_LFI": "FALSE", + "CTL_RX_RSFEC_AM_THRESHOLD": "001000110", + "CTL_RX_RSFEC_FILL_ADJUST": "00", + "CTL_RX_VL_LENGTH_MINUS1": "0011111111111111", + "CTL_RX_VL_MARKER_ID0": "1100000101101000001000010000000000111110100101111101111000000000", + "CTL_RX_VL_MARKER_ID1": "1001110101110001100011100000000001100010100011100111000100000000", + "CTL_RX_VL_MARKER_ID10": "1111110101101100100110010000000000000010100100110110011000000000", + "CTL_RX_VL_MARKER_ID11": "1011100110010001010101010000000001000110011011101010101000000000", + "CTL_RX_VL_MARKER_ID12": "0101110010111001101100100000000010100011010001100100110100000000", + "CTL_RX_VL_MARKER_ID13": "0001101011111000101111010000000011100101000001110100001000000000", + "CTL_RX_VL_MARKER_ID14": "1000001111000111110010100000000001111100001110000011010100000000", + "CTL_RX_VL_MARKER_ID15": "0011010100110110110011010000000011001010110010010011001000000000", + "CTL_RX_VL_MARKER_ID16": "1100010000110001010011000000000000111011110011101011001100000000", + "CTL_RX_VL_MARKER_ID17": "1010110111010110101101110000000001010010001010010100100000000000", + "CTL_RX_VL_MARKER_ID18": "0101111101100110001010100000000010100000100110011101010100000000", + "CTL_RX_VL_MARKER_ID19": "1100000011110000111001010000000000111111000011110001101000000000", + "CTL_RX_VL_MARKER_ID2": "0101100101001011111010000000000010100110101101000001011100000000", + "CTL_RX_VL_MARKER_ID3": "0100110110010101011110110000000010110010011010101000010000000000", + "CTL_RX_VL_MARKER_ID4": "1111010100000111000010010000000000001010111110001111011000000000", + "CTL_RX_VL_MARKER_ID5": "1101110100010100110000100000000000100010111010110011110100000000", + "CTL_RX_VL_MARKER_ID6": "1001101001001010001001100000000001100101101101011101100100000000", + "CTL_RX_VL_MARKER_ID7": "0111101101000101011001100000000010000100101110101001100100000000", + "CTL_RX_VL_MARKER_ID8": "1010000000100100011101100000000001011111110110111000100100000000", + "CTL_RX_VL_MARKER_ID9": "0110100011001001111110110000000010010111001101100000010000000000", + "CTL_TEST_MODE_PIN_CHAR": "FALSE", + "CTL_TX_CUSTOM_PREAMBLE_ENABLE": "FALSE", + "CTL_TX_DA_GPP": "000000011000000011000010000000000000000000000001", + "CTL_TX_DA_PPP": "000000011000000011000010000000000000000000000001", + "CTL_TX_ETHERTYPE_GPP": "1000100000001000", + "CTL_TX_ETHERTYPE_PPP": "1000100000001000", + "CTL_TX_FCS_INS_ENABLE": "TRUE", + "CTL_TX_IGNORE_FCS": "FALSE", + "CTL_TX_IPG_VALUE": "1100", + "CTL_TX_OPCODE_GPP": "0000000000000001", + "CTL_TX_OPCODE_PPP": "0000000000000001", + "CTL_TX_PTP_1STEP_ENABLE": "FALSE", + "CTL_TX_PTP_LATENCY_ADJUST": "01011000001", + "CTL_TX_SA_GPP": "000000000000000000000000000000000000000000000000", + "CTL_TX_SA_PPP": "000000000000000000000000000000000000000000000000", + "CTL_TX_VL_LENGTH_MINUS1": "0011111111111111", + "CTL_TX_VL_MARKER_ID0": "1100000101101000001000010000000000111110100101111101111000000000", + "CTL_TX_VL_MARKER_ID1": "1001110101110001100011100000000001100010100011100111000100000000", + "CTL_TX_VL_MARKER_ID10": "1111110101101100100110010000000000000010100100110110011000000000", + "CTL_TX_VL_MARKER_ID11": "1011100110010001010101010000000001000110011011101010101000000000", + "CTL_TX_VL_MARKER_ID12": "0101110010111001101100100000000010100011010001100100110100000000", + "CTL_TX_VL_MARKER_ID13": "0001101011111000101111010000000011100101000001110100001000000000", + "CTL_TX_VL_MARKER_ID14": "1000001111000111110010100000000001111100001110000011010100000000", + "CTL_TX_VL_MARKER_ID15": "0011010100110110110011010000000011001010110010010011001000000000", + "CTL_TX_VL_MARKER_ID16": "1100010000110001010011000000000000111011110011101011001100000000", + "CTL_TX_VL_MARKER_ID17": "1010110111010110101101110000000001010010001010010100100000000000", + "CTL_TX_VL_MARKER_ID18": "0101111101100110001010100000000010100000100110011101010100000000", + "CTL_TX_VL_MARKER_ID19": "1100000011110000111001010000000000111111000011110001101000000000", + "CTL_TX_VL_MARKER_ID2": "0101100101001011111010000000000010100110101101000001011100000000", + "CTL_TX_VL_MARKER_ID3": "0100110110010101011110110000000010110010011010101000010000000000", + "CTL_TX_VL_MARKER_ID4": "1111010100000111000010010000000000001010111110001111011000000000", + "CTL_TX_VL_MARKER_ID5": "1101110100010100110000100000000000100010111010110011110100000000", + "CTL_TX_VL_MARKER_ID6": "1001101001001010001001100000000001100101101101011101100100000000", + "CTL_TX_VL_MARKER_ID7": "0111101101000101011001100000000010000100101110101001100100000000", + "CTL_TX_VL_MARKER_ID8": "1010000000100100011101100000000001011111110110111000100100000000", + "CTL_TX_VL_MARKER_ID9": "0110100011001001111110110000000010010111001101100000010000000000", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "TEST_MODE_PIN_CHAR": "FALSE" + }, + "ports": { + "DRP_DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DRP_RDY": { + "direction": "output", + "bits": [ 18 ] + }, + "RSFEC_BYPASS_RX_DOUT": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348 ] + }, + "RSFEC_BYPASS_RX_DOUT_CW_START": { + "direction": "output", + "bits": [ 349 ] + }, + "RSFEC_BYPASS_RX_DOUT_VALID": { + "direction": "output", + "bits": [ 350 ] + }, + "RSFEC_BYPASS_TX_DOUT": { + "direction": "output", + "bits": [ 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680 ] + }, + "RSFEC_BYPASS_TX_DOUT_CW_START": { + "direction": "output", + "bits": [ 681 ] + }, + "RSFEC_BYPASS_TX_DOUT_VALID": { + "direction": "output", + "bits": [ 682 ] + }, + "RX_DATAOUT0": { + "direction": "output", + "bits": [ 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810 ] + }, + "RX_DATAOUT1": { + "direction": "output", + "bits": [ 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938 ] + }, + "RX_DATAOUT2": { + "direction": "output", + "bits": [ 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066 ] + }, + "RX_DATAOUT3": { + "direction": "output", + "bits": [ 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194 ] + }, + "RX_ENAOUT0": { + "direction": "output", + "bits": [ 1195 ] + }, + "RX_ENAOUT1": { + "direction": "output", + "bits": [ 1196 ] + }, + "RX_ENAOUT2": { + "direction": "output", + "bits": [ 1197 ] + }, + "RX_ENAOUT3": { + "direction": "output", + "bits": [ 1198 ] + }, + "RX_EOPOUT0": { + "direction": "output", + "bits": [ 1199 ] + }, + "RX_EOPOUT1": { + "direction": "output", + "bits": [ 1200 ] + }, + "RX_EOPOUT2": { + "direction": "output", + "bits": [ 1201 ] + }, + "RX_EOPOUT3": { + "direction": "output", + "bits": [ 1202 ] + }, + "RX_ERROUT0": { + "direction": "output", + "bits": [ 1203 ] + }, + "RX_ERROUT1": { + "direction": "output", + "bits": [ 1204 ] + }, + "RX_ERROUT2": { + "direction": "output", + "bits": [ 1205 ] + }, + "RX_ERROUT3": { + "direction": "output", + "bits": [ 1206 ] + }, + "RX_LANE_ALIGNER_FILL_0": { + "direction": "output", + "bits": [ 1207, 1208, 1209, 1210, 1211, 1212, 1213 ] + }, + "RX_LANE_ALIGNER_FILL_1": { + "direction": "output", + "bits": [ 1214, 1215, 1216, 1217, 1218, 1219, 1220 ] + }, + "RX_LANE_ALIGNER_FILL_10": { + "direction": "output", + "bits": [ 1221, 1222, 1223, 1224, 1225, 1226, 1227 ] + }, + "RX_LANE_ALIGNER_FILL_11": { + "direction": "output", + "bits": [ 1228, 1229, 1230, 1231, 1232, 1233, 1234 ] + }, + "RX_LANE_ALIGNER_FILL_12": { + "direction": "output", + "bits": [ 1235, 1236, 1237, 1238, 1239, 1240, 1241 ] + }, + "RX_LANE_ALIGNER_FILL_13": { + "direction": "output", + "bits": [ 1242, 1243, 1244, 1245, 1246, 1247, 1248 ] + }, + "RX_LANE_ALIGNER_FILL_14": { + "direction": "output", + "bits": [ 1249, 1250, 1251, 1252, 1253, 1254, 1255 ] + }, + "RX_LANE_ALIGNER_FILL_15": { + "direction": "output", + "bits": [ 1256, 1257, 1258, 1259, 1260, 1261, 1262 ] + }, + "RX_LANE_ALIGNER_FILL_16": { + "direction": "output", + "bits": [ 1263, 1264, 1265, 1266, 1267, 1268, 1269 ] + }, + "RX_LANE_ALIGNER_FILL_17": { + "direction": "output", + "bits": [ 1270, 1271, 1272, 1273, 1274, 1275, 1276 ] + }, + "RX_LANE_ALIGNER_FILL_18": { + "direction": "output", + "bits": [ 1277, 1278, 1279, 1280, 1281, 1282, 1283 ] + }, + "RX_LANE_ALIGNER_FILL_19": { + "direction": "output", + "bits": [ 1284, 1285, 1286, 1287, 1288, 1289, 1290 ] + }, + "RX_LANE_ALIGNER_FILL_2": { + "direction": "output", + "bits": [ 1291, 1292, 1293, 1294, 1295, 1296, 1297 ] + }, + "RX_LANE_ALIGNER_FILL_3": { + "direction": "output", + "bits": [ 1298, 1299, 1300, 1301, 1302, 1303, 1304 ] + }, + "RX_LANE_ALIGNER_FILL_4": { + "direction": "output", + "bits": [ 1305, 1306, 1307, 1308, 1309, 1310, 1311 ] + }, + "RX_LANE_ALIGNER_FILL_5": { + "direction": "output", + "bits": [ 1312, 1313, 1314, 1315, 1316, 1317, 1318 ] + }, + "RX_LANE_ALIGNER_FILL_6": { + "direction": "output", + "bits": [ 1319, 1320, 1321, 1322, 1323, 1324, 1325 ] + }, + "RX_LANE_ALIGNER_FILL_7": { + "direction": "output", + "bits": [ 1326, 1327, 1328, 1329, 1330, 1331, 1332 ] + }, + "RX_LANE_ALIGNER_FILL_8": { + "direction": "output", + "bits": [ 1333, 1334, 1335, 1336, 1337, 1338, 1339 ] + }, + "RX_LANE_ALIGNER_FILL_9": { + "direction": "output", + "bits": [ 1340, 1341, 1342, 1343, 1344, 1345, 1346 ] + }, + "RX_MTYOUT0": { + "direction": "output", + "bits": [ 1347, 1348, 1349, 1350 ] + }, + "RX_MTYOUT1": { + "direction": "output", + "bits": [ 1351, 1352, 1353, 1354 ] + }, + "RX_MTYOUT2": { + "direction": "output", + "bits": [ 1355, 1356, 1357, 1358 ] + }, + "RX_MTYOUT3": { + "direction": "output", + "bits": [ 1359, 1360, 1361, 1362 ] + }, + "RX_OTN_BIP8_0": { + "direction": "output", + "bits": [ 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370 ] + }, + "RX_OTN_BIP8_1": { + "direction": "output", + "bits": [ 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378 ] + }, + "RX_OTN_BIP8_2": { + "direction": "output", + "bits": [ 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386 ] + }, + "RX_OTN_BIP8_3": { + "direction": "output", + "bits": [ 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394 ] + }, + "RX_OTN_BIP8_4": { + "direction": "output", + "bits": [ 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402 ] + }, + "RX_OTN_DATA_0": { + "direction": "output", + "bits": [ 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468 ] + }, + "RX_OTN_DATA_1": { + "direction": "output", + "bits": [ 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534 ] + }, + "RX_OTN_DATA_2": { + "direction": "output", + "bits": [ 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600 ] + }, + "RX_OTN_DATA_3": { + "direction": "output", + "bits": [ 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666 ] + }, + "RX_OTN_DATA_4": { + "direction": "output", + "bits": [ 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732 ] + }, + "RX_OTN_ENA": { + "direction": "output", + "bits": [ 1733 ] + }, + "RX_OTN_LANE0": { + "direction": "output", + "bits": [ 1734 ] + }, + "RX_OTN_VLMARKER": { + "direction": "output", + "bits": [ 1735 ] + }, + "RX_PREOUT": { + "direction": "output", + "bits": [ 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791 ] + }, + "RX_PTP_PCSLANE_OUT": { + "direction": "output", + "bits": [ 1792, 1793, 1794, 1795, 1796 ] + }, + "RX_PTP_TSTAMP_OUT": { + "direction": "output", + "bits": [ 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876 ] + }, + "RX_SOPOUT0": { + "direction": "output", + "bits": [ 1877 ] + }, + "RX_SOPOUT1": { + "direction": "output", + "bits": [ 1878 ] + }, + "RX_SOPOUT2": { + "direction": "output", + "bits": [ 1879 ] + }, + "RX_SOPOUT3": { + "direction": "output", + "bits": [ 1880 ] + }, + "STAT_RX_ALIGNED": { + "direction": "output", + "bits": [ 1881 ] + }, + "STAT_RX_ALIGNED_ERR": { + "direction": "output", + "bits": [ 1882 ] + }, + "STAT_RX_BAD_CODE": { + "direction": "output", + "bits": [ 1883, 1884, 1885 ] + }, + "STAT_RX_BAD_FCS": { + "direction": "output", + "bits": [ 1886, 1887, 1888 ] + }, + "STAT_RX_BAD_PREAMBLE": { + "direction": "output", + "bits": [ 1889 ] + }, + "STAT_RX_BAD_SFD": { + "direction": "output", + "bits": [ 1890 ] + }, + "STAT_RX_BIP_ERR_0": { + "direction": "output", + "bits": [ 1891 ] + }, + "STAT_RX_BIP_ERR_1": { + "direction": "output", + "bits": [ 1892 ] + }, + "STAT_RX_BIP_ERR_10": { + "direction": "output", + "bits": [ 1893 ] + }, + "STAT_RX_BIP_ERR_11": { + "direction": "output", + "bits": [ 1894 ] + }, + "STAT_RX_BIP_ERR_12": { + "direction": "output", + "bits": [ 1895 ] + }, + "STAT_RX_BIP_ERR_13": { + "direction": "output", + "bits": [ 1896 ] + }, + "STAT_RX_BIP_ERR_14": { + "direction": "output", + "bits": [ 1897 ] + }, + "STAT_RX_BIP_ERR_15": { + "direction": "output", + "bits": [ 1898 ] + }, + "STAT_RX_BIP_ERR_16": { + "direction": "output", + "bits": [ 1899 ] + }, + "STAT_RX_BIP_ERR_17": { + "direction": "output", + "bits": [ 1900 ] + }, + "STAT_RX_BIP_ERR_18": { + "direction": "output", + "bits": [ 1901 ] + }, + "STAT_RX_BIP_ERR_19": { + "direction": "output", + "bits": [ 1902 ] + }, + "STAT_RX_BIP_ERR_2": { + "direction": "output", + "bits": [ 1903 ] + }, + "STAT_RX_BIP_ERR_3": { + "direction": "output", + "bits": [ 1904 ] + }, + "STAT_RX_BIP_ERR_4": { + "direction": "output", + "bits": [ 1905 ] + }, + "STAT_RX_BIP_ERR_5": { + "direction": "output", + "bits": [ 1906 ] + }, + "STAT_RX_BIP_ERR_6": { + "direction": "output", + "bits": [ 1907 ] + }, + "STAT_RX_BIP_ERR_7": { + "direction": "output", + "bits": [ 1908 ] + }, + "STAT_RX_BIP_ERR_8": { + "direction": "output", + "bits": [ 1909 ] + }, + "STAT_RX_BIP_ERR_9": { + "direction": "output", + "bits": [ 1910 ] + }, + "STAT_RX_BLOCK_LOCK": { + "direction": "output", + "bits": [ 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930 ] + }, + "STAT_RX_BROADCAST": { + "direction": "output", + "bits": [ 1931 ] + }, + "STAT_RX_FRAGMENT": { + "direction": "output", + "bits": [ 1932, 1933, 1934 ] + }, + "STAT_RX_FRAMING_ERR_0": { + "direction": "output", + "bits": [ 1935, 1936 ] + }, + "STAT_RX_FRAMING_ERR_1": { + "direction": "output", + "bits": [ 1937, 1938 ] + }, + "STAT_RX_FRAMING_ERR_10": { + "direction": "output", + "bits": [ 1939, 1940 ] + }, + "STAT_RX_FRAMING_ERR_11": { + "direction": "output", + "bits": [ 1941, 1942 ] + }, + "STAT_RX_FRAMING_ERR_12": { + "direction": "output", + "bits": [ 1943, 1944 ] + }, + "STAT_RX_FRAMING_ERR_13": { + "direction": "output", + "bits": [ 1945, 1946 ] + }, + "STAT_RX_FRAMING_ERR_14": { + "direction": "output", + "bits": [ 1947, 1948 ] + }, + "STAT_RX_FRAMING_ERR_15": { + "direction": "output", + "bits": [ 1949, 1950 ] + }, + "STAT_RX_FRAMING_ERR_16": { + "direction": "output", + "bits": [ 1951, 1952 ] + }, + "STAT_RX_FRAMING_ERR_17": { + "direction": "output", + "bits": [ 1953, 1954 ] + }, + "STAT_RX_FRAMING_ERR_18": { + "direction": "output", + "bits": [ 1955, 1956 ] + }, + "STAT_RX_FRAMING_ERR_19": { + "direction": "output", + "bits": [ 1957, 1958 ] + }, + "STAT_RX_FRAMING_ERR_2": { + "direction": "output", + "bits": [ 1959, 1960 ] + }, + "STAT_RX_FRAMING_ERR_3": { + "direction": "output", + "bits": [ 1961, 1962 ] + }, + "STAT_RX_FRAMING_ERR_4": { + "direction": "output", + "bits": [ 1963, 1964 ] + }, + "STAT_RX_FRAMING_ERR_5": { + "direction": "output", + "bits": [ 1965, 1966 ] + }, + "STAT_RX_FRAMING_ERR_6": { + "direction": "output", + "bits": [ 1967, 1968 ] + }, + "STAT_RX_FRAMING_ERR_7": { + "direction": "output", + "bits": [ 1969, 1970 ] + }, + "STAT_RX_FRAMING_ERR_8": { + "direction": "output", + "bits": [ 1971, 1972 ] + }, + "STAT_RX_FRAMING_ERR_9": { + "direction": "output", + "bits": [ 1973, 1974 ] + }, + "STAT_RX_FRAMING_ERR_VALID_0": { + "direction": "output", + "bits": [ 1975 ] + }, + "STAT_RX_FRAMING_ERR_VALID_1": { + "direction": "output", + "bits": [ 1976 ] + }, + "STAT_RX_FRAMING_ERR_VALID_10": { + "direction": "output", + "bits": [ 1977 ] + }, + "STAT_RX_FRAMING_ERR_VALID_11": { + "direction": "output", + "bits": [ 1978 ] + }, + "STAT_RX_FRAMING_ERR_VALID_12": { + "direction": "output", + "bits": [ 1979 ] + }, + "STAT_RX_FRAMING_ERR_VALID_13": { + "direction": "output", + "bits": [ 1980 ] + }, + "STAT_RX_FRAMING_ERR_VALID_14": { + "direction": "output", + "bits": [ 1981 ] + }, + "STAT_RX_FRAMING_ERR_VALID_15": { + "direction": "output", + "bits": [ 1982 ] + }, + "STAT_RX_FRAMING_ERR_VALID_16": { + "direction": "output", + "bits": [ 1983 ] + }, + "STAT_RX_FRAMING_ERR_VALID_17": { + "direction": "output", + "bits": [ 1984 ] + }, + "STAT_RX_FRAMING_ERR_VALID_18": { + "direction": "output", + "bits": [ 1985 ] + }, + "STAT_RX_FRAMING_ERR_VALID_19": { + "direction": "output", + "bits": [ 1986 ] + }, + "STAT_RX_FRAMING_ERR_VALID_2": { + "direction": "output", + "bits": [ 1987 ] + }, + "STAT_RX_FRAMING_ERR_VALID_3": { + "direction": "output", + "bits": [ 1988 ] + }, + "STAT_RX_FRAMING_ERR_VALID_4": { + "direction": "output", + "bits": [ 1989 ] + }, + "STAT_RX_FRAMING_ERR_VALID_5": { + "direction": "output", + "bits": [ 1990 ] + }, + "STAT_RX_FRAMING_ERR_VALID_6": { + "direction": "output", + "bits": [ 1991 ] + }, + "STAT_RX_FRAMING_ERR_VALID_7": { + "direction": "output", + "bits": [ 1992 ] + }, + "STAT_RX_FRAMING_ERR_VALID_8": { + "direction": "output", + "bits": [ 1993 ] + }, + "STAT_RX_FRAMING_ERR_VALID_9": { + "direction": "output", + "bits": [ 1994 ] + }, + "STAT_RX_GOT_SIGNAL_OS": { + "direction": "output", + "bits": [ 1995 ] + }, + "STAT_RX_HI_BER": { + "direction": "output", + "bits": [ 1996 ] + }, + "STAT_RX_INRANGEERR": { + "direction": "output", + "bits": [ 1997 ] + }, + "STAT_RX_INTERNAL_LOCAL_FAULT": { + "direction": "output", + "bits": [ 1998 ] + }, + "STAT_RX_JABBER": { + "direction": "output", + "bits": [ 1999 ] + }, + "STAT_RX_LANE0_VLM_BIP7": { + "direction": "output", + "bits": [ 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007 ] + }, + "STAT_RX_LANE0_VLM_BIP7_VALID": { + "direction": "output", + "bits": [ 2008 ] + }, + "STAT_RX_LOCAL_FAULT": { + "direction": "output", + "bits": [ 2009 ] + }, + "STAT_RX_MF_ERR": { + "direction": "output", + "bits": [ 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029 ] + }, + "STAT_RX_MF_LEN_ERR": { + "direction": "output", + "bits": [ 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049 ] + }, + "STAT_RX_MF_REPEAT_ERR": { + "direction": "output", + "bits": [ 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069 ] + }, + "STAT_RX_MISALIGNED": { + "direction": "output", + "bits": [ 2070 ] + }, + "STAT_RX_MULTICAST": { + "direction": "output", + "bits": [ 2071 ] + }, + "STAT_RX_OVERSIZE": { + "direction": "output", + "bits": [ 2072 ] + }, + "STAT_RX_PACKET_1024_1518_BYTES": { + "direction": "output", + "bits": [ 2073 ] + }, + "STAT_RX_PACKET_128_255_BYTES": { + "direction": "output", + "bits": [ 2074 ] + }, + "STAT_RX_PACKET_1519_1522_BYTES": { + "direction": "output", + "bits": [ 2075 ] + }, + "STAT_RX_PACKET_1523_1548_BYTES": { + "direction": "output", + "bits": [ 2076 ] + }, + "STAT_RX_PACKET_1549_2047_BYTES": { + "direction": "output", + "bits": [ 2077 ] + }, + "STAT_RX_PACKET_2048_4095_BYTES": { + "direction": "output", + "bits": [ 2078 ] + }, + "STAT_RX_PACKET_256_511_BYTES": { + "direction": "output", + "bits": [ 2079 ] + }, + "STAT_RX_PACKET_4096_8191_BYTES": { + "direction": "output", + "bits": [ 2080 ] + }, + "STAT_RX_PACKET_512_1023_BYTES": { + "direction": "output", + "bits": [ 2081 ] + }, + "STAT_RX_PACKET_64_BYTES": { + "direction": "output", + "bits": [ 2082 ] + }, + "STAT_RX_PACKET_65_127_BYTES": { + "direction": "output", + "bits": [ 2083 ] + }, + "STAT_RX_PACKET_8192_9215_BYTES": { + "direction": "output", + "bits": [ 2084 ] + }, + "STAT_RX_PACKET_BAD_FCS": { + "direction": "output", + "bits": [ 2085 ] + }, + "STAT_RX_PACKET_LARGE": { + "direction": "output", + "bits": [ 2086 ] + }, + "STAT_RX_PACKET_SMALL": { + "direction": "output", + "bits": [ 2087, 2088, 2089 ] + }, + "STAT_RX_PAUSE": { + "direction": "output", + "bits": [ 2090 ] + }, + "STAT_RX_PAUSE_QUANTA0": { + "direction": "output", + "bits": [ 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106 ] + }, + "STAT_RX_PAUSE_QUANTA1": { + "direction": "output", + "bits": [ 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122 ] + }, + "STAT_RX_PAUSE_QUANTA2": { + "direction": "output", + "bits": [ 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138 ] + }, + "STAT_RX_PAUSE_QUANTA3": { + "direction": "output", + "bits": [ 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154 ] + }, + "STAT_RX_PAUSE_QUANTA4": { + "direction": "output", + "bits": [ 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170 ] + }, + "STAT_RX_PAUSE_QUANTA5": { + "direction": "output", + "bits": [ 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186 ] + }, + "STAT_RX_PAUSE_QUANTA6": { + "direction": "output", + "bits": [ 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202 ] + }, + "STAT_RX_PAUSE_QUANTA7": { + "direction": "output", + "bits": [ 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218 ] + }, + "STAT_RX_PAUSE_QUANTA8": { + "direction": "output", + "bits": [ 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234 ] + }, + "STAT_RX_PAUSE_REQ": { + "direction": "output", + "bits": [ 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243 ] + }, + "STAT_RX_PAUSE_VALID": { + "direction": "output", + "bits": [ 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252 ] + }, + "STAT_RX_RECEIVED_LOCAL_FAULT": { + "direction": "output", + "bits": [ 2253 ] + }, + "STAT_RX_REMOTE_FAULT": { + "direction": "output", + "bits": [ 2254 ] + }, + "STAT_RX_RSFEC_AM_LOCK0": { + "direction": "output", + "bits": [ 2255 ] + }, + "STAT_RX_RSFEC_AM_LOCK1": { + "direction": "output", + "bits": [ 2256 ] + }, + "STAT_RX_RSFEC_AM_LOCK2": { + "direction": "output", + "bits": [ 2257 ] + }, + "STAT_RX_RSFEC_AM_LOCK3": { + "direction": "output", + "bits": [ 2258 ] + }, + "STAT_RX_RSFEC_CORRECTED_CW_INC": { + "direction": "output", + "bits": [ 2259 ] + }, + "STAT_RX_RSFEC_CW_INC": { + "direction": "output", + "bits": [ 2260 ] + }, + "STAT_RX_RSFEC_ERR_COUNT0_INC": { + "direction": "output", + "bits": [ 2261, 2262, 2263 ] + }, + "STAT_RX_RSFEC_ERR_COUNT1_INC": { + "direction": "output", + "bits": [ 2264, 2265, 2266 ] + }, + "STAT_RX_RSFEC_ERR_COUNT2_INC": { + "direction": "output", + "bits": [ 2267, 2268, 2269 ] + }, + "STAT_RX_RSFEC_ERR_COUNT3_INC": { + "direction": "output", + "bits": [ 2270, 2271, 2272 ] + }, + "STAT_RX_RSFEC_HI_SER": { + "direction": "output", + "bits": [ 2273 ] + }, + "STAT_RX_RSFEC_LANE_ALIGNMENT_STATUS": { + "direction": "output", + "bits": [ 2274 ] + }, + "STAT_RX_RSFEC_LANE_FILL_0": { + "direction": "output", + "bits": [ 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288 ] + }, + "STAT_RX_RSFEC_LANE_FILL_1": { + "direction": "output", + "bits": [ 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302 ] + }, + "STAT_RX_RSFEC_LANE_FILL_2": { + "direction": "output", + "bits": [ 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316 ] + }, + "STAT_RX_RSFEC_LANE_FILL_3": { + "direction": "output", + "bits": [ 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330 ] + }, + "STAT_RX_RSFEC_LANE_MAPPING": { + "direction": "output", + "bits": [ 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338 ] + }, + "STAT_RX_RSFEC_RSVD": { + "direction": "output", + "bits": [ 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370 ] + }, + "STAT_RX_RSFEC_UNCORRECTED_CW_INC": { + "direction": "output", + "bits": [ 2371 ] + }, + "STAT_RX_STATUS": { + "direction": "output", + "bits": [ 2372 ] + }, + "STAT_RX_STOMPED_FCS": { + "direction": "output", + "bits": [ 2373, 2374, 2375 ] + }, + "STAT_RX_SYNCED": { + "direction": "output", + "bits": [ 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395 ] + }, + "STAT_RX_SYNCED_ERR": { + "direction": "output", + "bits": [ 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415 ] + }, + "STAT_RX_TEST_PATTERN_MISMATCH": { + "direction": "output", + "bits": [ 2416, 2417, 2418 ] + }, + "STAT_RX_TOOLONG": { + "direction": "output", + "bits": [ 2419 ] + }, + "STAT_RX_TOTAL_BYTES": { + "direction": "output", + "bits": [ 2420, 2421, 2422, 2423, 2424, 2425, 2426 ] + }, + "STAT_RX_TOTAL_GOOD_BYTES": { + "direction": "output", + "bits": [ 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440 ] + }, + "STAT_RX_TOTAL_GOOD_PACKETS": { + "direction": "output", + "bits": [ 2441 ] + }, + "STAT_RX_TOTAL_PACKETS": { + "direction": "output", + "bits": [ 2442, 2443, 2444 ] + }, + "STAT_RX_TRUNCATED": { + "direction": "output", + "bits": [ 2445 ] + }, + "STAT_RX_UNDERSIZE": { + "direction": "output", + "bits": [ 2446, 2447, 2448 ] + }, + "STAT_RX_UNICAST": { + "direction": "output", + "bits": [ 2449 ] + }, + "STAT_RX_USER_PAUSE": { + "direction": "output", + "bits": [ 2450 ] + }, + "STAT_RX_VLAN": { + "direction": "output", + "bits": [ 2451 ] + }, + "STAT_RX_VL_DEMUXED": { + "direction": "output", + "bits": [ 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471 ] + }, + "STAT_RX_VL_NUMBER_0": { + "direction": "output", + "bits": [ 2472, 2473, 2474, 2475, 2476 ] + }, + "STAT_RX_VL_NUMBER_1": { + "direction": "output", + "bits": [ 2477, 2478, 2479, 2480, 2481 ] + }, + "STAT_RX_VL_NUMBER_10": { + "direction": "output", + "bits": [ 2482, 2483, 2484, 2485, 2486 ] + }, + "STAT_RX_VL_NUMBER_11": { + "direction": "output", + "bits": [ 2487, 2488, 2489, 2490, 2491 ] + }, + "STAT_RX_VL_NUMBER_12": { + "direction": "output", + "bits": [ 2492, 2493, 2494, 2495, 2496 ] + }, + "STAT_RX_VL_NUMBER_13": { + "direction": "output", + "bits": [ 2497, 2498, 2499, 2500, 2501 ] + }, + "STAT_RX_VL_NUMBER_14": { + "direction": "output", + "bits": [ 2502, 2503, 2504, 2505, 2506 ] + }, + "STAT_RX_VL_NUMBER_15": { + "direction": "output", + "bits": [ 2507, 2508, 2509, 2510, 2511 ] + }, + "STAT_RX_VL_NUMBER_16": { + "direction": "output", + "bits": [ 2512, 2513, 2514, 2515, 2516 ] + }, + "STAT_RX_VL_NUMBER_17": { + "direction": "output", + "bits": [ 2517, 2518, 2519, 2520, 2521 ] + }, + "STAT_RX_VL_NUMBER_18": { + "direction": "output", + "bits": [ 2522, 2523, 2524, 2525, 2526 ] + }, + "STAT_RX_VL_NUMBER_19": { + "direction": "output", + "bits": [ 2527, 2528, 2529, 2530, 2531 ] + }, + "STAT_RX_VL_NUMBER_2": { + "direction": "output", + "bits": [ 2532, 2533, 2534, 2535, 2536 ] + }, + "STAT_RX_VL_NUMBER_3": { + "direction": "output", + "bits": [ 2537, 2538, 2539, 2540, 2541 ] + }, + "STAT_RX_VL_NUMBER_4": { + "direction": "output", + "bits": [ 2542, 2543, 2544, 2545, 2546 ] + }, + "STAT_RX_VL_NUMBER_5": { + "direction": "output", + "bits": [ 2547, 2548, 2549, 2550, 2551 ] + }, + "STAT_RX_VL_NUMBER_6": { + "direction": "output", + "bits": [ 2552, 2553, 2554, 2555, 2556 ] + }, + "STAT_RX_VL_NUMBER_7": { + "direction": "output", + "bits": [ 2557, 2558, 2559, 2560, 2561 ] + }, + "STAT_RX_VL_NUMBER_8": { + "direction": "output", + "bits": [ 2562, 2563, 2564, 2565, 2566 ] + }, + "STAT_RX_VL_NUMBER_9": { + "direction": "output", + "bits": [ 2567, 2568, 2569, 2570, 2571 ] + }, + "STAT_TX_BAD_FCS": { + "direction": "output", + "bits": [ 2572 ] + }, + "STAT_TX_BROADCAST": { + "direction": "output", + "bits": [ 2573 ] + }, + "STAT_TX_FRAME_ERROR": { + "direction": "output", + "bits": [ 2574 ] + }, + "STAT_TX_LOCAL_FAULT": { + "direction": "output", + "bits": [ 2575 ] + }, + "STAT_TX_MULTICAST": { + "direction": "output", + "bits": [ 2576 ] + }, + "STAT_TX_PACKET_1024_1518_BYTES": { + "direction": "output", + "bits": [ 2577 ] + }, + "STAT_TX_PACKET_128_255_BYTES": { + "direction": "output", + "bits": [ 2578 ] + }, + "STAT_TX_PACKET_1519_1522_BYTES": { + "direction": "output", + "bits": [ 2579 ] + }, + "STAT_TX_PACKET_1523_1548_BYTES": { + "direction": "output", + "bits": [ 2580 ] + }, + "STAT_TX_PACKET_1549_2047_BYTES": { + "direction": "output", + "bits": [ 2581 ] + }, + "STAT_TX_PACKET_2048_4095_BYTES": { + "direction": "output", + "bits": [ 2582 ] + }, + "STAT_TX_PACKET_256_511_BYTES": { + "direction": "output", + "bits": [ 2583 ] + }, + "STAT_TX_PACKET_4096_8191_BYTES": { + "direction": "output", + "bits": [ 2584 ] + }, + "STAT_TX_PACKET_512_1023_BYTES": { + "direction": "output", + "bits": [ 2585 ] + }, + "STAT_TX_PACKET_64_BYTES": { + "direction": "output", + "bits": [ 2586 ] + }, + "STAT_TX_PACKET_65_127_BYTES": { + "direction": "output", + "bits": [ 2587 ] + }, + "STAT_TX_PACKET_8192_9215_BYTES": { + "direction": "output", + "bits": [ 2588 ] + }, + "STAT_TX_PACKET_LARGE": { + "direction": "output", + "bits": [ 2589 ] + }, + "STAT_TX_PACKET_SMALL": { + "direction": "output", + "bits": [ 2590 ] + }, + "STAT_TX_PAUSE": { + "direction": "output", + "bits": [ 2591 ] + }, + "STAT_TX_PAUSE_VALID": { + "direction": "output", + "bits": [ 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600 ] + }, + "STAT_TX_PTP_FIFO_READ_ERROR": { + "direction": "output", + "bits": [ 2601 ] + }, + "STAT_TX_PTP_FIFO_WRITE_ERROR": { + "direction": "output", + "bits": [ 2602 ] + }, + "STAT_TX_TOTAL_BYTES": { + "direction": "output", + "bits": [ 2603, 2604, 2605, 2606, 2607, 2608 ] + }, + "STAT_TX_TOTAL_GOOD_BYTES": { + "direction": "output", + "bits": [ 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622 ] + }, + "STAT_TX_TOTAL_GOOD_PACKETS": { + "direction": "output", + "bits": [ 2623 ] + }, + "STAT_TX_TOTAL_PACKETS": { + "direction": "output", + "bits": [ 2624 ] + }, + "STAT_TX_UNICAST": { + "direction": "output", + "bits": [ 2625 ] + }, + "STAT_TX_USER_PAUSE": { + "direction": "output", + "bits": [ 2626 ] + }, + "STAT_TX_VLAN": { + "direction": "output", + "bits": [ 2627 ] + }, + "TX_OVFOUT": { + "direction": "output", + "bits": [ 2628 ] + }, + "TX_PTP_PCSLANE_OUT": { + "direction": "output", + "bits": [ 2629, 2630, 2631, 2632, 2633 ] + }, + "TX_PTP_TSTAMP_OUT": { + "direction": "output", + "bits": [ 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713 ] + }, + "TX_PTP_TSTAMP_TAG_OUT": { + "direction": "output", + "bits": [ 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729 ] + }, + "TX_PTP_TSTAMP_VALID_OUT": { + "direction": "output", + "bits": [ 2730 ] + }, + "TX_RDYOUT": { + "direction": "output", + "bits": [ 2731 ] + }, + "TX_SERDES_ALT_DATA0": { + "direction": "output", + "bits": [ 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747 ] + }, + "TX_SERDES_ALT_DATA1": { + "direction": "output", + "bits": [ 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763 ] + }, + "TX_SERDES_ALT_DATA2": { + "direction": "output", + "bits": [ 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779 ] + }, + "TX_SERDES_ALT_DATA3": { + "direction": "output", + "bits": [ 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795 ] + }, + "TX_SERDES_DATA0": { + "direction": "output", + "bits": [ 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859 ] + }, + "TX_SERDES_DATA1": { + "direction": "output", + "bits": [ 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923 ] + }, + "TX_SERDES_DATA2": { + "direction": "output", + "bits": [ 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987 ] + }, + "TX_SERDES_DATA3": { + "direction": "output", + "bits": [ 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051 ] + }, + "TX_SERDES_DATA4": { + "direction": "output", + "bits": [ 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083 ] + }, + "TX_SERDES_DATA5": { + "direction": "output", + "bits": [ 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115 ] + }, + "TX_SERDES_DATA6": { + "direction": "output", + "bits": [ 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147 ] + }, + "TX_SERDES_DATA7": { + "direction": "output", + "bits": [ 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179 ] + }, + "TX_SERDES_DATA8": { + "direction": "output", + "bits": [ 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211 ] + }, + "TX_SERDES_DATA9": { + "direction": "output", + "bits": [ 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243 ] + }, + "TX_UNFOUT": { + "direction": "output", + "bits": [ 3244 ] + }, + "CTL_CAUI4_MODE": { + "direction": "input", + "bits": [ 3245 ] + }, + "CTL_RSFEC_ENABLE_TRANSCODER_BYPASS_MODE": { + "direction": "input", + "bits": [ 3246 ] + }, + "CTL_RSFEC_IEEE_ERROR_INDICATION_MODE": { + "direction": "input", + "bits": [ 3247 ] + }, + "CTL_RX_CHECK_ETYPE_GCP": { + "direction": "input", + "bits": [ 3248 ] + }, + "CTL_RX_CHECK_ETYPE_GPP": { + "direction": "input", + "bits": [ 3249 ] + }, + "CTL_RX_CHECK_ETYPE_PCP": { + "direction": "input", + "bits": [ 3250 ] + }, + "CTL_RX_CHECK_ETYPE_PPP": { + "direction": "input", + "bits": [ 3251 ] + }, + "CTL_RX_CHECK_MCAST_GCP": { + "direction": "input", + "bits": [ 3252 ] + }, + "CTL_RX_CHECK_MCAST_GPP": { + "direction": "input", + "bits": [ 3253 ] + }, + "CTL_RX_CHECK_MCAST_PCP": { + "direction": "input", + "bits": [ 3254 ] + }, + "CTL_RX_CHECK_MCAST_PPP": { + "direction": "input", + "bits": [ 3255 ] + }, + "CTL_RX_CHECK_OPCODE_GCP": { + "direction": "input", + "bits": [ 3256 ] + }, + "CTL_RX_CHECK_OPCODE_GPP": { + "direction": "input", + "bits": [ 3257 ] + }, + "CTL_RX_CHECK_OPCODE_PCP": { + "direction": "input", + "bits": [ 3258 ] + }, + "CTL_RX_CHECK_OPCODE_PPP": { + "direction": "input", + "bits": [ 3259 ] + }, + "CTL_RX_CHECK_SA_GCP": { + "direction": "input", + "bits": [ 3260 ] + }, + "CTL_RX_CHECK_SA_GPP": { + "direction": "input", + "bits": [ 3261 ] + }, + "CTL_RX_CHECK_SA_PCP": { + "direction": "input", + "bits": [ 3262 ] + }, + "CTL_RX_CHECK_SA_PPP": { + "direction": "input", + "bits": [ 3263 ] + }, + "CTL_RX_CHECK_UCAST_GCP": { + "direction": "input", + "bits": [ 3264 ] + }, + "CTL_RX_CHECK_UCAST_GPP": { + "direction": "input", + "bits": [ 3265 ] + }, + "CTL_RX_CHECK_UCAST_PCP": { + "direction": "input", + "bits": [ 3266 ] + }, + "CTL_RX_CHECK_UCAST_PPP": { + "direction": "input", + "bits": [ 3267 ] + }, + "CTL_RX_ENABLE": { + "direction": "input", + "bits": [ 3268 ] + }, + "CTL_RX_ENABLE_GCP": { + "direction": "input", + "bits": [ 3269 ] + }, + "CTL_RX_ENABLE_GPP": { + "direction": "input", + "bits": [ 3270 ] + }, + "CTL_RX_ENABLE_PCP": { + "direction": "input", + "bits": [ 3271 ] + }, + "CTL_RX_ENABLE_PPP": { + "direction": "input", + "bits": [ 3272 ] + }, + "CTL_RX_FORCE_RESYNC": { + "direction": "input", + "bits": [ 3273 ] + }, + "CTL_RX_PAUSE_ACK": { + "direction": "input", + "bits": [ 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282 ] + }, + "CTL_RX_PAUSE_ENABLE": { + "direction": "input", + "bits": [ 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291 ] + }, + "CTL_RX_RSFEC_ENABLE": { + "direction": "input", + "bits": [ 3292 ] + }, + "CTL_RX_RSFEC_ENABLE_CORRECTION": { + "direction": "input", + "bits": [ 3293 ] + }, + "CTL_RX_RSFEC_ENABLE_INDICATION": { + "direction": "input", + "bits": [ 3294 ] + }, + "CTL_RX_SYSTEMTIMERIN": { + "direction": "input", + "bits": [ 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374 ] + }, + "CTL_RX_TEST_PATTERN": { + "direction": "input", + "bits": [ 3375 ] + }, + "CTL_TX_ENABLE": { + "direction": "input", + "bits": [ 3376 ] + }, + "CTL_TX_LANE0_VLM_BIP7_OVERRIDE": { + "direction": "input", + "bits": [ 3377 ] + }, + "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE": { + "direction": "input", + "bits": [ 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385 ] + }, + "CTL_TX_PAUSE_ENABLE": { + "direction": "input", + "bits": [ 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394 ] + }, + "CTL_TX_PAUSE_QUANTA0": { + "direction": "input", + "bits": [ 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410 ] + }, + "CTL_TX_PAUSE_QUANTA1": { + "direction": "input", + "bits": [ 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426 ] + }, + "CTL_TX_PAUSE_QUANTA2": { + "direction": "input", + "bits": [ 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442 ] + }, + "CTL_TX_PAUSE_QUANTA3": { + "direction": "input", + "bits": [ 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458 ] + }, + "CTL_TX_PAUSE_QUANTA4": { + "direction": "input", + "bits": [ 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474 ] + }, + "CTL_TX_PAUSE_QUANTA5": { + "direction": "input", + "bits": [ 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490 ] + }, + "CTL_TX_PAUSE_QUANTA6": { + "direction": "input", + "bits": [ 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506 ] + }, + "CTL_TX_PAUSE_QUANTA7": { + "direction": "input", + "bits": [ 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522 ] + }, + "CTL_TX_PAUSE_QUANTA8": { + "direction": "input", + "bits": [ 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER0": { + "direction": "input", + "bits": [ 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER1": { + "direction": "input", + "bits": [ 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER2": { + "direction": "input", + "bits": [ 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER3": { + "direction": "input", + "bits": [ 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER4": { + "direction": "input", + "bits": [ 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER5": { + "direction": "input", + "bits": [ 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER6": { + "direction": "input", + "bits": [ 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER7": { + "direction": "input", + "bits": [ 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666 ] + }, + "CTL_TX_PAUSE_REFRESH_TIMER8": { + "direction": "input", + "bits": [ 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682 ] + }, + "CTL_TX_PAUSE_REQ": { + "direction": "input", + "bits": [ 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691 ] + }, + "CTL_TX_PTP_VLANE_ADJUST_MODE": { + "direction": "input", + "bits": [ 3692 ] + }, + "CTL_TX_RESEND_PAUSE": { + "direction": "input", + "bits": [ 3693 ] + }, + "CTL_TX_RSFEC_ENABLE": { + "direction": "input", + "bits": [ 3694 ] + }, + "CTL_TX_SEND_IDLE": { + "direction": "input", + "bits": [ 3695 ] + }, + "CTL_TX_SEND_LFI": { + "direction": "input", + "bits": [ 3696 ] + }, + "CTL_TX_SEND_RFI": { + "direction": "input", + "bits": [ 3697 ] + }, + "CTL_TX_SYSTEMTIMERIN": { + "direction": "input", + "bits": [ 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777 ] + }, + "CTL_TX_TEST_PATTERN": { + "direction": "input", + "bits": [ 3778 ] + }, + "DRP_ADDR": { + "direction": "input", + "bits": [ 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788 ] + }, + "DRP_CLK": { + "direction": "input", + "bits": [ 3789 ] + }, + "DRP_DI": { + "direction": "input", + "bits": [ 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805 ] + }, + "DRP_EN": { + "direction": "input", + "bits": [ 3806 ] + }, + "DRP_WE": { + "direction": "input", + "bits": [ 3807 ] + }, + "RSFEC_BYPASS_RX_DIN": { + "direction": "input", + "bits": [ 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137 ] + }, + "RSFEC_BYPASS_RX_DIN_CW_START": { + "direction": "input", + "bits": [ 4138 ] + }, + "RSFEC_BYPASS_TX_DIN": { + "direction": "input", + "bits": [ 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468 ] + }, + "RSFEC_BYPASS_TX_DIN_CW_START": { + "direction": "input", + "bits": [ 4469 ] + }, + "RX_CLK": { + "direction": "input", + "bits": [ 4470 ] + }, + "RX_RESET": { + "direction": "input", + "bits": [ 4471 ] + }, + "RX_SERDES_ALT_DATA0": { + "direction": "input", + "bits": [ 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487 ] + }, + "RX_SERDES_ALT_DATA1": { + "direction": "input", + "bits": [ 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503 ] + }, + "RX_SERDES_ALT_DATA2": { + "direction": "input", + "bits": [ 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519 ] + }, + "RX_SERDES_ALT_DATA3": { + "direction": "input", + "bits": [ 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535 ] + }, + "RX_SERDES_CLK": { + "direction": "input", + "bits": [ 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545 ] + }, + "RX_SERDES_DATA0": { + "direction": "input", + "bits": [ 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609 ] + }, + "RX_SERDES_DATA1": { + "direction": "input", + "bits": [ 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673 ] + }, + "RX_SERDES_DATA2": { + "direction": "input", + "bits": [ 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737 ] + }, + "RX_SERDES_DATA3": { + "direction": "input", + "bits": [ 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801 ] + }, + "RX_SERDES_DATA4": { + "direction": "input", + "bits": [ 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833 ] + }, + "RX_SERDES_DATA5": { + "direction": "input", + "bits": [ 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865 ] + }, + "RX_SERDES_DATA6": { + "direction": "input", + "bits": [ 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897 ] + }, + "RX_SERDES_DATA7": { + "direction": "input", + "bits": [ 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929 ] + }, + "RX_SERDES_DATA8": { + "direction": "input", + "bits": [ 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961 ] + }, + "RX_SERDES_DATA9": { + "direction": "input", + "bits": [ 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993 ] + }, + "RX_SERDES_RESET": { + "direction": "input", + "bits": [ 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003 ] + }, + "TX_CLK": { + "direction": "input", + "bits": [ 5004 ] + }, + "TX_DATAIN0": { + "direction": "input", + "bits": [ 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132 ] + }, + "TX_DATAIN1": { + "direction": "input", + "bits": [ 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260 ] + }, + "TX_DATAIN2": { + "direction": "input", + "bits": [ 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388 ] + }, + "TX_DATAIN3": { + "direction": "input", + "bits": [ 5389, 5390, 5391, 5392, 5393, 5394, 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516 ] + }, + "TX_ENAIN0": { + "direction": "input", + "bits": [ 5517 ] + }, + "TX_ENAIN1": { + "direction": "input", + "bits": [ 5518 ] + }, + "TX_ENAIN2": { + "direction": "input", + "bits": [ 5519 ] + }, + "TX_ENAIN3": { + "direction": "input", + "bits": [ 5520 ] + }, + "TX_EOPIN0": { + "direction": "input", + "bits": [ 5521 ] + }, + "TX_EOPIN1": { + "direction": "input", + "bits": [ 5522 ] + }, + "TX_EOPIN2": { + "direction": "input", + "bits": [ 5523 ] + }, + "TX_EOPIN3": { + "direction": "input", + "bits": [ 5524 ] + }, + "TX_ERRIN0": { + "direction": "input", + "bits": [ 5525 ] + }, + "TX_ERRIN1": { + "direction": "input", + "bits": [ 5526 ] + }, + "TX_ERRIN2": { + "direction": "input", + "bits": [ 5527 ] + }, + "TX_ERRIN3": { + "direction": "input", + "bits": [ 5528 ] + }, + "TX_MTYIN0": { + "direction": "input", + "bits": [ 5529, 5530, 5531, 5532 ] + }, + "TX_MTYIN1": { + "direction": "input", + "bits": [ 5533, 5534, 5535, 5536 ] + }, + "TX_MTYIN2": { + "direction": "input", + "bits": [ 5537, 5538, 5539, 5540 ] + }, + "TX_MTYIN3": { + "direction": "input", + "bits": [ 5541, 5542, 5543, 5544 ] + }, + "TX_PREIN": { + "direction": "input", + "bits": [ 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600 ] + }, + "TX_PTP_1588OP_IN": { + "direction": "input", + "bits": [ 5601, 5602 ] + }, + "TX_PTP_CHKSUM_OFFSET_IN": { + "direction": "input", + "bits": [ 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618 ] + }, + "TX_PTP_RXTSTAMP_IN": { + "direction": "input", + "bits": [ 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682 ] + }, + "TX_PTP_TAG_FIELD_IN": { + "direction": "input", + "bits": [ 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698 ] + }, + "TX_PTP_TSTAMP_OFFSET_IN": { + "direction": "input", + "bits": [ 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714 ] + }, + "TX_PTP_UPD_CHKSUM_IN": { + "direction": "input", + "bits": [ 5715 ] + }, + "TX_RESET": { + "direction": "input", + "bits": [ 5716 ] + }, + "TX_SOPIN0": { + "direction": "input", + "bits": [ 5717 ] + }, + "TX_SOPIN1": { + "direction": "input", + "bits": [ 5718 ] + }, + "TX_SOPIN2": { + "direction": "input", + "bits": [ 5719 ] + }, + "TX_SOPIN3": { + "direction": "input", + "bits": [ 5720 ] + } + }, + "cells": { + }, + "netnames": { + "CTL_CAUI4_MODE": { + "hide_name": 0, + "bits": [ 3245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27902.11-27902.25" + } + }, + "CTL_RSFEC_ENABLE_TRANSCODER_BYPASS_MODE": { + "hide_name": 0, + "bits": [ 3246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27903.11-27903.50" + } + }, + "CTL_RSFEC_IEEE_ERROR_INDICATION_MODE": { + "hide_name": 0, + "bits": [ 3247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27904.11-27904.47" + } + }, + "CTL_RX_CHECK_ETYPE_GCP": { + "hide_name": 0, + "bits": [ 3248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27905.11-27905.33" + } + }, + "CTL_RX_CHECK_ETYPE_GPP": { + "hide_name": 0, + "bits": [ 3249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27906.11-27906.33" + } + }, + "CTL_RX_CHECK_ETYPE_PCP": { + "hide_name": 0, + "bits": [ 3250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27907.11-27907.33" + } + }, + "CTL_RX_CHECK_ETYPE_PPP": { + "hide_name": 0, + "bits": [ 3251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27908.11-27908.33" + } + }, + "CTL_RX_CHECK_MCAST_GCP": { + "hide_name": 0, + "bits": [ 3252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27909.11-27909.33" + } + }, + "CTL_RX_CHECK_MCAST_GPP": { + "hide_name": 0, + "bits": [ 3253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27910.11-27910.33" + } + }, + "CTL_RX_CHECK_MCAST_PCP": { + "hide_name": 0, + "bits": [ 3254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27911.11-27911.33" + } + }, + "CTL_RX_CHECK_MCAST_PPP": { + "hide_name": 0, + "bits": [ 3255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27912.11-27912.33" + } + }, + "CTL_RX_CHECK_OPCODE_GCP": { + "hide_name": 0, + "bits": [ 3256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27913.11-27913.34" + } + }, + "CTL_RX_CHECK_OPCODE_GPP": { + "hide_name": 0, + "bits": [ 3257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27914.11-27914.34" + } + }, + "CTL_RX_CHECK_OPCODE_PCP": { + "hide_name": 0, + "bits": [ 3258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27915.11-27915.34" + } + }, + "CTL_RX_CHECK_OPCODE_PPP": { + "hide_name": 0, + "bits": [ 3259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27916.11-27916.34" + } + }, + "CTL_RX_CHECK_SA_GCP": { + "hide_name": 0, + "bits": [ 3260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27917.11-27917.30" + } + }, + "CTL_RX_CHECK_SA_GPP": { + "hide_name": 0, + "bits": [ 3261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27918.11-27918.30" + } + }, + "CTL_RX_CHECK_SA_PCP": { + "hide_name": 0, + "bits": [ 3262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27919.11-27919.30" + } + }, + "CTL_RX_CHECK_SA_PPP": { + "hide_name": 0, + "bits": [ 3263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27920.11-27920.30" + } + }, + "CTL_RX_CHECK_UCAST_GCP": { + "hide_name": 0, + "bits": [ 3264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27921.11-27921.33" + } + }, + "CTL_RX_CHECK_UCAST_GPP": { + "hide_name": 0, + "bits": [ 3265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27922.11-27922.33" + } + }, + "CTL_RX_CHECK_UCAST_PCP": { + "hide_name": 0, + "bits": [ 3266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27923.11-27923.33" + } + }, + "CTL_RX_CHECK_UCAST_PPP": { + "hide_name": 0, + "bits": [ 3267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27924.11-27924.33" + } + }, + "CTL_RX_ENABLE": { + "hide_name": 0, + "bits": [ 3268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27925.11-27925.24" + } + }, + "CTL_RX_ENABLE_GCP": { + "hide_name": 0, + "bits": [ 3269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27926.11-27926.28" + } + }, + "CTL_RX_ENABLE_GPP": { + "hide_name": 0, + "bits": [ 3270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27927.11-27927.28" + } + }, + "CTL_RX_ENABLE_PCP": { + "hide_name": 0, + "bits": [ 3271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27928.11-27928.28" + } + }, + "CTL_RX_ENABLE_PPP": { + "hide_name": 0, + "bits": [ 3272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27929.11-27929.28" + } + }, + "CTL_RX_FORCE_RESYNC": { + "hide_name": 0, + "bits": [ 3273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27930.11-27930.30" + } + }, + "CTL_RX_PAUSE_ACK": { + "hide_name": 0, + "bits": [ 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27931.17-27931.33" + } + }, + "CTL_RX_PAUSE_ENABLE": { + "hide_name": 0, + "bits": [ 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27932.17-27932.36" + } + }, + "CTL_RX_RSFEC_ENABLE": { + "hide_name": 0, + "bits": [ 3292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27933.11-27933.30" + } + }, + "CTL_RX_RSFEC_ENABLE_CORRECTION": { + "hide_name": 0, + "bits": [ 3293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27934.11-27934.41" + } + }, + "CTL_RX_RSFEC_ENABLE_INDICATION": { + "hide_name": 0, + "bits": [ 3294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27935.11-27935.41" + } + }, + "CTL_RX_SYSTEMTIMERIN": { + "hide_name": 0, + "bits": [ 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27936.18-27936.38" + } + }, + "CTL_RX_TEST_PATTERN": { + "hide_name": 0, + "bits": [ 3375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27937.11-27937.30" + } + }, + "CTL_TX_ENABLE": { + "hide_name": 0, + "bits": [ 3376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27938.11-27938.24" + } + }, + "CTL_TX_LANE0_VLM_BIP7_OVERRIDE": { + "hide_name": 0, + "bits": [ 3377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27939.11-27939.41" + } + }, + "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE": { + "hide_name": 0, + "bits": [ 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27940.17-27940.53" + } + }, + "CTL_TX_PAUSE_ENABLE": { + "hide_name": 0, + "bits": [ 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27941.17-27941.36" + } + }, + "CTL_TX_PAUSE_QUANTA0": { + "hide_name": 0, + "bits": [ 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27942.18-27942.38" + } + }, + "CTL_TX_PAUSE_QUANTA1": { + "hide_name": 0, + "bits": [ 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27943.18-27943.38" + } + }, + "CTL_TX_PAUSE_QUANTA2": { + "hide_name": 0, + "bits": [ 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27944.18-27944.38" + } + }, + "CTL_TX_PAUSE_QUANTA3": { + "hide_name": 0, + "bits": [ 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27945.18-27945.38" + } + }, + "CTL_TX_PAUSE_QUANTA4": { + "hide_name": 0, + "bits": [ 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27946.18-27946.38" + } + }, + "CTL_TX_PAUSE_QUANTA5": { + "hide_name": 0, + "bits": [ 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27947.18-27947.38" + } + }, + "CTL_TX_PAUSE_QUANTA6": { + "hide_name": 0, + "bits": [ 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27948.18-27948.38" + } + }, + "CTL_TX_PAUSE_QUANTA7": { + "hide_name": 0, + "bits": [ 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27949.18-27949.38" + } + }, + "CTL_TX_PAUSE_QUANTA8": { + "hide_name": 0, + "bits": [ 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27950.18-27950.38" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER0": { + "hide_name": 0, + "bits": [ 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27951.18-27951.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER1": { + "hide_name": 0, + "bits": [ 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27952.18-27952.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER2": { + "hide_name": 0, + "bits": [ 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27953.18-27953.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER3": { + "hide_name": 0, + "bits": [ 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27954.18-27954.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER4": { + "hide_name": 0, + "bits": [ 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27955.18-27955.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER5": { + "hide_name": 0, + "bits": [ 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27956.18-27956.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER6": { + "hide_name": 0, + "bits": [ 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27957.18-27957.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER7": { + "hide_name": 0, + "bits": [ 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27958.18-27958.45" + } + }, + "CTL_TX_PAUSE_REFRESH_TIMER8": { + "hide_name": 0, + "bits": [ 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27959.18-27959.45" + } + }, + "CTL_TX_PAUSE_REQ": { + "hide_name": 0, + "bits": [ 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27960.17-27960.33" + } + }, + "CTL_TX_PTP_VLANE_ADJUST_MODE": { + "hide_name": 0, + "bits": [ 3692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27961.11-27961.39" + } + }, + "CTL_TX_RESEND_PAUSE": { + "hide_name": 0, + "bits": [ 3693 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27962.11-27962.30" + } + }, + "CTL_TX_RSFEC_ENABLE": { + "hide_name": 0, + "bits": [ 3694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27963.11-27963.30" + } + }, + "CTL_TX_SEND_IDLE": { + "hide_name": 0, + "bits": [ 3695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27964.11-27964.27" + } + }, + "CTL_TX_SEND_LFI": { + "hide_name": 0, + "bits": [ 3696 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27965.11-27965.26" + } + }, + "CTL_TX_SEND_RFI": { + "hide_name": 0, + "bits": [ 3697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27966.11-27966.26" + } + }, + "CTL_TX_SYSTEMTIMERIN": { + "hide_name": 0, + "bits": [ 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27967.18-27967.38" + } + }, + "CTL_TX_TEST_PATTERN": { + "hide_name": 0, + "bits": [ 3778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27968.11-27968.30" + } + }, + "DRP_ADDR": { + "hide_name": 0, + "bits": [ 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27969.17-27969.25" + } + }, + "DRP_CLK": { + "hide_name": 0, + "bits": [ 3789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27970.11-27970.18" + } + }, + "DRP_DI": { + "hide_name": 0, + "bits": [ 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27971.18-27971.24" + } + }, + "DRP_DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27616.19-27616.25" + } + }, + "DRP_EN": { + "hide_name": 0, + "bits": [ 3806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27972.11-27972.17" + } + }, + "DRP_RDY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27617.12-27617.19" + } + }, + "DRP_WE": { + "hide_name": 0, + "bits": [ 3807 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27973.11-27973.17" + } + }, + "RSFEC_BYPASS_RX_DIN": { + "hide_name": 0, + "bits": [ 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27974.19-27974.38" + } + }, + "RSFEC_BYPASS_RX_DIN_CW_START": { + "hide_name": 0, + "bits": [ 4138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27975.11-27975.39" + } + }, + "RSFEC_BYPASS_RX_DOUT": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27618.20-27618.40" + } + }, + "RSFEC_BYPASS_RX_DOUT_CW_START": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27619.12-27619.41" + } + }, + "RSFEC_BYPASS_RX_DOUT_VALID": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27620.12-27620.38" + } + }, + "RSFEC_BYPASS_TX_DIN": { + "hide_name": 0, + "bits": [ 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27976.19-27976.38" + } + }, + "RSFEC_BYPASS_TX_DIN_CW_START": { + "hide_name": 0, + "bits": [ 4469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27977.11-27977.39" + } + }, + "RSFEC_BYPASS_TX_DOUT": { + "hide_name": 0, + "bits": [ 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27621.20-27621.40" + } + }, + "RSFEC_BYPASS_TX_DOUT_CW_START": { + "hide_name": 0, + "bits": [ 681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27622.12-27622.41" + } + }, + "RSFEC_BYPASS_TX_DOUT_VALID": { + "hide_name": 0, + "bits": [ 682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27623.12-27623.38" + } + }, + "RX_CLK": { + "hide_name": 0, + "bits": [ 4470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27978.11-27978.17" + } + }, + "RX_DATAOUT0": { + "hide_name": 0, + "bits": [ 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27624.20-27624.31" + } + }, + "RX_DATAOUT1": { + "hide_name": 0, + "bits": [ 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27625.20-27625.31" + } + }, + "RX_DATAOUT2": { + "hide_name": 0, + "bits": [ 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27626.20-27626.31" + } + }, + "RX_DATAOUT3": { + "hide_name": 0, + "bits": [ 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27627.20-27627.31" + } + }, + "RX_ENAOUT0": { + "hide_name": 0, + "bits": [ 1195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27628.12-27628.22" + } + }, + "RX_ENAOUT1": { + "hide_name": 0, + "bits": [ 1196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27629.12-27629.22" + } + }, + "RX_ENAOUT2": { + "hide_name": 0, + "bits": [ 1197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27630.12-27630.22" + } + }, + "RX_ENAOUT3": { + "hide_name": 0, + "bits": [ 1198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27631.12-27631.22" + } + }, + "RX_EOPOUT0": { + "hide_name": 0, + "bits": [ 1199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27632.12-27632.22" + } + }, + "RX_EOPOUT1": { + "hide_name": 0, + "bits": [ 1200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27633.12-27633.22" + } + }, + "RX_EOPOUT2": { + "hide_name": 0, + "bits": [ 1201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27634.12-27634.22" + } + }, + "RX_EOPOUT3": { + "hide_name": 0, + "bits": [ 1202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27635.12-27635.22" + } + }, + "RX_ERROUT0": { + "hide_name": 0, + "bits": [ 1203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27636.12-27636.22" + } + }, + "RX_ERROUT1": { + "hide_name": 0, + "bits": [ 1204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27637.12-27637.22" + } + }, + "RX_ERROUT2": { + "hide_name": 0, + "bits": [ 1205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27638.12-27638.22" + } + }, + "RX_ERROUT3": { + "hide_name": 0, + "bits": [ 1206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27639.12-27639.22" + } + }, + "RX_LANE_ALIGNER_FILL_0": { + "hide_name": 0, + "bits": [ 1207, 1208, 1209, 1210, 1211, 1212, 1213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27640.18-27640.40" + } + }, + "RX_LANE_ALIGNER_FILL_1": { + "hide_name": 0, + "bits": [ 1214, 1215, 1216, 1217, 1218, 1219, 1220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27641.18-27641.40" + } + }, + "RX_LANE_ALIGNER_FILL_10": { + "hide_name": 0, + "bits": [ 1221, 1222, 1223, 1224, 1225, 1226, 1227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27642.18-27642.41" + } + }, + "RX_LANE_ALIGNER_FILL_11": { + "hide_name": 0, + "bits": [ 1228, 1229, 1230, 1231, 1232, 1233, 1234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27643.18-27643.41" + } + }, + "RX_LANE_ALIGNER_FILL_12": { + "hide_name": 0, + "bits": [ 1235, 1236, 1237, 1238, 1239, 1240, 1241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27644.18-27644.41" + } + }, + "RX_LANE_ALIGNER_FILL_13": { + "hide_name": 0, + "bits": [ 1242, 1243, 1244, 1245, 1246, 1247, 1248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27645.18-27645.41" + } + }, + "RX_LANE_ALIGNER_FILL_14": { + "hide_name": 0, + "bits": [ 1249, 1250, 1251, 1252, 1253, 1254, 1255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27646.18-27646.41" + } + }, + "RX_LANE_ALIGNER_FILL_15": { + "hide_name": 0, + "bits": [ 1256, 1257, 1258, 1259, 1260, 1261, 1262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27647.18-27647.41" + } + }, + "RX_LANE_ALIGNER_FILL_16": { + "hide_name": 0, + "bits": [ 1263, 1264, 1265, 1266, 1267, 1268, 1269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27648.18-27648.41" + } + }, + "RX_LANE_ALIGNER_FILL_17": { + "hide_name": 0, + "bits": [ 1270, 1271, 1272, 1273, 1274, 1275, 1276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27649.18-27649.41" + } + }, + "RX_LANE_ALIGNER_FILL_18": { + "hide_name": 0, + "bits": [ 1277, 1278, 1279, 1280, 1281, 1282, 1283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27650.18-27650.41" + } + }, + "RX_LANE_ALIGNER_FILL_19": { + "hide_name": 0, + "bits": [ 1284, 1285, 1286, 1287, 1288, 1289, 1290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27651.18-27651.41" + } + }, + "RX_LANE_ALIGNER_FILL_2": { + "hide_name": 0, + "bits": [ 1291, 1292, 1293, 1294, 1295, 1296, 1297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27652.18-27652.40" + } + }, + "RX_LANE_ALIGNER_FILL_3": { + "hide_name": 0, + "bits": [ 1298, 1299, 1300, 1301, 1302, 1303, 1304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27653.18-27653.40" + } + }, + "RX_LANE_ALIGNER_FILL_4": { + "hide_name": 0, + "bits": [ 1305, 1306, 1307, 1308, 1309, 1310, 1311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27654.18-27654.40" + } + }, + "RX_LANE_ALIGNER_FILL_5": { + "hide_name": 0, + "bits": [ 1312, 1313, 1314, 1315, 1316, 1317, 1318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27655.18-27655.40" + } + }, + "RX_LANE_ALIGNER_FILL_6": { + "hide_name": 0, + "bits": [ 1319, 1320, 1321, 1322, 1323, 1324, 1325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27656.18-27656.40" + } + }, + "RX_LANE_ALIGNER_FILL_7": { + "hide_name": 0, + "bits": [ 1326, 1327, 1328, 1329, 1330, 1331, 1332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27657.18-27657.40" + } + }, + "RX_LANE_ALIGNER_FILL_8": { + "hide_name": 0, + "bits": [ 1333, 1334, 1335, 1336, 1337, 1338, 1339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27658.18-27658.40" + } + }, + "RX_LANE_ALIGNER_FILL_9": { + "hide_name": 0, + "bits": [ 1340, 1341, 1342, 1343, 1344, 1345, 1346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27659.18-27659.40" + } + }, + "RX_MTYOUT0": { + "hide_name": 0, + "bits": [ 1347, 1348, 1349, 1350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27660.18-27660.28" + } + }, + "RX_MTYOUT1": { + "hide_name": 0, + "bits": [ 1351, 1352, 1353, 1354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27661.18-27661.28" + } + }, + "RX_MTYOUT2": { + "hide_name": 0, + "bits": [ 1355, 1356, 1357, 1358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27662.18-27662.28" + } + }, + "RX_MTYOUT3": { + "hide_name": 0, + "bits": [ 1359, 1360, 1361, 1362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27663.18-27663.28" + } + }, + "RX_OTN_BIP8_0": { + "hide_name": 0, + "bits": [ 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27664.18-27664.31" + } + }, + "RX_OTN_BIP8_1": { + "hide_name": 0, + "bits": [ 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27665.18-27665.31" + } + }, + "RX_OTN_BIP8_2": { + "hide_name": 0, + "bits": [ 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27666.18-27666.31" + } + }, + "RX_OTN_BIP8_3": { + "hide_name": 0, + "bits": [ 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27667.18-27667.31" + } + }, + "RX_OTN_BIP8_4": { + "hide_name": 0, + "bits": [ 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27668.18-27668.31" + } + }, + "RX_OTN_DATA_0": { + "hide_name": 0, + "bits": [ 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27669.19-27669.32" + } + }, + "RX_OTN_DATA_1": { + "hide_name": 0, + "bits": [ 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27670.19-27670.32" + } + }, + "RX_OTN_DATA_2": { + "hide_name": 0, + "bits": [ 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27671.19-27671.32" + } + }, + "RX_OTN_DATA_3": { + "hide_name": 0, + "bits": [ 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27672.19-27672.32" + } + }, + "RX_OTN_DATA_4": { + "hide_name": 0, + "bits": [ 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27673.19-27673.32" + } + }, + "RX_OTN_ENA": { + "hide_name": 0, + "bits": [ 1733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27674.12-27674.22" + } + }, + "RX_OTN_LANE0": { + "hide_name": 0, + "bits": [ 1734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27675.12-27675.24" + } + }, + "RX_OTN_VLMARKER": { + "hide_name": 0, + "bits": [ 1735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27676.12-27676.27" + } + }, + "RX_PREOUT": { + "hide_name": 0, + "bits": [ 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27677.19-27677.28" + } + }, + "RX_PTP_PCSLANE_OUT": { + "hide_name": 0, + "bits": [ 1792, 1793, 1794, 1795, 1796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27678.18-27678.36" + } + }, + "RX_PTP_TSTAMP_OUT": { + "hide_name": 0, + "bits": [ 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27679.19-27679.36" + } + }, + "RX_RESET": { + "hide_name": 0, + "bits": [ 4471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27979.11-27979.19" + } + }, + "RX_SERDES_ALT_DATA0": { + "hide_name": 0, + "bits": [ 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27980.18-27980.37" + } + }, + "RX_SERDES_ALT_DATA1": { + "hide_name": 0, + "bits": [ 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27981.18-27981.37" + } + }, + "RX_SERDES_ALT_DATA2": { + "hide_name": 0, + "bits": [ 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27982.18-27982.37" + } + }, + "RX_SERDES_ALT_DATA3": { + "hide_name": 0, + "bits": [ 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27983.18-27983.37" + } + }, + "RX_SERDES_CLK": { + "hide_name": 0, + "bits": [ 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27984.17-27984.30" + } + }, + "RX_SERDES_DATA0": { + "hide_name": 0, + "bits": [ 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27985.18-27985.33" + } + }, + "RX_SERDES_DATA1": { + "hide_name": 0, + "bits": [ 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27986.18-27986.33" + } + }, + "RX_SERDES_DATA2": { + "hide_name": 0, + "bits": [ 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27987.18-27987.33" + } + }, + "RX_SERDES_DATA3": { + "hide_name": 0, + "bits": [ 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27988.18-27988.33" + } + }, + "RX_SERDES_DATA4": { + "hide_name": 0, + "bits": [ 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27989.18-27989.33" + } + }, + "RX_SERDES_DATA5": { + "hide_name": 0, + "bits": [ 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27990.18-27990.33" + } + }, + "RX_SERDES_DATA6": { + "hide_name": 0, + "bits": [ 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27991.18-27991.33" + } + }, + "RX_SERDES_DATA7": { + "hide_name": 0, + "bits": [ 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27992.18-27992.33" + } + }, + "RX_SERDES_DATA8": { + "hide_name": 0, + "bits": [ 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27993.18-27993.33" + } + }, + "RX_SERDES_DATA9": { + "hide_name": 0, + "bits": [ 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27994.18-27994.33" + } + }, + "RX_SERDES_RESET": { + "hide_name": 0, + "bits": [ 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27995.17-27995.32" + } + }, + "RX_SOPOUT0": { + "hide_name": 0, + "bits": [ 1877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27680.12-27680.22" + } + }, + "RX_SOPOUT1": { + "hide_name": 0, + "bits": [ 1878 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27681.12-27681.22" + } + }, + "RX_SOPOUT2": { + "hide_name": 0, + "bits": [ 1879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27682.12-27682.22" + } + }, + "RX_SOPOUT3": { + "hide_name": 0, + "bits": [ 1880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27683.12-27683.22" + } + }, + "STAT_RX_ALIGNED": { + "hide_name": 0, + "bits": [ 1881 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27684.12-27684.27" + } + }, + "STAT_RX_ALIGNED_ERR": { + "hide_name": 0, + "bits": [ 1882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27685.12-27685.31" + } + }, + "STAT_RX_BAD_CODE": { + "hide_name": 0, + "bits": [ 1883, 1884, 1885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27686.18-27686.34" + } + }, + "STAT_RX_BAD_FCS": { + "hide_name": 0, + "bits": [ 1886, 1887, 1888 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27687.18-27687.33" + } + }, + "STAT_RX_BAD_PREAMBLE": { + "hide_name": 0, + "bits": [ 1889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27688.12-27688.32" + } + }, + "STAT_RX_BAD_SFD": { + "hide_name": 0, + "bits": [ 1890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27689.12-27689.27" + } + }, + "STAT_RX_BIP_ERR_0": { + "hide_name": 0, + "bits": [ 1891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27690.12-27690.29" + } + }, + "STAT_RX_BIP_ERR_1": { + "hide_name": 0, + "bits": [ 1892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27691.12-27691.29" + } + }, + "STAT_RX_BIP_ERR_10": { + "hide_name": 0, + "bits": [ 1893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27692.12-27692.30" + } + }, + "STAT_RX_BIP_ERR_11": { + "hide_name": 0, + "bits": [ 1894 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27693.12-27693.30" + } + }, + "STAT_RX_BIP_ERR_12": { + "hide_name": 0, + "bits": [ 1895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27694.12-27694.30" + } + }, + "STAT_RX_BIP_ERR_13": { + "hide_name": 0, + "bits": [ 1896 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27695.12-27695.30" + } + }, + "STAT_RX_BIP_ERR_14": { + "hide_name": 0, + "bits": [ 1897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27696.12-27696.30" + } + }, + "STAT_RX_BIP_ERR_15": { + "hide_name": 0, + "bits": [ 1898 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27697.12-27697.30" + } + }, + "STAT_RX_BIP_ERR_16": { + "hide_name": 0, + "bits": [ 1899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27698.12-27698.30" + } + }, + "STAT_RX_BIP_ERR_17": { + "hide_name": 0, + "bits": [ 1900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27699.12-27699.30" + } + }, + "STAT_RX_BIP_ERR_18": { + "hide_name": 0, + "bits": [ 1901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27700.12-27700.30" + } + }, + "STAT_RX_BIP_ERR_19": { + "hide_name": 0, + "bits": [ 1902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27701.12-27701.30" + } + }, + "STAT_RX_BIP_ERR_2": { + "hide_name": 0, + "bits": [ 1903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27702.12-27702.29" + } + }, + "STAT_RX_BIP_ERR_3": { + "hide_name": 0, + "bits": [ 1904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27703.12-27703.29" + } + }, + "STAT_RX_BIP_ERR_4": { + "hide_name": 0, + "bits": [ 1905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27704.12-27704.29" + } + }, + "STAT_RX_BIP_ERR_5": { + "hide_name": 0, + "bits": [ 1906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27705.12-27705.29" + } + }, + "STAT_RX_BIP_ERR_6": { + "hide_name": 0, + "bits": [ 1907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27706.12-27706.29" + } + }, + "STAT_RX_BIP_ERR_7": { + "hide_name": 0, + "bits": [ 1908 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27707.12-27707.29" + } + }, + "STAT_RX_BIP_ERR_8": { + "hide_name": 0, + "bits": [ 1909 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27708.12-27708.29" + } + }, + "STAT_RX_BIP_ERR_9": { + "hide_name": 0, + "bits": [ 1910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27709.12-27709.29" + } + }, + "STAT_RX_BLOCK_LOCK": { + "hide_name": 0, + "bits": [ 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27710.19-27710.37" + } + }, + "STAT_RX_BROADCAST": { + "hide_name": 0, + "bits": [ 1931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27711.12-27711.29" + } + }, + "STAT_RX_FRAGMENT": { + "hide_name": 0, + "bits": [ 1932, 1933, 1934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27712.18-27712.34" + } + }, + "STAT_RX_FRAMING_ERR_0": { + "hide_name": 0, + "bits": [ 1935, 1936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27713.18-27713.39" + } + }, + "STAT_RX_FRAMING_ERR_1": { + "hide_name": 0, + "bits": [ 1937, 1938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27714.18-27714.39" + } + }, + "STAT_RX_FRAMING_ERR_10": { + "hide_name": 0, + "bits": [ 1939, 1940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27715.18-27715.40" + } + }, + "STAT_RX_FRAMING_ERR_11": { + "hide_name": 0, + "bits": [ 1941, 1942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27716.18-27716.40" + } + }, + "STAT_RX_FRAMING_ERR_12": { + "hide_name": 0, + "bits": [ 1943, 1944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27717.18-27717.40" + } + }, + "STAT_RX_FRAMING_ERR_13": { + "hide_name": 0, + "bits": [ 1945, 1946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27718.18-27718.40" + } + }, + "STAT_RX_FRAMING_ERR_14": { + "hide_name": 0, + "bits": [ 1947, 1948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27719.18-27719.40" + } + }, + "STAT_RX_FRAMING_ERR_15": { + "hide_name": 0, + "bits": [ 1949, 1950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27720.18-27720.40" + } + }, + "STAT_RX_FRAMING_ERR_16": { + "hide_name": 0, + "bits": [ 1951, 1952 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27721.18-27721.40" + } + }, + "STAT_RX_FRAMING_ERR_17": { + "hide_name": 0, + "bits": [ 1953, 1954 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27722.18-27722.40" + } + }, + "STAT_RX_FRAMING_ERR_18": { + "hide_name": 0, + "bits": [ 1955, 1956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27723.18-27723.40" + } + }, + "STAT_RX_FRAMING_ERR_19": { + "hide_name": 0, + "bits": [ 1957, 1958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27724.18-27724.40" + } + }, + "STAT_RX_FRAMING_ERR_2": { + "hide_name": 0, + "bits": [ 1959, 1960 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27725.18-27725.39" + } + }, + "STAT_RX_FRAMING_ERR_3": { + "hide_name": 0, + "bits": [ 1961, 1962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27726.18-27726.39" + } + }, + "STAT_RX_FRAMING_ERR_4": { + "hide_name": 0, + "bits": [ 1963, 1964 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27727.18-27727.39" + } + }, + "STAT_RX_FRAMING_ERR_5": { + "hide_name": 0, + "bits": [ 1965, 1966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27728.18-27728.39" + } + }, + "STAT_RX_FRAMING_ERR_6": { + "hide_name": 0, + "bits": [ 1967, 1968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27729.18-27729.39" + } + }, + "STAT_RX_FRAMING_ERR_7": { + "hide_name": 0, + "bits": [ 1969, 1970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27730.18-27730.39" + } + }, + "STAT_RX_FRAMING_ERR_8": { + "hide_name": 0, + "bits": [ 1971, 1972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27731.18-27731.39" + } + }, + "STAT_RX_FRAMING_ERR_9": { + "hide_name": 0, + "bits": [ 1973, 1974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27732.18-27732.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_0": { + "hide_name": 0, + "bits": [ 1975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27733.12-27733.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_1": { + "hide_name": 0, + "bits": [ 1976 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27734.12-27734.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_10": { + "hide_name": 0, + "bits": [ 1977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27735.12-27735.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_11": { + "hide_name": 0, + "bits": [ 1978 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27736.12-27736.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_12": { + "hide_name": 0, + "bits": [ 1979 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27737.12-27737.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_13": { + "hide_name": 0, + "bits": [ 1980 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27738.12-27738.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_14": { + "hide_name": 0, + "bits": [ 1981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27739.12-27739.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_15": { + "hide_name": 0, + "bits": [ 1982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27740.12-27740.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_16": { + "hide_name": 0, + "bits": [ 1983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27741.12-27741.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_17": { + "hide_name": 0, + "bits": [ 1984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27742.12-27742.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_18": { + "hide_name": 0, + "bits": [ 1985 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27743.12-27743.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_19": { + "hide_name": 0, + "bits": [ 1986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27744.12-27744.40" + } + }, + "STAT_RX_FRAMING_ERR_VALID_2": { + "hide_name": 0, + "bits": [ 1987 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27745.12-27745.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_3": { + "hide_name": 0, + "bits": [ 1988 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27746.12-27746.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_4": { + "hide_name": 0, + "bits": [ 1989 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27747.12-27747.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_5": { + "hide_name": 0, + "bits": [ 1990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27748.12-27748.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_6": { + "hide_name": 0, + "bits": [ 1991 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27749.12-27749.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_7": { + "hide_name": 0, + "bits": [ 1992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27750.12-27750.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_8": { + "hide_name": 0, + "bits": [ 1993 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27751.12-27751.39" + } + }, + "STAT_RX_FRAMING_ERR_VALID_9": { + "hide_name": 0, + "bits": [ 1994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27752.12-27752.39" + } + }, + "STAT_RX_GOT_SIGNAL_OS": { + "hide_name": 0, + "bits": [ 1995 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27753.12-27753.33" + } + }, + "STAT_RX_HI_BER": { + "hide_name": 0, + "bits": [ 1996 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27754.12-27754.26" + } + }, + "STAT_RX_INRANGEERR": { + "hide_name": 0, + "bits": [ 1997 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27755.12-27755.30" + } + }, + "STAT_RX_INTERNAL_LOCAL_FAULT": { + "hide_name": 0, + "bits": [ 1998 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27756.12-27756.40" + } + }, + "STAT_RX_JABBER": { + "hide_name": 0, + "bits": [ 1999 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27757.12-27757.26" + } + }, + "STAT_RX_LANE0_VLM_BIP7": { + "hide_name": 0, + "bits": [ 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27758.18-27758.40" + } + }, + "STAT_RX_LANE0_VLM_BIP7_VALID": { + "hide_name": 0, + "bits": [ 2008 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27759.12-27759.40" + } + }, + "STAT_RX_LOCAL_FAULT": { + "hide_name": 0, + "bits": [ 2009 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27760.12-27760.31" + } + }, + "STAT_RX_MF_ERR": { + "hide_name": 0, + "bits": [ 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27761.19-27761.33" + } + }, + "STAT_RX_MF_LEN_ERR": { + "hide_name": 0, + "bits": [ 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27762.19-27762.37" + } + }, + "STAT_RX_MF_REPEAT_ERR": { + "hide_name": 0, + "bits": [ 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27763.19-27763.40" + } + }, + "STAT_RX_MISALIGNED": { + "hide_name": 0, + "bits": [ 2070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27764.12-27764.30" + } + }, + "STAT_RX_MULTICAST": { + "hide_name": 0, + "bits": [ 2071 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27765.12-27765.29" + } + }, + "STAT_RX_OVERSIZE": { + "hide_name": 0, + "bits": [ 2072 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27766.12-27766.28" + } + }, + "STAT_RX_PACKET_1024_1518_BYTES": { + "hide_name": 0, + "bits": [ 2073 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27767.12-27767.42" + } + }, + "STAT_RX_PACKET_128_255_BYTES": { + "hide_name": 0, + "bits": [ 2074 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27768.12-27768.40" + } + }, + "STAT_RX_PACKET_1519_1522_BYTES": { + "hide_name": 0, + "bits": [ 2075 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27769.12-27769.42" + } + }, + "STAT_RX_PACKET_1523_1548_BYTES": { + "hide_name": 0, + "bits": [ 2076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27770.12-27770.42" + } + }, + "STAT_RX_PACKET_1549_2047_BYTES": { + "hide_name": 0, + "bits": [ 2077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27771.12-27771.42" + } + }, + "STAT_RX_PACKET_2048_4095_BYTES": { + "hide_name": 0, + "bits": [ 2078 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27772.12-27772.42" + } + }, + "STAT_RX_PACKET_256_511_BYTES": { + "hide_name": 0, + "bits": [ 2079 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27773.12-27773.40" + } + }, + "STAT_RX_PACKET_4096_8191_BYTES": { + "hide_name": 0, + "bits": [ 2080 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27774.12-27774.42" + } + }, + "STAT_RX_PACKET_512_1023_BYTES": { + "hide_name": 0, + "bits": [ 2081 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27775.12-27775.41" + } + }, + "STAT_RX_PACKET_64_BYTES": { + "hide_name": 0, + "bits": [ 2082 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27776.12-27776.35" + } + }, + "STAT_RX_PACKET_65_127_BYTES": { + "hide_name": 0, + "bits": [ 2083 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27777.12-27777.39" + } + }, + "STAT_RX_PACKET_8192_9215_BYTES": { + "hide_name": 0, + "bits": [ 2084 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27778.12-27778.42" + } + }, + "STAT_RX_PACKET_BAD_FCS": { + "hide_name": 0, + "bits": [ 2085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27779.12-27779.34" + } + }, + "STAT_RX_PACKET_LARGE": { + "hide_name": 0, + "bits": [ 2086 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27780.12-27780.32" + } + }, + "STAT_RX_PACKET_SMALL": { + "hide_name": 0, + "bits": [ 2087, 2088, 2089 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27781.18-27781.38" + } + }, + "STAT_RX_PAUSE": { + "hide_name": 0, + "bits": [ 2090 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27782.12-27782.25" + } + }, + "STAT_RX_PAUSE_QUANTA0": { + "hide_name": 0, + "bits": [ 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27783.19-27783.40" + } + }, + "STAT_RX_PAUSE_QUANTA1": { + "hide_name": 0, + "bits": [ 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27784.19-27784.40" + } + }, + "STAT_RX_PAUSE_QUANTA2": { + "hide_name": 0, + "bits": [ 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27785.19-27785.40" + } + }, + "STAT_RX_PAUSE_QUANTA3": { + "hide_name": 0, + "bits": [ 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27786.19-27786.40" + } + }, + "STAT_RX_PAUSE_QUANTA4": { + "hide_name": 0, + "bits": [ 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27787.19-27787.40" + } + }, + "STAT_RX_PAUSE_QUANTA5": { + "hide_name": 0, + "bits": [ 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27788.19-27788.40" + } + }, + "STAT_RX_PAUSE_QUANTA6": { + "hide_name": 0, + "bits": [ 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27789.19-27789.40" + } + }, + "STAT_RX_PAUSE_QUANTA7": { + "hide_name": 0, + "bits": [ 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27790.19-27790.40" + } + }, + "STAT_RX_PAUSE_QUANTA8": { + "hide_name": 0, + "bits": [ 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27791.19-27791.40" + } + }, + "STAT_RX_PAUSE_REQ": { + "hide_name": 0, + "bits": [ 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27792.18-27792.35" + } + }, + "STAT_RX_PAUSE_VALID": { + "hide_name": 0, + "bits": [ 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27793.18-27793.37" + } + }, + "STAT_RX_RECEIVED_LOCAL_FAULT": { + "hide_name": 0, + "bits": [ 2253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27794.12-27794.40" + } + }, + "STAT_RX_REMOTE_FAULT": { + "hide_name": 0, + "bits": [ 2254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27795.12-27795.32" + } + }, + "STAT_RX_RSFEC_AM_LOCK0": { + "hide_name": 0, + "bits": [ 2255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27796.12-27796.34" + } + }, + "STAT_RX_RSFEC_AM_LOCK1": { + "hide_name": 0, + "bits": [ 2256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27797.12-27797.34" + } + }, + "STAT_RX_RSFEC_AM_LOCK2": { + "hide_name": 0, + "bits": [ 2257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27798.12-27798.34" + } + }, + "STAT_RX_RSFEC_AM_LOCK3": { + "hide_name": 0, + "bits": [ 2258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27799.12-27799.34" + } + }, + "STAT_RX_RSFEC_CORRECTED_CW_INC": { + "hide_name": 0, + "bits": [ 2259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27800.12-27800.42" + } + }, + "STAT_RX_RSFEC_CW_INC": { + "hide_name": 0, + "bits": [ 2260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27801.12-27801.32" + } + }, + "STAT_RX_RSFEC_ERR_COUNT0_INC": { + "hide_name": 0, + "bits": [ 2261, 2262, 2263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27802.18-27802.46" + } + }, + "STAT_RX_RSFEC_ERR_COUNT1_INC": { + "hide_name": 0, + "bits": [ 2264, 2265, 2266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27803.18-27803.46" + } + }, + "STAT_RX_RSFEC_ERR_COUNT2_INC": { + "hide_name": 0, + "bits": [ 2267, 2268, 2269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27804.18-27804.46" + } + }, + "STAT_RX_RSFEC_ERR_COUNT3_INC": { + "hide_name": 0, + "bits": [ 2270, 2271, 2272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27805.18-27805.46" + } + }, + "STAT_RX_RSFEC_HI_SER": { + "hide_name": 0, + "bits": [ 2273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27806.12-27806.32" + } + }, + "STAT_RX_RSFEC_LANE_ALIGNMENT_STATUS": { + "hide_name": 0, + "bits": [ 2274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27807.12-27807.47" + } + }, + "STAT_RX_RSFEC_LANE_FILL_0": { + "hide_name": 0, + "bits": [ 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27808.19-27808.44" + } + }, + "STAT_RX_RSFEC_LANE_FILL_1": { + "hide_name": 0, + "bits": [ 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27809.19-27809.44" + } + }, + "STAT_RX_RSFEC_LANE_FILL_2": { + "hide_name": 0, + "bits": [ 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27810.19-27810.44" + } + }, + "STAT_RX_RSFEC_LANE_FILL_3": { + "hide_name": 0, + "bits": [ 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27811.19-27811.44" + } + }, + "STAT_RX_RSFEC_LANE_MAPPING": { + "hide_name": 0, + "bits": [ 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27812.18-27812.44" + } + }, + "STAT_RX_RSFEC_RSVD": { + "hide_name": 0, + "bits": [ 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27813.19-27813.37" + } + }, + "STAT_RX_RSFEC_UNCORRECTED_CW_INC": { + "hide_name": 0, + "bits": [ 2371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27814.12-27814.44" + } + }, + "STAT_RX_STATUS": { + "hide_name": 0, + "bits": [ 2372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27815.12-27815.26" + } + }, + "STAT_RX_STOMPED_FCS": { + "hide_name": 0, + "bits": [ 2373, 2374, 2375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27816.18-27816.37" + } + }, + "STAT_RX_SYNCED": { + "hide_name": 0, + "bits": [ 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27817.19-27817.33" + } + }, + "STAT_RX_SYNCED_ERR": { + "hide_name": 0, + "bits": [ 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27818.19-27818.37" + } + }, + "STAT_RX_TEST_PATTERN_MISMATCH": { + "hide_name": 0, + "bits": [ 2416, 2417, 2418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27819.18-27819.47" + } + }, + "STAT_RX_TOOLONG": { + "hide_name": 0, + "bits": [ 2419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27820.12-27820.27" + } + }, + "STAT_RX_TOTAL_BYTES": { + "hide_name": 0, + "bits": [ 2420, 2421, 2422, 2423, 2424, 2425, 2426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27821.18-27821.37" + } + }, + "STAT_RX_TOTAL_GOOD_BYTES": { + "hide_name": 0, + "bits": [ 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27822.19-27822.43" + } + }, + "STAT_RX_TOTAL_GOOD_PACKETS": { + "hide_name": 0, + "bits": [ 2441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27823.12-27823.38" + } + }, + "STAT_RX_TOTAL_PACKETS": { + "hide_name": 0, + "bits": [ 2442, 2443, 2444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27824.18-27824.39" + } + }, + "STAT_RX_TRUNCATED": { + "hide_name": 0, + "bits": [ 2445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27825.12-27825.29" + } + }, + "STAT_RX_UNDERSIZE": { + "hide_name": 0, + "bits": [ 2446, 2447, 2448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27826.18-27826.35" + } + }, + "STAT_RX_UNICAST": { + "hide_name": 0, + "bits": [ 2449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27827.12-27827.27" + } + }, + "STAT_RX_USER_PAUSE": { + "hide_name": 0, + "bits": [ 2450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27828.12-27828.30" + } + }, + "STAT_RX_VLAN": { + "hide_name": 0, + "bits": [ 2451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27829.12-27829.24" + } + }, + "STAT_RX_VL_DEMUXED": { + "hide_name": 0, + "bits": [ 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27830.19-27830.37" + } + }, + "STAT_RX_VL_NUMBER_0": { + "hide_name": 0, + "bits": [ 2472, 2473, 2474, 2475, 2476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27831.18-27831.37" + } + }, + "STAT_RX_VL_NUMBER_1": { + "hide_name": 0, + "bits": [ 2477, 2478, 2479, 2480, 2481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27832.18-27832.37" + } + }, + "STAT_RX_VL_NUMBER_10": { + "hide_name": 0, + "bits": [ 2482, 2483, 2484, 2485, 2486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27833.18-27833.38" + } + }, + "STAT_RX_VL_NUMBER_11": { + "hide_name": 0, + "bits": [ 2487, 2488, 2489, 2490, 2491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27834.18-27834.38" + } + }, + "STAT_RX_VL_NUMBER_12": { + "hide_name": 0, + "bits": [ 2492, 2493, 2494, 2495, 2496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27835.18-27835.38" + } + }, + "STAT_RX_VL_NUMBER_13": { + "hide_name": 0, + "bits": [ 2497, 2498, 2499, 2500, 2501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27836.18-27836.38" + } + }, + "STAT_RX_VL_NUMBER_14": { + "hide_name": 0, + "bits": [ 2502, 2503, 2504, 2505, 2506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27837.18-27837.38" + } + }, + "STAT_RX_VL_NUMBER_15": { + "hide_name": 0, + "bits": [ 2507, 2508, 2509, 2510, 2511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27838.18-27838.38" + } + }, + "STAT_RX_VL_NUMBER_16": { + "hide_name": 0, + "bits": [ 2512, 2513, 2514, 2515, 2516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27839.18-27839.38" + } + }, + "STAT_RX_VL_NUMBER_17": { + "hide_name": 0, + "bits": [ 2517, 2518, 2519, 2520, 2521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27840.18-27840.38" + } + }, + "STAT_RX_VL_NUMBER_18": { + "hide_name": 0, + "bits": [ 2522, 2523, 2524, 2525, 2526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27841.18-27841.38" + } + }, + "STAT_RX_VL_NUMBER_19": { + "hide_name": 0, + "bits": [ 2527, 2528, 2529, 2530, 2531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27842.18-27842.38" + } + }, + "STAT_RX_VL_NUMBER_2": { + "hide_name": 0, + "bits": [ 2532, 2533, 2534, 2535, 2536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27843.18-27843.37" + } + }, + "STAT_RX_VL_NUMBER_3": { + "hide_name": 0, + "bits": [ 2537, 2538, 2539, 2540, 2541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27844.18-27844.37" + } + }, + "STAT_RX_VL_NUMBER_4": { + "hide_name": 0, + "bits": [ 2542, 2543, 2544, 2545, 2546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27845.18-27845.37" + } + }, + "STAT_RX_VL_NUMBER_5": { + "hide_name": 0, + "bits": [ 2547, 2548, 2549, 2550, 2551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27846.18-27846.37" + } + }, + "STAT_RX_VL_NUMBER_6": { + "hide_name": 0, + "bits": [ 2552, 2553, 2554, 2555, 2556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27847.18-27847.37" + } + }, + "STAT_RX_VL_NUMBER_7": { + "hide_name": 0, + "bits": [ 2557, 2558, 2559, 2560, 2561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27848.18-27848.37" + } + }, + "STAT_RX_VL_NUMBER_8": { + "hide_name": 0, + "bits": [ 2562, 2563, 2564, 2565, 2566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27849.18-27849.37" + } + }, + "STAT_RX_VL_NUMBER_9": { + "hide_name": 0, + "bits": [ 2567, 2568, 2569, 2570, 2571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27850.18-27850.37" + } + }, + "STAT_TX_BAD_FCS": { + "hide_name": 0, + "bits": [ 2572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27851.12-27851.27" + } + }, + "STAT_TX_BROADCAST": { + "hide_name": 0, + "bits": [ 2573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27852.12-27852.29" + } + }, + "STAT_TX_FRAME_ERROR": { + "hide_name": 0, + "bits": [ 2574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27853.12-27853.31" + } + }, + "STAT_TX_LOCAL_FAULT": { + "hide_name": 0, + "bits": [ 2575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27854.12-27854.31" + } + }, + "STAT_TX_MULTICAST": { + "hide_name": 0, + "bits": [ 2576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27855.12-27855.29" + } + }, + "STAT_TX_PACKET_1024_1518_BYTES": { + "hide_name": 0, + "bits": [ 2577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27856.12-27856.42" + } + }, + "STAT_TX_PACKET_128_255_BYTES": { + "hide_name": 0, + "bits": [ 2578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27857.12-27857.40" + } + }, + "STAT_TX_PACKET_1519_1522_BYTES": { + "hide_name": 0, + "bits": [ 2579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27858.12-27858.42" + } + }, + "STAT_TX_PACKET_1523_1548_BYTES": { + "hide_name": 0, + "bits": [ 2580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27859.12-27859.42" + } + }, + "STAT_TX_PACKET_1549_2047_BYTES": { + "hide_name": 0, + "bits": [ 2581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27860.12-27860.42" + } + }, + "STAT_TX_PACKET_2048_4095_BYTES": { + "hide_name": 0, + "bits": [ 2582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27861.12-27861.42" + } + }, + "STAT_TX_PACKET_256_511_BYTES": { + "hide_name": 0, + "bits": [ 2583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27862.12-27862.40" + } + }, + "STAT_TX_PACKET_4096_8191_BYTES": { + "hide_name": 0, + "bits": [ 2584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27863.12-27863.42" + } + }, + "STAT_TX_PACKET_512_1023_BYTES": { + "hide_name": 0, + "bits": [ 2585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27864.12-27864.41" + } + }, + "STAT_TX_PACKET_64_BYTES": { + "hide_name": 0, + "bits": [ 2586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27865.12-27865.35" + } + }, + "STAT_TX_PACKET_65_127_BYTES": { + "hide_name": 0, + "bits": [ 2587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27866.12-27866.39" + } + }, + "STAT_TX_PACKET_8192_9215_BYTES": { + "hide_name": 0, + "bits": [ 2588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27867.12-27867.42" + } + }, + "STAT_TX_PACKET_LARGE": { + "hide_name": 0, + "bits": [ 2589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27868.12-27868.32" + } + }, + "STAT_TX_PACKET_SMALL": { + "hide_name": 0, + "bits": [ 2590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27869.12-27869.32" + } + }, + "STAT_TX_PAUSE": { + "hide_name": 0, + "bits": [ 2591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27870.12-27870.25" + } + }, + "STAT_TX_PAUSE_VALID": { + "hide_name": 0, + "bits": [ 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27871.18-27871.37" + } + }, + "STAT_TX_PTP_FIFO_READ_ERROR": { + "hide_name": 0, + "bits": [ 2601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27872.12-27872.39" + } + }, + "STAT_TX_PTP_FIFO_WRITE_ERROR": { + "hide_name": 0, + "bits": [ 2602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27873.12-27873.40" + } + }, + "STAT_TX_TOTAL_BYTES": { + "hide_name": 0, + "bits": [ 2603, 2604, 2605, 2606, 2607, 2608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27874.18-27874.37" + } + }, + "STAT_TX_TOTAL_GOOD_BYTES": { + "hide_name": 0, + "bits": [ 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27875.19-27875.43" + } + }, + "STAT_TX_TOTAL_GOOD_PACKETS": { + "hide_name": 0, + "bits": [ 2623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27876.12-27876.38" + } + }, + "STAT_TX_TOTAL_PACKETS": { + "hide_name": 0, + "bits": [ 2624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27877.12-27877.33" + } + }, + "STAT_TX_UNICAST": { + "hide_name": 0, + "bits": [ 2625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27878.12-27878.27" + } + }, + "STAT_TX_USER_PAUSE": { + "hide_name": 0, + "bits": [ 2626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27879.12-27879.30" + } + }, + "STAT_TX_VLAN": { + "hide_name": 0, + "bits": [ 2627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27880.12-27880.24" + } + }, + "TX_CLK": { + "hide_name": 0, + "bits": [ 5004 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27996.11-27996.17" + } + }, + "TX_DATAIN0": { + "hide_name": 0, + "bits": [ 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27997.19-27997.29" + } + }, + "TX_DATAIN1": { + "hide_name": 0, + "bits": [ 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27998.19-27998.29" + } + }, + "TX_DATAIN2": { + "hide_name": 0, + "bits": [ 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27999.19-27999.29" + } + }, + "TX_DATAIN3": { + "hide_name": 0, + "bits": [ 5389, 5390, 5391, 5392, 5393, 5394, 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28000.19-28000.29" + } + }, + "TX_ENAIN0": { + "hide_name": 0, + "bits": [ 5517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28001.11-28001.20" + } + }, + "TX_ENAIN1": { + "hide_name": 0, + "bits": [ 5518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28002.11-28002.20" + } + }, + "TX_ENAIN2": { + "hide_name": 0, + "bits": [ 5519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28003.11-28003.20" + } + }, + "TX_ENAIN3": { + "hide_name": 0, + "bits": [ 5520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28004.11-28004.20" + } + }, + "TX_EOPIN0": { + "hide_name": 0, + "bits": [ 5521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28005.11-28005.20" + } + }, + "TX_EOPIN1": { + "hide_name": 0, + "bits": [ 5522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28006.11-28006.20" + } + }, + "TX_EOPIN2": { + "hide_name": 0, + "bits": [ 5523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28007.11-28007.20" + } + }, + "TX_EOPIN3": { + "hide_name": 0, + "bits": [ 5524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28008.11-28008.20" + } + }, + "TX_ERRIN0": { + "hide_name": 0, + "bits": [ 5525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28009.11-28009.20" + } + }, + "TX_ERRIN1": { + "hide_name": 0, + "bits": [ 5526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28010.11-28010.20" + } + }, + "TX_ERRIN2": { + "hide_name": 0, + "bits": [ 5527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28011.11-28011.20" + } + }, + "TX_ERRIN3": { + "hide_name": 0, + "bits": [ 5528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28012.11-28012.20" + } + }, + "TX_MTYIN0": { + "hide_name": 0, + "bits": [ 5529, 5530, 5531, 5532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28013.17-28013.26" + } + }, + "TX_MTYIN1": { + "hide_name": 0, + "bits": [ 5533, 5534, 5535, 5536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28014.17-28014.26" + } + }, + "TX_MTYIN2": { + "hide_name": 0, + "bits": [ 5537, 5538, 5539, 5540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28015.17-28015.26" + } + }, + "TX_MTYIN3": { + "hide_name": 0, + "bits": [ 5541, 5542, 5543, 5544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28016.17-28016.26" + } + }, + "TX_OVFOUT": { + "hide_name": 0, + "bits": [ 2628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27881.12-27881.21" + } + }, + "TX_PREIN": { + "hide_name": 0, + "bits": [ 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28017.18-28017.26" + } + }, + "TX_PTP_1588OP_IN": { + "hide_name": 0, + "bits": [ 5601, 5602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28018.17-28018.33" + } + }, + "TX_PTP_CHKSUM_OFFSET_IN": { + "hide_name": 0, + "bits": [ 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28019.18-28019.41" + } + }, + "TX_PTP_PCSLANE_OUT": { + "hide_name": 0, + "bits": [ 2629, 2630, 2631, 2632, 2633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27882.18-27882.36" + } + }, + "TX_PTP_RXTSTAMP_IN": { + "hide_name": 0, + "bits": [ 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28020.18-28020.36" + } + }, + "TX_PTP_TAG_FIELD_IN": { + "hide_name": 0, + "bits": [ 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28021.18-28021.37" + } + }, + "TX_PTP_TSTAMP_OFFSET_IN": { + "hide_name": 0, + "bits": [ 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28022.18-28022.41" + } + }, + "TX_PTP_TSTAMP_OUT": { + "hide_name": 0, + "bits": [ 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27883.19-27883.36" + } + }, + "TX_PTP_TSTAMP_TAG_OUT": { + "hide_name": 0, + "bits": [ 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27884.19-27884.40" + } + }, + "TX_PTP_TSTAMP_VALID_OUT": { + "hide_name": 0, + "bits": [ 2730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27885.12-27885.35" + } + }, + "TX_PTP_UPD_CHKSUM_IN": { + "hide_name": 0, + "bits": [ 5715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28023.11-28023.31" + } + }, + "TX_RDYOUT": { + "hide_name": 0, + "bits": [ 2731 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27886.12-27886.21" + } + }, + "TX_RESET": { + "hide_name": 0, + "bits": [ 5716 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28024.11-28024.19" + } + }, + "TX_SERDES_ALT_DATA0": { + "hide_name": 0, + "bits": [ 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27887.19-27887.38" + } + }, + "TX_SERDES_ALT_DATA1": { + "hide_name": 0, + "bits": [ 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27888.19-27888.38" + } + }, + "TX_SERDES_ALT_DATA2": { + "hide_name": 0, + "bits": [ 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27889.19-27889.38" + } + }, + "TX_SERDES_ALT_DATA3": { + "hide_name": 0, + "bits": [ 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27890.19-27890.38" + } + }, + "TX_SERDES_DATA0": { + "hide_name": 0, + "bits": [ 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27891.19-27891.34" + } + }, + "TX_SERDES_DATA1": { + "hide_name": 0, + "bits": [ 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27892.19-27892.34" + } + }, + "TX_SERDES_DATA2": { + "hide_name": 0, + "bits": [ 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27893.19-27893.34" + } + }, + "TX_SERDES_DATA3": { + "hide_name": 0, + "bits": [ 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27894.19-27894.34" + } + }, + "TX_SERDES_DATA4": { + "hide_name": 0, + "bits": [ 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27895.19-27895.34" + } + }, + "TX_SERDES_DATA5": { + "hide_name": 0, + "bits": [ 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27896.19-27896.34" + } + }, + "TX_SERDES_DATA6": { + "hide_name": 0, + "bits": [ 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27897.19-27897.34" + } + }, + "TX_SERDES_DATA7": { + "hide_name": 0, + "bits": [ 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27898.19-27898.34" + } + }, + "TX_SERDES_DATA8": { + "hide_name": 0, + "bits": [ 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27899.19-27899.34" + } + }, + "TX_SERDES_DATA9": { + "hide_name": 0, + "bits": [ 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27900.19-27900.34" + } + }, + "TX_SOPIN0": { + "hide_name": 0, + "bits": [ 5717 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28025.11-28025.20" + } + }, + "TX_SOPIN1": { + "hide_name": 0, + "bits": [ 5718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28026.11-28026.20" + } + }, + "TX_SOPIN2": { + "hide_name": 0, + "bits": [ 5719 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28027.11-28027.20" + } + }, + "TX_SOPIN3": { + "hide_name": 0, + "bits": [ 5720 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28028.11-28028.20" + } + }, + "TX_UNFOUT": { + "hide_name": 0, + "bits": [ 3244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27901.12-27901.21" + } + } + } + }, + "CRC32": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12417.1-12426.10" + }, + "parameter_default_values": { + "CRC_INIT": "11111111111111111111111111111111" + }, + "ports": { + "CRCOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "CRCCLK": { + "direction": "input", + "bits": [ 34 ] + }, + "CRCDATAVALID": { + "direction": "input", + "bits": [ 35 ] + }, + "CRCDATAWIDTH": { + "direction": "input", + "bits": [ 36, 37, 38 ] + }, + "CRCIN": { + "direction": "input", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ] + }, + "CRCRESET": { + "direction": "input", + "bits": [ 71 ] + } + }, + "cells": { + }, + "netnames": { + "CRCCLK": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12421.11-12421.17" + } + }, + "CRCDATAVALID": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12422.11-12422.23" + } + }, + "CRCDATAWIDTH": { + "hide_name": 0, + "bits": [ 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12423.17-12423.29" + } + }, + "CRCIN": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12424.18-12424.23" + } + }, + "CRCOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12419.19-12419.25" + } + }, + "CRCRESET": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12425.11-12425.19" + } + } + } + }, + "CRC64": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12428.1-12437.10" + }, + "parameter_default_values": { + "CRC_INIT": "11111111111111111111111111111111" + }, + "ports": { + "CRCOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "CRCCLK": { + "direction": "input", + "bits": [ 34 ] + }, + "CRCDATAVALID": { + "direction": "input", + "bits": [ 35 ] + }, + "CRCDATAWIDTH": { + "direction": "input", + "bits": [ 36, 37, 38 ] + }, + "CRCIN": { + "direction": "input", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + }, + "CRCRESET": { + "direction": "input", + "bits": [ 103 ] + } + }, + "cells": { + }, + "netnames": { + "CRCCLK": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12432.11-12432.17" + } + }, + "CRCDATAVALID": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12433.11-12433.23" + } + }, + "CRCDATAWIDTH": { + "hide_name": 0, + "bits": [ 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12434.17-12434.29" + } + }, + "CRCIN": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12435.18-12435.23" + } + }, + "CRCOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12430.19-12430.25" + } + }, + "CRCRESET": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12436.11-12436.19" + } + } + } + }, + "DCIRESET": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7955.1-7958.10" + }, + "ports": { + "LOCKED": { + "direction": "output", + "bits": [ 2 ] + }, + "RST": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "LOCKED": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7956.12-7956.18" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7957.11-7957.14" + } + } + } + }, + "DCM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8176.1-8212.10" + }, + "parameter_default_values": { + "CLKFX_DIVIDE": "00000000000000000000000000000001", + "CLKFX_MULTIPLY": "00000000000000000000000000000100", + "CLKIN_DIVIDE_BY_2": "FALSE", + "CLKOUT_PHASE_SHIFT": "NONE", + "CLK_FEEDBACK": "1X", + "DESKEW_ADJUST": "SYSTEM_SYNCHRONOUS", + "DFS_FREQUENCY_MODE": "LOW", + "DLL_FREQUENCY_MODE": "LOW", + "DSS_MODE": "NONE", + "DUTY_CYCLE_CORRECTION": "TRUE", + "FACTORY_JF": "1100000010000000", + "PHASE_SHIFT": "00000000000000000000000000000000", + "SIM_MODE": "SAFE", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFB": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 3 ] + }, + "DSSEN": { + "direction": "input", + "bits": [ 4 ] + }, + "PSCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "PSEN": { + "direction": "input", + "bits": [ 6 ] + }, + "PSINCDEC": { + "direction": "input", + "bits": [ 7 ] + }, + "RST": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK0": { + "direction": "output", + "bits": [ 9 ] + }, + "CLK180": { + "direction": "output", + "bits": [ 10 ] + }, + "CLK270": { + "direction": "output", + "bits": [ 11 ] + }, + "CLK2X": { + "direction": "output", + "bits": [ 12 ] + }, + "CLK2X180": { + "direction": "output", + "bits": [ 13 ] + }, + "CLK90": { + "direction": "output", + "bits": [ 14 ] + }, + "CLKDV": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKFX": { + "direction": "output", + "bits": [ 16 ] + }, + "CLKFX180": { + "direction": "output", + "bits": [ 17 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 18 ] + }, + "PSDONE": { + "direction": "output", + "bits": [ 19 ] + }, + "STATUS": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8200.12-8200.16" + } + }, + "CLK180": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8201.12-8201.18" + } + }, + "CLK270": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8202.12-8202.18" + } + }, + "CLK2X": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8203.12-8203.17" + } + }, + "CLK2X180": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8204.12-8204.20" + } + }, + "CLK90": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8205.12-8205.17" + } + }, + "CLKDV": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8206.12-8206.17" + } + }, + "CLKFB": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8193.11-8193.16" + } + }, + "CLKFX": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8207.12-8207.17" + } + }, + "CLKFX180": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8208.12-8208.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8194.11-8194.16" + } + }, + "DSSEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8195.11-8195.16" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8209.12-8209.18" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8196.11-8196.16" + } + }, + "PSDONE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8210.12-8210.18" + } + }, + "PSEN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8197.11-8197.15" + } + }, + "PSINCDEC": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8198.11-8198.19" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8199.11-8199.14" + } + }, + "STATUS": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8211.18-8211.24" + } + } + } + }, + "DCM_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8273.1-8315.10" + }, + "parameter_default_values": { + "CLKFX_DIVIDE": "00000000000000000000000000000001", + "CLKFX_MULTIPLY": "00000000000000000000000000000100", + "CLKIN_DIVIDE_BY_2": "FALSE", + "CLKOUT_PHASE_SHIFT": "NONE", + "CLK_FEEDBACK": "1X", + "DCM_AUTOCALIBRATION": "TRUE", + "DCM_PERFORMANCE_MODE": "MAX_SPEED", + "DESKEW_ADJUST": "SYSTEM_SYNCHRONOUS", + "DFS_FREQUENCY_MODE": "LOW", + "DLL_FREQUENCY_MODE": "LOW", + "DUTY_CYCLE_CORRECTION": "TRUE", + "FACTORY_JF": "1111000011110000", + "PHASE_SHIFT": "00000000000000000000000000000000", + "SIM_DEVICE": "VIRTEX4", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLK0": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK180": { + "direction": "output", + "bits": [ 3 ] + }, + "CLK270": { + "direction": "output", + "bits": [ 4 ] + }, + "CLK2X180": { + "direction": "output", + "bits": [ 5 ] + }, + "CLK2X": { + "direction": "output", + "bits": [ 6 ] + }, + "CLK90": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKDV": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKFX180": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKFX": { + "direction": "output", + "bits": [ 10 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 11 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 12 ] + }, + "PSDONE": { + "direction": "output", + "bits": [ 13 ] + }, + "DO": { + "direction": "output", + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 30 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 31 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 32 ] + }, + "DEN": { + "direction": "input", + "bits": [ 33 ] + }, + "DWE": { + "direction": "input", + "bits": [ 34 ] + }, + "PSCLK": { + "direction": "input", + "bits": [ 35 ] + }, + "PSEN": { + "direction": "input", + "bits": [ 36 ] + }, + "PSINCDEC": { + "direction": "input", + "bits": [ 37 ] + }, + "RST": { + "direction": "input", + "bits": [ 38 ] + }, + "DI": { + "direction": "input", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 55, 56, 57, 58, 59, 60, 61 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8291.12-8291.16" + } + }, + "CLK180": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8292.12-8292.18" + } + }, + "CLK270": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8293.12-8293.18" + } + }, + "CLK2X": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8295.12-8295.17" + } + }, + "CLK2X180": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8294.12-8294.20" + } + }, + "CLK90": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8296.12-8296.17" + } + }, + "CLKDV": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8297.12-8297.17" + } + }, + "CLKFB": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8304.11-8304.16" + } + }, + "CLKFX": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8299.12-8299.17" + } + }, + "CLKFX180": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8298.12-8298.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8305.11-8305.16" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8314.17-8314.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8306.11-8306.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8307.11-8307.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8313.18-8313.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8303.19-8303.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8300.12-8300.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8308.11-8308.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8301.12-8301.18" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8309.11-8309.16" + } + }, + "PSDONE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8302.12-8302.18" + } + }, + "PSEN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8310.11-8310.15" + } + }, + "PSINCDEC": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8311.11-8311.19" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8312.11-8312.14" + } + } + } + }, + "DCM_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8317.1-8347.10" + }, + "parameter_default_values": { + "CLKFX_DIVIDE": "00000000000000000000000000000001", + "CLKFX_MULTIPLY": "00000000000000000000000000000100", + "CLKIN_DIVIDE_BY_2": "FALSE", + "CLKOUT_PHASE_SHIFT": "NONE", + "CLK_FEEDBACK": "1X", + "DCM_AUTOCALIBRATION": "TRUE", + "DCM_PERFORMANCE_MODE": "MAX_SPEED", + "DESKEW_ADJUST": "SYSTEM_SYNCHRONOUS", + "DFS_FREQUENCY_MODE": "LOW", + "DLL_FREQUENCY_MODE": "LOW", + "DUTY_CYCLE_CORRECTION": "TRUE", + "FACTORY_JF": "1111000011110000", + "PHASE_SHIFT": "00000000000000000000000000000000", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLK0": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK180": { + "direction": "output", + "bits": [ 3 ] + }, + "CLK270": { + "direction": "output", + "bits": [ 4 ] + }, + "CLK2X180": { + "direction": "output", + "bits": [ 5 ] + }, + "CLK2X": { + "direction": "output", + "bits": [ 6 ] + }, + "CLK90": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKDV": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKFX180": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKFX": { + "direction": "output", + "bits": [ 10 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 12 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 13 ] + }, + "RST": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8334.12-8334.16" + } + }, + "CLK180": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8335.12-8335.18" + } + }, + "CLK270": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8336.12-8336.18" + } + }, + "CLK2X": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8338.12-8338.17" + } + }, + "CLK2X180": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8337.12-8337.20" + } + }, + "CLK90": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8339.12-8339.17" + } + }, + "CLKDV": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8340.12-8340.17" + } + }, + "CLKFB": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8344.11-8344.16" + } + }, + "CLKFX": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8342.12-8342.17" + } + }, + "CLKFX180": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8341.12-8341.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8345.11-8345.16" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8343.12-8343.18" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8346.11-8346.14" + } + } + } + }, + "DCM_CLKGEN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8251.1-8271.10" + }, + "parameter_default_values": { + "CLKFXDV_DIVIDE": "00000000000000000000000000000010", + "CLKFX_DIVIDE": "00000000000000000000000000000001", + "CLKFX_MULTIPLY": "00000000000000000000000000000100", + "SPREAD_SPECTRUM": "NONE", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFX180": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFX": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKFXDV": { + "direction": "output", + "bits": [ 4 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 5 ] + }, + "PROGDONE": { + "direction": "output", + "bits": [ 6 ] + }, + "STATUS": { + "direction": "output", + "offset": 1, + "bits": [ 7, 8 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 9 ] + }, + "FREEZEDCM": { + "direction": "input", + "bits": [ 10 ] + }, + "PROGCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "PROGDATA": { + "direction": "input", + "bits": [ 12 ] + }, + "PROGEN": { + "direction": "input", + "bits": [ 13 ] + }, + "RST": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFX": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8260.12-8260.17" + } + }, + "CLKFX180": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8259.12-8259.20" + } + }, + "CLKFXDV": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8261.12-8261.19" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8265.11-8265.16" + } + }, + "FREEZEDCM": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8266.11-8266.20" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8262.12-8262.18" + } + }, + "PROGCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8267.11-8267.18" + } + }, + "PROGDATA": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8268.11-8268.19" + } + }, + "PROGDONE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8263.12-8263.20" + } + }, + "PROGEN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8269.11-8269.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8270.11-8270.14" + } + }, + "STATUS": { + "hide_name": 0, + "bits": [ 7, 8 ], + "offset": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8264.18-8264.24" + } + } + } + }, + "DCM_PS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8349.1-8384.10" + }, + "parameter_default_values": { + "CLKFX_DIVIDE": "00000000000000000000000000000001", + "CLKFX_MULTIPLY": "00000000000000000000000000000100", + "CLKIN_DIVIDE_BY_2": "FALSE", + "CLKOUT_PHASE_SHIFT": "NONE", + "CLK_FEEDBACK": "1X", + "DCM_AUTOCALIBRATION": "TRUE", + "DCM_PERFORMANCE_MODE": "MAX_SPEED", + "DESKEW_ADJUST": "SYSTEM_SYNCHRONOUS", + "DFS_FREQUENCY_MODE": "LOW", + "DLL_FREQUENCY_MODE": "LOW", + "DUTY_CYCLE_CORRECTION": "TRUE", + "FACTORY_JF": "1111000011110000", + "PHASE_SHIFT": "00000000000000000000000000000000", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLK0": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK180": { + "direction": "output", + "bits": [ 3 ] + }, + "CLK270": { + "direction": "output", + "bits": [ 4 ] + }, + "CLK2X180": { + "direction": "output", + "bits": [ 5 ] + }, + "CLK2X": { + "direction": "output", + "bits": [ 6 ] + }, + "CLK90": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKDV": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKFX180": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKFX": { + "direction": "output", + "bits": [ 10 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 11 ] + }, + "PSDONE": { + "direction": "output", + "bits": [ 12 ] + }, + "DO": { + "direction": "output", + "bits": [ 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 29 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 30 ] + }, + "PSCLK": { + "direction": "input", + "bits": [ 31 ] + }, + "PSEN": { + "direction": "input", + "bits": [ 32 ] + }, + "PSINCDEC": { + "direction": "input", + "bits": [ 33 ] + }, + "RST": { + "direction": "input", + "bits": [ 34 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8366.12-8366.16" + } + }, + "CLK180": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8367.12-8367.18" + } + }, + "CLK270": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8368.12-8368.18" + } + }, + "CLK2X": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8370.12-8370.17" + } + }, + "CLK2X180": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8369.12-8369.20" + } + }, + "CLK90": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8371.12-8371.17" + } + }, + "CLKDV": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8372.12-8372.17" + } + }, + "CLKFB": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8378.11-8378.16" + } + }, + "CLKFX": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8374.12-8374.17" + } + }, + "CLKFX180": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8373.12-8373.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8379.11-8379.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8377.19-8377.21" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8375.12-8375.18" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8380.11-8380.16" + } + }, + "PSDONE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8376.12-8376.18" + } + }, + "PSEN": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8381.11-8381.15" + } + }, + "PSINCDEC": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8382.11-8382.19" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8383.11-8383.14" + } + } + } + }, + "DCM_SP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8214.1-8249.10" + }, + "parameter_default_values": { + "CLKFX_DIVIDE": "00000000000000000000000000000001", + "CLKFX_MULTIPLY": "00000000000000000000000000000100", + "CLKIN_DIVIDE_BY_2": "FALSE", + "CLKOUT_PHASE_SHIFT": "NONE", + "CLK_FEEDBACK": "1X", + "DESKEW_ADJUST": "SYSTEM_SYNCHRONOUS", + "DFS_FREQUENCY_MODE": "LOW", + "DLL_FREQUENCY_MODE": "LOW", + "DSS_MODE": "NONE", + "DUTY_CYCLE_CORRECTION": "TRUE", + "FACTORY_JF": "1100000010000000", + "PHASE_SHIFT": "00000000000000000000000000000000", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFB": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 3 ] + }, + "DSSEN": { + "direction": "input", + "bits": [ 4 ] + }, + "PSCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "PSEN": { + "direction": "input", + "bits": [ 6 ] + }, + "PSINCDEC": { + "direction": "input", + "bits": [ 7 ] + }, + "RST": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK0": { + "direction": "output", + "bits": [ 9 ] + }, + "CLK180": { + "direction": "output", + "bits": [ 10 ] + }, + "CLK270": { + "direction": "output", + "bits": [ 11 ] + }, + "CLK2X": { + "direction": "output", + "bits": [ 12 ] + }, + "CLK2X180": { + "direction": "output", + "bits": [ 13 ] + }, + "CLK90": { + "direction": "output", + "bits": [ 14 ] + }, + "CLKDV": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKFX": { + "direction": "output", + "bits": [ 16 ] + }, + "CLKFX180": { + "direction": "output", + "bits": [ 17 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 18 ] + }, + "PSDONE": { + "direction": "output", + "bits": [ 19 ] + }, + "STATUS": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8237.12-8237.16" + } + }, + "CLK180": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8238.12-8238.18" + } + }, + "CLK270": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8239.12-8239.18" + } + }, + "CLK2X": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8240.12-8240.17" + } + }, + "CLK2X180": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8241.12-8241.20" + } + }, + "CLK90": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8242.12-8242.17" + } + }, + "CLKDV": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8243.12-8243.17" + } + }, + "CLKFB": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8230.11-8230.16" + } + }, + "CLKFX": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8244.12-8244.17" + } + }, + "CLKFX180": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8245.12-8245.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8231.11-8231.16" + } + }, + "DSSEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8232.11-8232.16" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8246.12-8246.18" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8233.11-8233.16" + } + }, + "PSDONE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8247.12-8247.18" + } + }, + "PSEN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8234.11-8234.15" + } + }, + "PSINCDEC": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8235.11-8235.19" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8236.11-8236.14" + } + }, + "STATUS": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8248.18-8248.24" + } + } + } + }, + "DNA_PORT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9849.1-9856.10" + }, + "parameter_default_values": { + "SIM_DNA_VALUE": "000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "DOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "DIN": { + "direction": "input", + "bits": [ 4 ] + }, + "READ": { + "direction": "input", + "bits": [ 5 ] + }, + "SHIFT": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9852.11-9852.14" + } + }, + "DIN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9853.11-9853.14" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9851.12-9851.16" + } + }, + "READ": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9854.11-9854.15" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9855.11-9855.16" + } + } + } + }, + "DNA_PORTE2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9858.1-9865.10" + }, + "parameter_default_values": { + "SIM_DNA_VALUE": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "DOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "DIN": { + "direction": "input", + "bits": [ 4 ] + }, + "READ": { + "direction": "input", + "bits": [ 5 ] + }, + "SHIFT": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9861.11-9861.14" + } + }, + "DIN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9862.11-9862.14" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9860.12-9860.16" + } + }, + "READ": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9863.11-9863.15" + } + }, + "SHIFT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9864.11-9864.16" + } + } + } + }, + "DSP48": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2961.1-3189.10" + }, + "parameter_default_values": { + "AREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "LEGACY_MODE": "MULT18X18S", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "SUBTRACTREG": "00000000000000000000000000000001" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "BCIN": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159 ] + }, + "SUBTRACT": { + "direction": "input", + "bits": [ 160 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 161, 162 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276 ] + }, + "CLK": { + "direction": "input", + "bits": [ 277 ] + }, + "CEA": { + "direction": "input", + "bits": [ 278 ] + }, + "CEB": { + "direction": "input", + "bits": [ 279 ] + }, + "CEC": { + "direction": "input", + "bits": [ 280 ] + }, + "CEM": { + "direction": "input", + "bits": [ 281 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 282 ] + }, + "CECINSUB": { + "direction": "input", + "bits": [ 283 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 284 ] + }, + "CEP": { + "direction": "input", + "bits": [ 285 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 286 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 287 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 288 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 289 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 290 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 291 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 292 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2962.25-2962.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2963.25-2963.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2965.25-2965.29" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2972.26-2972.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2964.25-2964.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2967.11-2967.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 161, 162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2970.17-2970.27" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2976.11-2976.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2977.11-2977.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2978.11-2978.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2980.11-2980.20" + } + }, + "CECINSUB": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2981.11-2981.19" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2982.11-2982.17" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2979.11-2979.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2983.11-2983.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2975.11-2975.14" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2968.17-2968.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2971.26-2971.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2966.25-2966.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2973.26-2973.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2984.11-2984.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2985.11-2985.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2986.11-2986.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2988.11-2988.21" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2989.11-2989.18" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2987.11-2987.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2990.11-2990.15" + } + }, + "SUBTRACT": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2969.11-2969.19" + } + } + } + }, + "DSP48A": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2565.1-2661.10" + }, + "parameter_default_values": { + "A0REG": "00000000000000000000000000000000", + "A1REG": "00000000000000000000000000000001", + "B0REG": "00000000000000000000000000000000", + "B1REG": "00000000000000000000000000000001", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSEL": "CARRYIN", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "RSTTYPE": "SYNC" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "D": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 275 ] + }, + "CLK": { + "direction": "input", + "bits": [ 276 ] + }, + "CEA": { + "direction": "input", + "bits": [ 277 ] + }, + "CEB": { + "direction": "input", + "bits": [ 278 ] + }, + "CEC": { + "direction": "input", + "bits": [ 279 ] + }, + "CED": { + "direction": "input", + "bits": [ 280 ] + }, + "CEM": { + "direction": "input", + "bits": [ 281 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 282 ] + }, + "CEOPMODE": { + "direction": "input", + "bits": [ 283 ] + }, + "CEP": { + "direction": "input", + "bits": [ 284 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 285 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 286 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 287 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 288 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 289 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 290 ] + }, + "RSTOPMODE": { + "direction": "input", + "bits": [ 291 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 292 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2566.25-2566.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2567.25-2567.26" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2574.26-2574.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2568.25-2568.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2571.11-2571.18" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2576.12-2576.20" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2579.11-2579.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2580.11-2580.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2581.11-2581.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2584.11-2584.20" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2582.11-2582.14" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2583.11-2583.14" + } + }, + "CEOPMODE": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2585.11-2585.19" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2586.11-2586.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2578.11-2578.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2569.25-2569.26" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2572.17-2572.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2573.26-2573.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2570.25-2570.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2575.26-2575.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2587.11-2587.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2588.11-2588.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2589.11-2589.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2592.11-2592.21" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2590.11-2590.15" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2591.11-2591.15" + } + }, + "RSTOPMODE": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2593.11-2593.20" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2594.11-2594.15" + } + } + } + }, + "DSP48A1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2665.1-2959.10" + }, + "parameter_default_values": { + "A0REG": "00000000000000000000000000000000", + "A1REG": "00000000000000000000000000000001", + "B0REG": "00000000000000000000000000000000", + "B1REG": "00000000000000000000000000000001", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSEL": "OPMODE5", + "CARRYOUTREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PREG": "00000000000000000000000000000001", + "RSTTYPE": "SYNC" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "C": { + "direction": "input", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "D": { + "direction": "input", + "signed": 1, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "PCIN": { + "direction": "input", + "signed": 1, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 152 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "M": { + "direction": "output", + "signed": 1, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ] + }, + "PCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 311 ] + }, + "CARRYOUTF": { + "direction": "output", + "bits": [ 312 ] + }, + "CLK": { + "direction": "input", + "bits": [ 313 ] + }, + "CEA": { + "direction": "input", + "bits": [ 314 ] + }, + "CEB": { + "direction": "input", + "bits": [ 315 ] + }, + "CEC": { + "direction": "input", + "bits": [ 316 ] + }, + "CED": { + "direction": "input", + "bits": [ 317 ] + }, + "CEM": { + "direction": "input", + "bits": [ 318 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 319 ] + }, + "CEOPMODE": { + "direction": "input", + "bits": [ 320 ] + }, + "CEP": { + "direction": "input", + "bits": [ 321 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 322 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 323 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 324 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 325 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 326 ] + }, + "RSTCARRYIN": { + "direction": "input", + "bits": [ 327 ] + }, + "RSTOPMODE": { + "direction": "input", + "bits": [ 328 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 329 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2666.25-2666.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2667.25-2667.26" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2675.26-2675.31" + } + }, + "C": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2668.25-2668.26" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2671.11-2671.18" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2677.12-2677.20" + } + }, + "CARRYOUTF": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2678.12-2678.21" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2681.11-2681.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2682.11-2682.14" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2683.11-2683.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2686.11-2686.20" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2684.11-2684.14" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2685.11-2685.14" + } + }, + "CEOPMODE": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2687.11-2687.19" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2688.11-2688.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2680.11-2680.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2669.25-2669.26" + } + }, + "M": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2673.26-2673.27" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2672.17-2672.23" + } + }, + "P": { + "hide_name": 0, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2674.26-2674.27" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2670.25-2670.29" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2676.26-2676.31" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2689.11-2689.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2690.11-2690.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2691.11-2691.15" + } + }, + "RSTCARRYIN": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2694.11-2694.21" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2692.11-2692.15" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2693.11-2693.15" + } + }, + "RSTOPMODE": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2695.11-2695.20" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2696.11-2696.15" + } + } + } + }, + "DSP48E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5792.1-5862.10" + }, + "parameter_default_values": { + "ACASCREG": "00000000000000000000000000000001", + "ALUMODEREG": "00000000000000000000000000000001", + "AREG": "00000000000000000000000000000001", + "AUTORESET_PATTERN_DETECT": "FALSE", + "AUTORESET_PATTERN_DETECT_OPTINV": "MATCH", + "A_INPUT": "DIRECT", + "BCASCREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "MASK": "001111111111111111111111111111111111111111111111", + "MREG": "00000000000000000000000000000001", + "MULTCARRYINREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PATTERN": "000000000000000000000000000000000000000000000000", + "PREG": "00000000000000000000000000000001", + "SEL_MASK": "MASK", + "SEL_PATTERN": "PATTERN", + "SEL_ROUNDING_MASK": "SEL_MASK", + "SIM_MODE": "SAFE", + "USE_MULT": "MULT_S", + "USE_PATTERN_DETECT": "NO_PATDET", + "USE_SIMD": "ONE48" + }, + "ports": { + "ACOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "BCOUT": { + "direction": "output", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "CARRYCASCOUT": { + "direction": "output", + "bits": [ 50 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 51, 52, 53, 54 ] + }, + "MULTSIGNOUT": { + "direction": "output", + "bits": [ 55 ] + }, + "OVERFLOW": { + "direction": "output", + "bits": [ 56 ] + }, + "P": { + "direction": "output", + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "PATTERNBDETECT": { + "direction": "output", + "bits": [ 105 ] + }, + "PATTERNDETECT": { + "direction": "output", + "bits": [ 106 ] + }, + "PCOUT": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + }, + "UNDERFLOW": { + "direction": "output", + "bits": [ 155 ] + }, + "A": { + "direction": "input", + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ] + }, + "ACIN": { + "direction": "input", + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ] + }, + "ALUMODE": { + "direction": "input", + "bits": [ 216, 217, 218, 219 ] + }, + "B": { + "direction": "input", + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "BCIN": { + "direction": "input", + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ] + }, + "C": { + "direction": "input", + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "CARRYCASCIN": { + "direction": "input", + "bits": [ 304 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 305 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 306, 307, 308 ] + }, + "CEA1": { + "direction": "input", + "bits": [ 309 ] + }, + "CEA2": { + "direction": "input", + "bits": [ 310 ] + }, + "CEALUMODE": { + "direction": "input", + "bits": [ 311 ] + }, + "CEB1": { + "direction": "input", + "bits": [ 312 ] + }, + "CEB2": { + "direction": "input", + "bits": [ 313 ] + }, + "CEC": { + "direction": "input", + "bits": [ 314 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 315 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 316 ] + }, + "CEM": { + "direction": "input", + "bits": [ 317 ] + }, + "CEMULTCARRYIN": { + "direction": "input", + "bits": [ 318 ] + }, + "CEP": { + "direction": "input", + "bits": [ 319 ] + }, + "CLK": { + "direction": "input", + "bits": [ 320 ] + }, + "MULTSIGNIN": { + "direction": "input", + "bits": [ 321 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 322, 323, 324, 325, 326, 327, 328 ] + }, + "PCIN": { + "direction": "input", + "bits": [ 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 377 ] + }, + "RSTALLCARRYIN": { + "direction": "input", + "bits": [ 378 ] + }, + "RSTALUMODE": { + "direction": "input", + "bits": [ 379 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 380 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 381 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 382 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 383 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 384 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5829.18-5829.19" + } + }, + "ACIN": { + "hide_name": 0, + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5830.18-5830.22" + } + }, + "ACOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5818.19-5818.24" + } + }, + "ALUMODE": { + "hide_name": 0, + "bits": [ 216, 217, 218, 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5831.17-5831.24" + } + }, + "B": { + "hide_name": 0, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5832.18-5832.19" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5833.18-5833.22" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5819.19-5819.24" + } + }, + "C": { + "hide_name": 0, + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5834.18-5834.19" + } + }, + "CARRYCASCIN": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5835.11-5835.22" + } + }, + "CARRYCASCOUT": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5820.12-5820.24" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5836.11-5836.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 306, 307, 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5837.17-5837.27" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5821.18-5821.26" + } + }, + "CEA1": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5838.11-5838.15" + } + }, + "CEA2": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5839.11-5839.15" + } + }, + "CEALUMODE": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5840.11-5840.20" + } + }, + "CEB1": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5841.11-5841.15" + } + }, + "CEB2": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5842.11-5842.15" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5843.11-5843.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5844.11-5844.20" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5845.11-5845.17" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5846.11-5846.14" + } + }, + "CEMULTCARRYIN": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5847.11-5847.24" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5848.11-5848.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5850.11-5850.14" + } + }, + "MULTSIGNIN": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5851.11-5851.21" + } + }, + "MULTSIGNOUT": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5822.12-5822.23" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 322, 323, 324, 325, 326, 327, 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5852.17-5852.23" + } + }, + "OVERFLOW": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5823.12-5823.20" + } + }, + "P": { + "hide_name": 0, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5824.19-5824.20" + } + }, + "PATTERNBDETECT": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5825.12-5825.26" + } + }, + "PATTERNDETECT": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5826.12-5826.25" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5853.18-5853.22" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5827.19-5827.24" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5854.11-5854.15" + } + }, + "RSTALLCARRYIN": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5855.11-5855.24" + } + }, + "RSTALUMODE": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5856.11-5856.21" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5857.11-5857.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5858.11-5858.15" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5859.11-5859.18" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5860.11-5860.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5861.11-5861.15" + } + }, + "UNDERFLOW": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5828.12-5828.21" + } + } + } + }, + "DSP48E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "0", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3203.1-3946.10" + }, + "parameter_default_values": { + "ACASCREG": "00000000000000000000000000000001", + "ADREG": "00000000000000000000000000000001", + "ALUMODEREG": "00000000000000000000000000000001", + "AREG": "00000000000000000000000000000001", + "AUTORESET_PATDET": "NO_RESET", + "A_INPUT": "DIRECT", + "BCASCREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "INMODEREG": "00000000000000000000000000000001", + "IS_ALUMODE_INVERTED": "0000", + "IS_CARRYIN_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_INMODE_INVERTED": "00000", + "IS_OPMODE_INVERTED": "0000000", + "MASK": "001111111111111111111111111111111111111111111111", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PATTERN": "000000000000000000000000000000000000000000000000", + "PREG": "00000000000000000000000000000001", + "SEL_MASK": "MASK", + "SEL_PATTERN": "PATTERN", + "USE_DPORT": "FALSE", + "USE_MULT": "MULTIPLY", + "USE_PATTERN_DETECT": "NO_PATDET", + "USE_SIMD": "ONE48" + }, + "ports": { + "ACOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "BCOUT": { + "direction": "output", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "CARRYCASCOUT": { + "direction": "output", + "bits": [ 50 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 51, 52, 53, 54 ] + }, + "MULTSIGNOUT": { + "direction": "output", + "bits": [ 55 ] + }, + "OVERFLOW": { + "direction": "output", + "bits": [ 56 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "PATTERNBDETECT": { + "direction": "output", + "bits": [ 105 ] + }, + "PATTERNDETECT": { + "direction": "output", + "bits": [ 106 ] + }, + "PCOUT": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + }, + "UNDERFLOW": { + "direction": "output", + "bits": [ 155 ] + }, + "A": { + "direction": "input", + "signed": 1, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ] + }, + "ACIN": { + "direction": "input", + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ] + }, + "ALUMODE": { + "direction": "input", + "bits": [ 216, 217, 218, 219 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "BCIN": { + "direction": "input", + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ] + }, + "C": { + "direction": "input", + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "CARRYCASCIN": { + "direction": "input", + "bits": [ 304 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 305 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 306, 307, 308 ] + }, + "CEA1": { + "direction": "input", + "bits": [ 309 ] + }, + "CEA2": { + "direction": "input", + "bits": [ 310 ] + }, + "CEAD": { + "direction": "input", + "bits": [ 311 ] + }, + "CEALUMODE": { + "direction": "input", + "bits": [ 312 ] + }, + "CEB1": { + "direction": "input", + "bits": [ 313 ] + }, + "CEB2": { + "direction": "input", + "bits": [ 314 ] + }, + "CEC": { + "direction": "input", + "bits": [ 315 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 316 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 317 ] + }, + "CED": { + "direction": "input", + "bits": [ 318 ] + }, + "CEINMODE": { + "direction": "input", + "bits": [ 319 ] + }, + "CEM": { + "direction": "input", + "bits": [ 320 ] + }, + "CEP": { + "direction": "input", + "bits": [ 321 ] + }, + "CLK": { + "direction": "input", + "bits": [ 322 ] + }, + "D": { + "direction": "input", + "bits": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ] + }, + "INMODE": { + "direction": "input", + "bits": [ 348, 349, 350, 351, 352 ] + }, + "MULTSIGNIN": { + "direction": "input", + "bits": [ 353 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 354, 355, 356, 357, 358, 359, 360 ] + }, + "PCIN": { + "direction": "input", + "bits": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 409 ] + }, + "RSTALLCARRYIN": { + "direction": "input", + "bits": [ 410 ] + }, + "RSTALUMODE": { + "direction": "input", + "bits": [ 411 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 412 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 413 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 414 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 415 ] + }, + "RSTINMODE": { + "direction": "input", + "bits": [ 416 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 417 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 418 ] + } + }, + "cells": { + "$logic_and$/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3513$1355": { + "hide_name": 1, + "type": "$logic_and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3513.21-3513.44" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ "1" ], + "B": [ 321 ], + "Y": [ 419 ] + } + }, + "$logic_and$/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3514$1356": { + "hide_name": 1, + "type": "$logic_and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3514.22-3514.44" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 321 ], + "Y": [ 420 ] + } + }, + "$logic_and$/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3515$1357": { + "hide_name": 1, + "type": "$logic_and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3515.21-3515.44" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ "1" ], + "B": [ 321 ], + "Y": [ 421 ] + } + }, + "$logic_and$/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3516$1358": { + "hide_name": 1, + "type": "$logic_and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3516.22-3516.44" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 321 ], + "Y": [ 422 ] + } + }, + "genblk1.$specify$461": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000011110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000011111110", + "T_LIMIT_MIN": "00000000000000000000000011111110", + "T_LIMIT_TYP": "00000000000000000000000011111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3463.17-3463.78" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "1" ], + "SRC": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "SRC_EN": [ "1" ] + } + }, + "genblk1.$specify$462": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000011110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000011111110", + "T_LIMIT_MIN": "00000000000000000000000011111110", + "T_LIMIT_TYP": "00000000000000000000000011111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3464.17-3464.78" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "0" ], + "SRC": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "SRC_EN": [ "1" ] + } + }, + "genblk2.$specify$465": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000010010", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101000100", + "T_LIMIT_MIN": "00000000000000000000000101000100", + "T_LIMIT_TYP": "00000000000000000000000101000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3474.17-3474.78" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "1" ], + "SRC": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "SRC_EN": [ "1" ] + } + }, + "genblk2.$specify$466": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000010010", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101000100", + "T_LIMIT_MIN": "00000000000000000000000101000100", + "T_LIMIT_TYP": "00000000000000000000000101000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3475.17-3475.78" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "0" ], + "SRC": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "SRC_EN": [ "1" ] + } + }, + "genblk3.$specify$469": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000110000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000010101000", + "T_LIMIT_MIN": "00000000000000000000000010101000", + "T_LIMIT_TYP": "00000000000000000000000010101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3485.17-3485.78" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "1" ], + "SRC": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "SRC_EN": [ "1" ] + } + }, + "genblk3.$specify$470": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000110000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000010101000", + "T_LIMIT_MIN": "00000000000000000000000010101000", + "T_LIMIT_TYP": "00000000000000000000000010101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3486.17-3486.78" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "0" ], + "SRC": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "SRC_EN": [ "1" ] + } + }, + "genblk4.$specify$473": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000011001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "T_LIMIT_MIN": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "T_LIMIT_TYP": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3496.17-3496.78" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "1" ], + "SRC": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ], + "SRC_EN": [ "1" ] + } + }, + "genblk4.$specify$474": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000011001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "T_LIMIT_MIN": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "T_LIMIT_TYP": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3497.17-3497.78" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "0" ], + "SRC": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ], + "SRC_EN": [ "1" ] + } + }, + "genblk5.$specify$477": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000110000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000010000000001", + "T_LIMIT_MIN": "00000000000000000000010000000001", + "T_LIMIT_TYP": "00000000000000000000010000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3507.17-3507.113" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "1" ], + "SRC": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ], + "SRC_EN": [ "1" ] + } + }, + "genblk5.$specify$478": { + "hide_name": 0, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000110000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000010000000001", + "T_LIMIT_MIN": "00000000000000000000010000000001", + "T_LIMIT_TYP": "00000000000000000000010000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3508.17-3508.113" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 322 ], + "DST_EN": [ "0" ], + "SRC": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ], + "SRC_EN": [ "1" ] + } + }, + "genblk6.$specify$479": { + "hide_name": 0, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000110000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101001001", + "T_FALL_MIN": "00000000000000000000000101001001", + "T_FALL_TYP": "00000000000000000000000101001001", + "T_RISE_MAX": "00000000000000000000000101001001", + "T_RISE_MIN": "00000000000000000000000101001001", + "T_RISE_TYP": "00000000000000000000000101001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3513.17-3513.92" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "EN": [ 419 ], + "SRC": [ 322 ] + } + }, + "genblk6.$specify$480": { + "hide_name": 0, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000110000", + "EDGE_EN": "1", + "EDGE_POL": "0", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101001001", + "T_FALL_MIN": "00000000000000000000000101001001", + "T_FALL_TYP": "00000000000000000000000101001001", + "T_RISE_MAX": "00000000000000000000000101001001", + "T_RISE_MIN": "00000000000000000000000101001001", + "T_RISE_TYP": "00000000000000000000000101001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3514.17-3514.92" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "EN": [ 420 ], + "SRC": [ 322 ] + } + }, + "genblk6.$specify$481": { + "hide_name": 0, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000110000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110110011", + "T_FALL_MIN": "00000000000000000000000110110011", + "T_FALL_TYP": "00000000000000000000000110110011", + "T_RISE_MAX": "00000000000000000000000110110011", + "T_RISE_MIN": "00000000000000000000000110110011", + "T_RISE_TYP": "00000000000000000000000110110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3515.17-3515.100" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "EN": [ 421 ], + "SRC": [ 322 ] + } + }, + "genblk6.$specify$482": { + "hide_name": 0, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000110000", + "EDGE_EN": "1", + "EDGE_POL": "0", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110110011", + "T_FALL_MIN": "00000000000000000000000110110011", + "T_FALL_TYP": "00000000000000000000000110110011", + "T_RISE_MAX": "00000000000000000000000110110011", + "T_RISE_MIN": "00000000000000000000000110110011", + "T_RISE_TYP": "00000000000000000000000110110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3516.17-3516.100" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "EN": [ 422 ], + "SRC": [ 322 ] + } + } + }, + "netnames": { + "$logic_and$/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3513$1355_Y": { + "hide_name": 1, + "bits": [ 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3513.21-3513.44" + } + }, + "$logic_and$/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3514$1356_Y": { + "hide_name": 1, + "bits": [ 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3514.22-3514.44" + } + }, + "$logic_and$/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3515$1357_Y": { + "hide_name": 1, + "bits": [ 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3515.21-3515.44" + } + }, + "$logic_and$/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3516$1358_Y": { + "hide_name": 1, + "bits": [ 422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3516.22-3516.44" + } + }, + "A": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3215.25-3215.26" + } + }, + "ACIN": { + "hide_name": 0, + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3216.18-3216.22" + } + }, + "ACOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3204.19-3204.24" + } + }, + "ALUMODE": { + "hide_name": 0, + "bits": [ 216, 217, 218, 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3217.17-3217.24" + } + }, + "B": { + "hide_name": 0, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3218.25-3218.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3219.18-3219.22" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3205.19-3205.24" + } + }, + "C": { + "hide_name": 0, + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3220.18-3220.19" + } + }, + "CARRYCASCIN": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3221.11-3221.22" + } + }, + "CARRYCASCOUT": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3206.16-3206.28" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3222.11-3222.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 306, 307, 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3223.17-3223.27" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3207.22-3207.30" + } + }, + "CEA1": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3224.11-3224.15" + } + }, + "CEA2": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3225.11-3225.15" + } + }, + "CEAD": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3226.11-3226.15" + } + }, + "CEALUMODE": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3227.11-3227.20" + } + }, + "CEB1": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3228.11-3228.15" + } + }, + "CEB2": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3229.11-3229.15" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3230.11-3230.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3231.11-3231.20" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3232.11-3232.17" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3233.11-3233.14" + } + }, + "CEINMODE": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3234.11-3234.19" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3235.11-3235.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3236.11-3236.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3237.29-3237.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3238.18-3238.19" + } + }, + "INMODE": { + "hide_name": 0, + "bits": [ 348, 349, 350, 351, 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3239.17-3239.23" + } + }, + "MULTSIGNIN": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3240.11-3240.21" + } + }, + "MULTSIGNOUT": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3208.16-3208.27" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 354, 355, 356, 357, 358, 359, 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3241.17-3241.23" + } + }, + "OVERFLOW": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3209.12-3209.20" + } + }, + "P": { + "hide_name": 0, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3210.30-3210.31" + } + }, + "PATTERNBDETECT": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3211.16-3211.30" + } + }, + "PATTERNDETECT": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3212.16-3212.29" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3242.18-3242.22" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3213.19-3213.24" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3243.11-3243.15" + } + }, + "RSTALLCARRYIN": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3244.11-3244.24" + } + }, + "RSTALUMODE": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3245.11-3245.21" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3246.11-3246.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3247.11-3247.15" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3248.11-3248.18" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3249.11-3249.15" + } + }, + "RSTINMODE": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3250.11-3250.20" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3251.11-3251.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3252.11-3252.15" + } + }, + "UNDERFLOW": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3214.12-3214.21" + } + } + } + }, + "DSP48E2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5864.1-5977.10" + }, + "parameter_default_values": { + "ACASCREG": "00000000000000000000000000000001", + "ADREG": "00000000000000000000000000000001", + "ALUMODEREG": "00000000000000000000000000000001", + "AMULTSEL": "A", + "AREG": "00000000000000000000000000000001", + "AUTORESET_PATDET": "NO_RESET", + "AUTORESET_PRIORITY": "RESET", + "A_INPUT": "DIRECT", + "BCASCREG": "00000000000000000000000000000001", + "BMULTSEL": "B", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "CARRYINREG": "00000000000000000000000000000001", + "CARRYINSELREG": "00000000000000000000000000000001", + "CREG": "00000000000000000000000000000001", + "DREG": "00000000000000000000000000000001", + "INMODEREG": "00000000000000000000000000000001", + "IS_ALUMODE_INVERTED": "0000", + "IS_CARRYIN_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_INMODE_INVERTED": "00000", + "IS_OPMODE_INVERTED": "000000000", + "IS_RSTALLCARRYIN_INVERTED": "0", + "IS_RSTALUMODE_INVERTED": "0", + "IS_RSTA_INVERTED": "0", + "IS_RSTB_INVERTED": "0", + "IS_RSTCTRL_INVERTED": "0", + "IS_RSTC_INVERTED": "0", + "IS_RSTD_INVERTED": "0", + "IS_RSTINMODE_INVERTED": "0", + "IS_RSTM_INVERTED": "0", + "IS_RSTP_INVERTED": "0", + "MASK": "001111111111111111111111111111111111111111111111", + "MREG": "00000000000000000000000000000001", + "OPMODEREG": "00000000000000000000000000000001", + "PATTERN": "000000000000000000000000000000000000000000000000", + "PREADDINSEL": "A", + "PREG": "00000000000000000000000000000001", + "RND": "000000000000000000000000000000000000000000000000", + "SEL_MASK": "MASK", + "SEL_PATTERN": "PATTERN", + "USE_MULT": "MULTIPLY", + "USE_PATTERN_DETECT": "NO_PATDET", + "USE_SIMD": "ONE48", + "USE_WIDEXOR": "FALSE", + "XORSIMD": "XOR24_48_96" + }, + "ports": { + "ACOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "BCOUT": { + "direction": "output", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "CARRYCASCOUT": { + "direction": "output", + "bits": [ 50 ] + }, + "CARRYOUT": { + "direction": "output", + "bits": [ 51, 52, 53, 54 ] + }, + "MULTSIGNOUT": { + "direction": "output", + "bits": [ 55 ] + }, + "OVERFLOW": { + "direction": "output", + "bits": [ 56 ] + }, + "P": { + "direction": "output", + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "PATTERNBDETECT": { + "direction": "output", + "bits": [ 105 ] + }, + "PATTERNDETECT": { + "direction": "output", + "bits": [ 106 ] + }, + "PCOUT": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + }, + "UNDERFLOW": { + "direction": "output", + "bits": [ 155 ] + }, + "XOROUT": { + "direction": "output", + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163 ] + }, + "A": { + "direction": "input", + "bits": [ 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193 ] + }, + "ACIN": { + "direction": "input", + "bits": [ 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223 ] + }, + "ALUMODE": { + "direction": "input", + "bits": [ 224, 225, 226, 227 ] + }, + "B": { + "direction": "input", + "bits": [ 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245 ] + }, + "BCIN": { + "direction": "input", + "bits": [ 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263 ] + }, + "C": { + "direction": "input", + "bits": [ 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311 ] + }, + "CARRYCASCIN": { + "direction": "input", + "bits": [ 312 ] + }, + "CARRYIN": { + "direction": "input", + "bits": [ 313 ] + }, + "CARRYINSEL": { + "direction": "input", + "bits": [ 314, 315, 316 ] + }, + "CEA1": { + "direction": "input", + "bits": [ 317 ] + }, + "CEA2": { + "direction": "input", + "bits": [ 318 ] + }, + "CEAD": { + "direction": "input", + "bits": [ 319 ] + }, + "CEALUMODE": { + "direction": "input", + "bits": [ 320 ] + }, + "CEB1": { + "direction": "input", + "bits": [ 321 ] + }, + "CEB2": { + "direction": "input", + "bits": [ 322 ] + }, + "CEC": { + "direction": "input", + "bits": [ 323 ] + }, + "CECARRYIN": { + "direction": "input", + "bits": [ 324 ] + }, + "CECTRL": { + "direction": "input", + "bits": [ 325 ] + }, + "CED": { + "direction": "input", + "bits": [ 326 ] + }, + "CEINMODE": { + "direction": "input", + "bits": [ 327 ] + }, + "CEM": { + "direction": "input", + "bits": [ 328 ] + }, + "CEP": { + "direction": "input", + "bits": [ 329 ] + }, + "CLK": { + "direction": "input", + "bits": [ 330 ] + }, + "D": { + "direction": "input", + "bits": [ 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ] + }, + "INMODE": { + "direction": "input", + "bits": [ 358, 359, 360, 361, 362 ] + }, + "MULTSIGNIN": { + "direction": "input", + "bits": [ 363 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 364, 365, 366, 367, 368, 369, 370, 371, 372 ] + }, + "PCIN": { + "direction": "input", + "bits": [ 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 421 ] + }, + "RSTALLCARRYIN": { + "direction": "input", + "bits": [ 422 ] + }, + "RSTALUMODE": { + "direction": "input", + "bits": [ 423 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 424 ] + }, + "RSTC": { + "direction": "input", + "bits": [ 425 ] + }, + "RSTCTRL": { + "direction": "input", + "bits": [ 426 ] + }, + "RSTD": { + "direction": "input", + "bits": [ 427 ] + }, + "RSTINMODE": { + "direction": "input", + "bits": [ 428 ] + }, + "RSTM": { + "direction": "input", + "bits": [ 429 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 430 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5923.18-5923.19" + } + }, + "ACIN": { + "hide_name": 0, + "bits": [ 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5924.18-5924.22" + } + }, + "ACOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5911.19-5911.24" + } + }, + "ALUMODE": { + "hide_name": 0, + "bits": [ 224, 225, 226, 227 ], + "attributes": { + "invertible_pin": "IS_ALUMODE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5926.17-5926.24" + } + }, + "B": { + "hide_name": 0, + "bits": [ 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5927.18-5927.19" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5928.18-5928.22" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5912.19-5912.24" + } + }, + "C": { + "hide_name": 0, + "bits": [ 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5929.18-5929.19" + } + }, + "CARRYCASCIN": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5930.11-5930.22" + } + }, + "CARRYCASCOUT": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5913.12-5913.24" + } + }, + "CARRYIN": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "invertible_pin": "IS_CARRYIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5932.11-5932.18" + } + }, + "CARRYINSEL": { + "hide_name": 0, + "bits": [ 314, 315, 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5933.17-5933.27" + } + }, + "CARRYOUT": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5914.18-5914.26" + } + }, + "CEA1": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5934.11-5934.15" + } + }, + "CEA2": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5935.11-5935.15" + } + }, + "CEAD": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5936.11-5936.15" + } + }, + "CEALUMODE": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5937.11-5937.20" + } + }, + "CEB1": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5938.11-5938.15" + } + }, + "CEB2": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5939.11-5939.15" + } + }, + "CEC": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5940.11-5940.14" + } + }, + "CECARRYIN": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5941.11-5941.20" + } + }, + "CECTRL": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5942.11-5942.17" + } + }, + "CED": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5943.11-5943.14" + } + }, + "CEINMODE": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5944.11-5944.19" + } + }, + "CEM": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5945.11-5945.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5946.11-5946.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5949.11-5949.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5950.18-5950.19" + } + }, + "INMODE": { + "hide_name": 0, + "bits": [ 358, 359, 360, 361, 362 ], + "attributes": { + "invertible_pin": "IS_INMODE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5952.17-5952.23" + } + }, + "MULTSIGNIN": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5953.11-5953.21" + } + }, + "MULTSIGNOUT": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5915.12-5915.23" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 364, 365, 366, 367, 368, 369, 370, 371, 372 ], + "attributes": { + "invertible_pin": "IS_OPMODE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5955.17-5955.23" + } + }, + "OVERFLOW": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5916.12-5916.20" + } + }, + "P": { + "hide_name": 0, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5917.19-5917.20" + } + }, + "PATTERNBDETECT": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5918.12-5918.26" + } + }, + "PATTERNDETECT": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5919.12-5919.25" + } + }, + "PCIN": { + "hide_name": 0, + "bits": [ 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5956.18-5956.22" + } + }, + "PCOUT": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5920.19-5920.24" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "invertible_pin": "IS_RSTA_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5958.11-5958.15" + } + }, + "RSTALLCARRYIN": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "invertible_pin": "IS_RSTALLCARRYIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5960.11-5960.24" + } + }, + "RSTALUMODE": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "invertible_pin": "IS_RSTALUMODE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5962.11-5962.21" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "invertible_pin": "IS_RSTB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5964.11-5964.15" + } + }, + "RSTC": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "invertible_pin": "IS_RSTC_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5966.11-5966.15" + } + }, + "RSTCTRL": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "invertible_pin": "IS_RSTCTRL_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5968.11-5968.18" + } + }, + "RSTD": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "invertible_pin": "IS_RSTD_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5970.11-5970.15" + } + }, + "RSTINMODE": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "invertible_pin": "IS_RSTINMODE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5972.11-5972.20" + } + }, + "RSTM": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "invertible_pin": "IS_RSTM_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5974.11-5974.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "invertible_pin": "IS_RSTP_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5976.11-5976.15" + } + }, + "UNDERFLOW": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5921.12-5921.21" + } + }, + "XOROUT": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5922.18-5922.24" + } + } + } + }, + "EFUSE_USR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9990.1-9993.10" + }, + "parameter_default_values": { + "SIM_EFUSE_VALUE": "00000000000000000000000000000000" + }, + "ports": { + "EFUSEUSR": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + } + }, + "cells": { + }, + "netnames": { + "EFUSEUSR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9992.19-9992.27" + } + } + } + }, + "EMAC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26537.1-26707.10" + }, + "parameter_default_values": { + "EMAC0_MODE": "RGMII", + "EMAC1_MODE": "RGMII" + }, + "ports": { + "DCRHOSTDONEIR": { + "direction": "output", + "bits": [ 2 ] + }, + "EMAC0CLIENTANINTERRUPT": { + "direction": "output", + "bits": [ 3 ] + }, + "EMAC0CLIENTRXBADFRAME": { + "direction": "output", + "bits": [ 4 ] + }, + "EMAC0CLIENTRXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 5 ] + }, + "EMAC0CLIENTRXDVLD": { + "direction": "output", + "bits": [ 6 ] + }, + "EMAC0CLIENTRXDVLDMSW": { + "direction": "output", + "bits": [ 7 ] + }, + "EMAC0CLIENTRXDVREG6": { + "direction": "output", + "bits": [ 8 ] + }, + "EMAC0CLIENTRXFRAMEDROP": { + "direction": "output", + "bits": [ 9 ] + }, + "EMAC0CLIENTRXGOODFRAME": { + "direction": "output", + "bits": [ 10 ] + }, + "EMAC0CLIENTRXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 11 ] + }, + "EMAC0CLIENTRXSTATSVLD": { + "direction": "output", + "bits": [ 12 ] + }, + "EMAC0CLIENTTXACK": { + "direction": "output", + "bits": [ 13 ] + }, + "EMAC0CLIENTTXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 14 ] + }, + "EMAC0CLIENTTXCOLLISION": { + "direction": "output", + "bits": [ 15 ] + }, + "EMAC0CLIENTTXGMIIMIICLKOUT": { + "direction": "output", + "bits": [ 16 ] + }, + "EMAC0CLIENTTXRETRANSMIT": { + "direction": "output", + "bits": [ 17 ] + }, + "EMAC0CLIENTTXSTATS": { + "direction": "output", + "bits": [ 18 ] + }, + "EMAC0CLIENTTXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 19 ] + }, + "EMAC0CLIENTTXSTATSVLD": { + "direction": "output", + "bits": [ 20 ] + }, + "EMAC0PHYENCOMMAALIGN": { + "direction": "output", + "bits": [ 21 ] + }, + "EMAC0PHYLOOPBACKMSB": { + "direction": "output", + "bits": [ 22 ] + }, + "EMAC0PHYMCLKOUT": { + "direction": "output", + "bits": [ 23 ] + }, + "EMAC0PHYMDOUT": { + "direction": "output", + "bits": [ 24 ] + }, + "EMAC0PHYMDTRI": { + "direction": "output", + "bits": [ 25 ] + }, + "EMAC0PHYMGTRXRESET": { + "direction": "output", + "bits": [ 26 ] + }, + "EMAC0PHYMGTTXRESET": { + "direction": "output", + "bits": [ 27 ] + }, + "EMAC0PHYPOWERDOWN": { + "direction": "output", + "bits": [ 28 ] + }, + "EMAC0PHYSYNCACQSTATUS": { + "direction": "output", + "bits": [ 29 ] + }, + "EMAC0PHYTXCHARDISPMODE": { + "direction": "output", + "bits": [ 30 ] + }, + "EMAC0PHYTXCHARDISPVAL": { + "direction": "output", + "bits": [ 31 ] + }, + "EMAC0PHYTXCHARISK": { + "direction": "output", + "bits": [ 32 ] + }, + "EMAC0PHYTXCLK": { + "direction": "output", + "bits": [ 33 ] + }, + "EMAC0PHYTXEN": { + "direction": "output", + "bits": [ 34 ] + }, + "EMAC0PHYTXER": { + "direction": "output", + "bits": [ 35 ] + }, + "EMAC1CLIENTANINTERRUPT": { + "direction": "output", + "bits": [ 36 ] + }, + "EMAC1CLIENTRXBADFRAME": { + "direction": "output", + "bits": [ 37 ] + }, + "EMAC1CLIENTRXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 38 ] + }, + "EMAC1CLIENTRXDVLD": { + "direction": "output", + "bits": [ 39 ] + }, + "EMAC1CLIENTRXDVLDMSW": { + "direction": "output", + "bits": [ 40 ] + }, + "EMAC1CLIENTRXDVREG6": { + "direction": "output", + "bits": [ 41 ] + }, + "EMAC1CLIENTRXFRAMEDROP": { + "direction": "output", + "bits": [ 42 ] + }, + "EMAC1CLIENTRXGOODFRAME": { + "direction": "output", + "bits": [ 43 ] + }, + "EMAC1CLIENTRXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 44 ] + }, + "EMAC1CLIENTRXSTATSVLD": { + "direction": "output", + "bits": [ 45 ] + }, + "EMAC1CLIENTTXACK": { + "direction": "output", + "bits": [ 46 ] + }, + "EMAC1CLIENTTXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 47 ] + }, + "EMAC1CLIENTTXCOLLISION": { + "direction": "output", + "bits": [ 48 ] + }, + "EMAC1CLIENTTXGMIIMIICLKOUT": { + "direction": "output", + "bits": [ 49 ] + }, + "EMAC1CLIENTTXRETRANSMIT": { + "direction": "output", + "bits": [ 50 ] + }, + "EMAC1CLIENTTXSTATS": { + "direction": "output", + "bits": [ 51 ] + }, + "EMAC1CLIENTTXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 52 ] + }, + "EMAC1CLIENTTXSTATSVLD": { + "direction": "output", + "bits": [ 53 ] + }, + "EMAC1PHYENCOMMAALIGN": { + "direction": "output", + "bits": [ 54 ] + }, + "EMAC1PHYLOOPBACKMSB": { + "direction": "output", + "bits": [ 55 ] + }, + "EMAC1PHYMCLKOUT": { + "direction": "output", + "bits": [ 56 ] + }, + "EMAC1PHYMDOUT": { + "direction": "output", + "bits": [ 57 ] + }, + "EMAC1PHYMDTRI": { + "direction": "output", + "bits": [ 58 ] + }, + "EMAC1PHYMGTRXRESET": { + "direction": "output", + "bits": [ 59 ] + }, + "EMAC1PHYMGTTXRESET": { + "direction": "output", + "bits": [ 60 ] + }, + "EMAC1PHYPOWERDOWN": { + "direction": "output", + "bits": [ 61 ] + }, + "EMAC1PHYSYNCACQSTATUS": { + "direction": "output", + "bits": [ 62 ] + }, + "EMAC1PHYTXCHARDISPMODE": { + "direction": "output", + "bits": [ 63 ] + }, + "EMAC1PHYTXCHARDISPVAL": { + "direction": "output", + "bits": [ 64 ] + }, + "EMAC1PHYTXCHARISK": { + "direction": "output", + "bits": [ 65 ] + }, + "EMAC1PHYTXCLK": { + "direction": "output", + "bits": [ 66 ] + }, + "EMAC1PHYTXEN": { + "direction": "output", + "bits": [ 67 ] + }, + "EMAC1PHYTXER": { + "direction": "output", + "bits": [ 68 ] + }, + "EMACDCRACK": { + "direction": "output", + "bits": [ 69 ] + }, + "HOSTMIIMRDY": { + "direction": "output", + "bits": [ 70 ] + }, + "EMACDCRDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + }, + "EMAC0CLIENTRXD": { + "direction": "output", + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ] + }, + "EMAC1CLIENTRXD": { + "direction": "output", + "bits": [ 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134 ] + }, + "HOSTRDDATA": { + "direction": "output", + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166 ] + }, + "EMAC0CLIENTRXSTATS": { + "direction": "output", + "bits": [ 167, 168, 169, 170, 171, 172, 173 ] + }, + "EMAC1CLIENTRXSTATS": { + "direction": "output", + "bits": [ 174, 175, 176, 177, 178, 179, 180 ] + }, + "EMAC0PHYTXD": { + "direction": "output", + "bits": [ 181, 182, 183, 184, 185, 186, 187, 188 ] + }, + "EMAC1PHYTXD": { + "direction": "output", + "bits": [ 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "CLIENTEMAC0DCMLOCKED": { + "direction": "input", + "bits": [ 197 ] + }, + "CLIENTEMAC0PAUSEREQ": { + "direction": "input", + "bits": [ 198 ] + }, + "CLIENTEMAC0RXCLIENTCLKIN": { + "direction": "input", + "bits": [ 199 ] + }, + "CLIENTEMAC0TXCLIENTCLKIN": { + "direction": "input", + "bits": [ 200 ] + }, + "CLIENTEMAC0TXDVLD": { + "direction": "input", + "bits": [ 201 ] + }, + "CLIENTEMAC0TXDVLDMSW": { + "direction": "input", + "bits": [ 202 ] + }, + "CLIENTEMAC0TXFIRSTBYTE": { + "direction": "input", + "bits": [ 203 ] + }, + "CLIENTEMAC0TXGMIIMIICLKIN": { + "direction": "input", + "bits": [ 204 ] + }, + "CLIENTEMAC0TXUNDERRUN": { + "direction": "input", + "bits": [ 205 ] + }, + "CLIENTEMAC1DCMLOCKED": { + "direction": "input", + "bits": [ 206 ] + }, + "CLIENTEMAC1PAUSEREQ": { + "direction": "input", + "bits": [ 207 ] + }, + "CLIENTEMAC1RXCLIENTCLKIN": { + "direction": "input", + "bits": [ 208 ] + }, + "CLIENTEMAC1TXCLIENTCLKIN": { + "direction": "input", + "bits": [ 209 ] + }, + "CLIENTEMAC1TXDVLD": { + "direction": "input", + "bits": [ 210 ] + }, + "CLIENTEMAC1TXDVLDMSW": { + "direction": "input", + "bits": [ 211 ] + }, + "CLIENTEMAC1TXFIRSTBYTE": { + "direction": "input", + "bits": [ 212 ] + }, + "CLIENTEMAC1TXGMIIMIICLKIN": { + "direction": "input", + "bits": [ 213 ] + }, + "CLIENTEMAC1TXUNDERRUN": { + "direction": "input", + "bits": [ 214 ] + }, + "DCREMACCLK": { + "direction": "input", + "bits": [ 215 ] + }, + "DCREMACENABLE": { + "direction": "input", + "bits": [ 216 ] + }, + "DCREMACREAD": { + "direction": "input", + "bits": [ 217 ] + }, + "DCREMACWRITE": { + "direction": "input", + "bits": [ 218 ] + }, + "HOSTCLK": { + "direction": "input", + "bits": [ 219 ] + }, + "HOSTEMAC1SEL": { + "direction": "input", + "bits": [ 220 ] + }, + "HOSTMIIMSEL": { + "direction": "input", + "bits": [ 221 ] + }, + "HOSTREQ": { + "direction": "input", + "bits": [ 222 ] + }, + "PHYEMAC0COL": { + "direction": "input", + "bits": [ 223 ] + }, + "PHYEMAC0CRS": { + "direction": "input", + "bits": [ 224 ] + }, + "PHYEMAC0GTXCLK": { + "direction": "input", + "bits": [ 225 ] + }, + "PHYEMAC0MCLKIN": { + "direction": "input", + "bits": [ 226 ] + }, + "PHYEMAC0MDIN": { + "direction": "input", + "bits": [ 227 ] + }, + "PHYEMAC0MIITXCLK": { + "direction": "input", + "bits": [ 228 ] + }, + "PHYEMAC0RXBUFERR": { + "direction": "input", + "bits": [ 229 ] + }, + "PHYEMAC0RXCHARISCOMMA": { + "direction": "input", + "bits": [ 230 ] + }, + "PHYEMAC0RXCHARISK": { + "direction": "input", + "bits": [ 231 ] + }, + "PHYEMAC0RXCHECKINGCRC": { + "direction": "input", + "bits": [ 232 ] + }, + "PHYEMAC0RXCLK": { + "direction": "input", + "bits": [ 233 ] + }, + "PHYEMAC0RXCOMMADET": { + "direction": "input", + "bits": [ 234 ] + }, + "PHYEMAC0RXDISPERR": { + "direction": "input", + "bits": [ 235 ] + }, + "PHYEMAC0RXDV": { + "direction": "input", + "bits": [ 236 ] + }, + "PHYEMAC0RXER": { + "direction": "input", + "bits": [ 237 ] + }, + "PHYEMAC0RXNOTINTABLE": { + "direction": "input", + "bits": [ 238 ] + }, + "PHYEMAC0RXRUNDISP": { + "direction": "input", + "bits": [ 239 ] + }, + "PHYEMAC0SIGNALDET": { + "direction": "input", + "bits": [ 240 ] + }, + "PHYEMAC0TXBUFERR": { + "direction": "input", + "bits": [ 241 ] + }, + "PHYEMAC1COL": { + "direction": "input", + "bits": [ 242 ] + }, + "PHYEMAC1CRS": { + "direction": "input", + "bits": [ 243 ] + }, + "PHYEMAC1GTXCLK": { + "direction": "input", + "bits": [ 244 ] + }, + "PHYEMAC1MCLKIN": { + "direction": "input", + "bits": [ 245 ] + }, + "PHYEMAC1MDIN": { + "direction": "input", + "bits": [ 246 ] + }, + "PHYEMAC1MIITXCLK": { + "direction": "input", + "bits": [ 247 ] + }, + "PHYEMAC1RXBUFERR": { + "direction": "input", + "bits": [ 248 ] + }, + "PHYEMAC1RXCHARISCOMMA": { + "direction": "input", + "bits": [ 249 ] + }, + "PHYEMAC1RXCHARISK": { + "direction": "input", + "bits": [ 250 ] + }, + "PHYEMAC1RXCHECKINGCRC": { + "direction": "input", + "bits": [ 251 ] + }, + "PHYEMAC1RXCLK": { + "direction": "input", + "bits": [ 252 ] + }, + "PHYEMAC1RXCOMMADET": { + "direction": "input", + "bits": [ 253 ] + }, + "PHYEMAC1RXDISPERR": { + "direction": "input", + "bits": [ 254 ] + }, + "PHYEMAC1RXDV": { + "direction": "input", + "bits": [ 255 ] + }, + "PHYEMAC1RXER": { + "direction": "input", + "bits": [ 256 ] + }, + "PHYEMAC1RXNOTINTABLE": { + "direction": "input", + "bits": [ 257 ] + }, + "PHYEMAC1RXRUNDISP": { + "direction": "input", + "bits": [ 258 ] + }, + "PHYEMAC1SIGNALDET": { + "direction": "input", + "bits": [ 259 ] + }, + "PHYEMAC1TXBUFERR": { + "direction": "input", + "bits": [ 260 ] + }, + "RESET": { + "direction": "input", + "bits": [ 261 ] + }, + "DCREMACDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293 ] + }, + "CLIENTEMAC0PAUSEVAL": { + "direction": "input", + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309 ] + }, + "CLIENTEMAC0TXD": { + "direction": "input", + "bits": [ 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325 ] + }, + "CLIENTEMAC1PAUSEVAL": { + "direction": "input", + "bits": [ 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341 ] + }, + "CLIENTEMAC1TXD": { + "direction": "input", + "bits": [ 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ] + }, + "HOSTOPCODE": { + "direction": "input", + "bits": [ 358, 359 ] + }, + "PHYEMAC0RXBUFSTATUS": { + "direction": "input", + "bits": [ 360, 361 ] + }, + "PHYEMAC0RXLOSSOFSYNC": { + "direction": "input", + "bits": [ 362, 363 ] + }, + "PHYEMAC1RXBUFSTATUS": { + "direction": "input", + "bits": [ 364, 365 ] + }, + "PHYEMAC1RXLOSSOFSYNC": { + "direction": "input", + "bits": [ 366, 367 ] + }, + "PHYEMAC0RXCLKCORCNT": { + "direction": "input", + "bits": [ 368, 369, 370 ] + }, + "PHYEMAC1RXCLKCORCNT": { + "direction": "input", + "bits": [ 371, 372, 373 ] + }, + "HOSTWRDATA": { + "direction": "input", + "bits": [ 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405 ] + }, + "TIEEMAC0UNICASTADDR": { + "direction": "input", + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453 ] + }, + "TIEEMAC1UNICASTADDR": { + "direction": "input", + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501 ] + }, + "PHYEMAC0PHYAD": { + "direction": "input", + "bits": [ 502, 503, 504, 505, 506 ] + }, + "PHYEMAC1PHYAD": { + "direction": "input", + "bits": [ 507, 508, 509, 510, 511 ] + }, + "TIEEMAC0CONFIGVEC": { + "direction": "input", + "bits": [ 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591 ] + }, + "TIEEMAC1CONFIGVEC": { + "direction": "input", + "bits": [ 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671 ] + }, + "CLIENTEMAC0TXIFGDELAY": { + "direction": "input", + "bits": [ 672, 673, 674, 675, 676, 677, 678, 679 ] + }, + "CLIENTEMAC1TXIFGDELAY": { + "direction": "input", + "bits": [ 680, 681, 682, 683, 684, 685, 686, 687 ] + }, + "PHYEMAC0RXD": { + "direction": "input", + "bits": [ 688, 689, 690, 691, 692, 693, 694, 695 ] + }, + "PHYEMAC1RXD": { + "direction": "input", + "bits": [ 696, 697, 698, 699, 700, 701, 702, 703 ] + }, + "DCREMACABUS": { + "direction": "input", + "offset": 8, + "upto": 1, + "bits": [ 704, 705 ] + }, + "HOSTADDR": { + "direction": "input", + "bits": [ 706, 707, 708, 709, 710, 711, 712, 713, 714, 715 ] + } + }, + "cells": { + }, + "netnames": { + "CLIENTEMAC0DCMLOCKED": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26617.11-26617.31" + } + }, + "CLIENTEMAC0PAUSEREQ": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26618.11-26618.30" + } + }, + "CLIENTEMAC0PAUSEVAL": { + "hide_name": 0, + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26683.18-26683.37" + } + }, + "CLIENTEMAC0RXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26619.11-26619.35" + } + }, + "CLIENTEMAC0TXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26620.11-26620.35" + } + }, + "CLIENTEMAC0TXD": { + "hide_name": 0, + "bits": [ 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26684.18-26684.32" + } + }, + "CLIENTEMAC0TXDVLD": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26621.11-26621.28" + } + }, + "CLIENTEMAC0TXDVLDMSW": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26622.11-26622.31" + } + }, + "CLIENTEMAC0TXFIRSTBYTE": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26623.11-26623.33" + } + }, + "CLIENTEMAC0TXGMIIMIICLKIN": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26624.11-26624.36" + } + }, + "CLIENTEMAC0TXIFGDELAY": { + "hide_name": 0, + "bits": [ 672, 673, 674, 675, 676, 677, 678, 679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26701.17-26701.38" + } + }, + "CLIENTEMAC0TXUNDERRUN": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26625.11-26625.32" + } + }, + "CLIENTEMAC1DCMLOCKED": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26626.11-26626.31" + } + }, + "CLIENTEMAC1PAUSEREQ": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26627.11-26627.30" + } + }, + "CLIENTEMAC1PAUSEVAL": { + "hide_name": 0, + "bits": [ 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26685.18-26685.37" + } + }, + "CLIENTEMAC1RXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26628.11-26628.35" + } + }, + "CLIENTEMAC1TXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26629.11-26629.35" + } + }, + "CLIENTEMAC1TXD": { + "hide_name": 0, + "bits": [ 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26686.18-26686.32" + } + }, + "CLIENTEMAC1TXDVLD": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26630.11-26630.28" + } + }, + "CLIENTEMAC1TXDVLDMSW": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26631.11-26631.31" + } + }, + "CLIENTEMAC1TXFIRSTBYTE": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26632.11-26632.33" + } + }, + "CLIENTEMAC1TXGMIIMIICLKIN": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26633.11-26633.36" + } + }, + "CLIENTEMAC1TXIFGDELAY": { + "hide_name": 0, + "bits": [ 680, 681, 682, 683, 684, 685, 686, 687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26702.17-26702.38" + } + }, + "CLIENTEMAC1TXUNDERRUN": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26634.11-26634.32" + } + }, + "DCREMACABUS": { + "hide_name": 0, + "bits": [ 704, 705 ], + "offset": 8, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26705.17-26705.28" + } + }, + "DCREMACCLK": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26635.11-26635.21" + } + }, + "DCREMACDBUS": { + "hide_name": 0, + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26682.18-26682.29" + } + }, + "DCREMACENABLE": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26636.11-26636.24" + } + }, + "DCREMACREAD": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26637.11-26637.22" + } + }, + "DCREMACWRITE": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26638.11-26638.23" + } + }, + "DCRHOSTDONEIR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26540.12-26540.25" + } + }, + "EMAC0CLIENTANINTERRUPT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26541.12-26541.34" + } + }, + "EMAC0CLIENTRXBADFRAME": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26542.12-26542.33" + } + }, + "EMAC0CLIENTRXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26543.12-26543.37" + } + }, + "EMAC0CLIENTRXD": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26610.19-26610.33" + } + }, + "EMAC0CLIENTRXDVLD": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26544.12-26544.29" + } + }, + "EMAC0CLIENTRXDVLDMSW": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26545.12-26545.32" + } + }, + "EMAC0CLIENTRXDVREG6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26546.12-26546.31" + } + }, + "EMAC0CLIENTRXFRAMEDROP": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26547.12-26547.34" + } + }, + "EMAC0CLIENTRXGOODFRAME": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26548.12-26548.34" + } + }, + "EMAC0CLIENTRXSTATS": { + "hide_name": 0, + "bits": [ 167, 168, 169, 170, 171, 172, 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26613.18-26613.36" + } + }, + "EMAC0CLIENTRXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26549.12-26549.37" + } + }, + "EMAC0CLIENTRXSTATSVLD": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26550.12-26550.33" + } + }, + "EMAC0CLIENTTXACK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26551.12-26551.28" + } + }, + "EMAC0CLIENTTXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26552.12-26552.37" + } + }, + "EMAC0CLIENTTXCOLLISION": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26553.12-26553.34" + } + }, + "EMAC0CLIENTTXGMIIMIICLKOUT": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26554.12-26554.38" + } + }, + "EMAC0CLIENTTXRETRANSMIT": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26555.12-26555.35" + } + }, + "EMAC0CLIENTTXSTATS": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26556.12-26556.30" + } + }, + "EMAC0CLIENTTXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26557.12-26557.37" + } + }, + "EMAC0CLIENTTXSTATSVLD": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26558.12-26558.33" + } + }, + "EMAC0PHYENCOMMAALIGN": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26559.12-26559.32" + } + }, + "EMAC0PHYLOOPBACKMSB": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26560.12-26560.31" + } + }, + "EMAC0PHYMCLKOUT": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26561.12-26561.27" + } + }, + "EMAC0PHYMDOUT": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26562.12-26562.25" + } + }, + "EMAC0PHYMDTRI": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26563.12-26563.25" + } + }, + "EMAC0PHYMGTRXRESET": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26564.12-26564.30" + } + }, + "EMAC0PHYMGTTXRESET": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26565.12-26565.30" + } + }, + "EMAC0PHYPOWERDOWN": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26566.12-26566.29" + } + }, + "EMAC0PHYSYNCACQSTATUS": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26567.12-26567.33" + } + }, + "EMAC0PHYTXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26568.12-26568.34" + } + }, + "EMAC0PHYTXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26569.12-26569.33" + } + }, + "EMAC0PHYTXCHARISK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26570.12-26570.29" + } + }, + "EMAC0PHYTXCLK": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26571.12-26571.25" + } + }, + "EMAC0PHYTXD": { + "hide_name": 0, + "bits": [ 181, 182, 183, 184, 185, 186, 187, 188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26615.18-26615.29" + } + }, + "EMAC0PHYTXEN": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26572.12-26572.24" + } + }, + "EMAC0PHYTXER": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26573.12-26573.24" + } + }, + "EMAC1CLIENTANINTERRUPT": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26574.12-26574.34" + } + }, + "EMAC1CLIENTRXBADFRAME": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26575.12-26575.33" + } + }, + "EMAC1CLIENTRXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26576.12-26576.37" + } + }, + "EMAC1CLIENTRXD": { + "hide_name": 0, + "bits": [ 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26611.19-26611.33" + } + }, + "EMAC1CLIENTRXDVLD": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26577.12-26577.29" + } + }, + "EMAC1CLIENTRXDVLDMSW": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26578.12-26578.32" + } + }, + "EMAC1CLIENTRXDVREG6": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26579.12-26579.31" + } + }, + "EMAC1CLIENTRXFRAMEDROP": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26580.12-26580.34" + } + }, + "EMAC1CLIENTRXGOODFRAME": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26581.12-26581.34" + } + }, + "EMAC1CLIENTRXSTATS": { + "hide_name": 0, + "bits": [ 174, 175, 176, 177, 178, 179, 180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26614.18-26614.36" + } + }, + "EMAC1CLIENTRXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26582.12-26582.37" + } + }, + "EMAC1CLIENTRXSTATSVLD": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26583.12-26583.33" + } + }, + "EMAC1CLIENTTXACK": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26584.12-26584.28" + } + }, + "EMAC1CLIENTTXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26585.12-26585.37" + } + }, + "EMAC1CLIENTTXCOLLISION": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26586.12-26586.34" + } + }, + "EMAC1CLIENTTXGMIIMIICLKOUT": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26587.12-26587.38" + } + }, + "EMAC1CLIENTTXRETRANSMIT": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26588.12-26588.35" + } + }, + "EMAC1CLIENTTXSTATS": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26589.12-26589.30" + } + }, + "EMAC1CLIENTTXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26590.12-26590.37" + } + }, + "EMAC1CLIENTTXSTATSVLD": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26591.12-26591.33" + } + }, + "EMAC1PHYENCOMMAALIGN": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26592.12-26592.32" + } + }, + "EMAC1PHYLOOPBACKMSB": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26593.12-26593.31" + } + }, + "EMAC1PHYMCLKOUT": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26594.12-26594.27" + } + }, + "EMAC1PHYMDOUT": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26595.12-26595.25" + } + }, + "EMAC1PHYMDTRI": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26596.12-26596.25" + } + }, + "EMAC1PHYMGTRXRESET": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26597.12-26597.30" + } + }, + "EMAC1PHYMGTTXRESET": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26598.12-26598.30" + } + }, + "EMAC1PHYPOWERDOWN": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26599.12-26599.29" + } + }, + "EMAC1PHYSYNCACQSTATUS": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26600.12-26600.33" + } + }, + "EMAC1PHYTXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26601.12-26601.34" + } + }, + "EMAC1PHYTXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26602.12-26602.33" + } + }, + "EMAC1PHYTXCHARISK": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26603.12-26603.29" + } + }, + "EMAC1PHYTXCLK": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26604.12-26604.25" + } + }, + "EMAC1PHYTXD": { + "hide_name": 0, + "bits": [ 189, 190, 191, 192, 193, 194, 195, 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26616.18-26616.29" + } + }, + "EMAC1PHYTXEN": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26605.12-26605.24" + } + }, + "EMAC1PHYTXER": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26606.12-26606.24" + } + }, + "EMACDCRACK": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26607.12-26607.22" + } + }, + "EMACDCRDBUS": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26609.19-26609.30" + } + }, + "HOSTADDR": { + "hide_name": 0, + "bits": [ 706, 707, 708, 709, 710, 711, 712, 713, 714, 715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26706.17-26706.25" + } + }, + "HOSTCLK": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26639.11-26639.18" + } + }, + "HOSTEMAC1SEL": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26640.11-26640.23" + } + }, + "HOSTMIIMRDY": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26608.12-26608.23" + } + }, + "HOSTMIIMSEL": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26641.11-26641.22" + } + }, + "HOSTOPCODE": { + "hide_name": 0, + "bits": [ 358, 359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26687.17-26687.27" + } + }, + "HOSTRDDATA": { + "hide_name": 0, + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26612.19-26612.29" + } + }, + "HOSTREQ": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26642.11-26642.18" + } + }, + "HOSTWRDATA": { + "hide_name": 0, + "bits": [ 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26694.18-26694.28" + } + }, + "PHYEMAC0COL": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26643.11-26643.22" + } + }, + "PHYEMAC0CRS": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26644.11-26644.22" + } + }, + "PHYEMAC0GTXCLK": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26645.11-26645.25" + } + }, + "PHYEMAC0MCLKIN": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26646.11-26646.25" + } + }, + "PHYEMAC0MDIN": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26647.11-26647.23" + } + }, + "PHYEMAC0MIITXCLK": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26648.11-26648.27" + } + }, + "PHYEMAC0PHYAD": { + "hide_name": 0, + "bits": [ 502, 503, 504, 505, 506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26697.17-26697.30" + } + }, + "PHYEMAC0RXBUFERR": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26649.11-26649.27" + } + }, + "PHYEMAC0RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 360, 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26688.17-26688.36" + } + }, + "PHYEMAC0RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26650.11-26650.32" + } + }, + "PHYEMAC0RXCHARISK": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26651.11-26651.28" + } + }, + "PHYEMAC0RXCHECKINGCRC": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26652.11-26652.32" + } + }, + "PHYEMAC0RXCLK": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26653.11-26653.24" + } + }, + "PHYEMAC0RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 368, 369, 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26692.17-26692.36" + } + }, + "PHYEMAC0RXCOMMADET": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26654.11-26654.29" + } + }, + "PHYEMAC0RXD": { + "hide_name": 0, + "bits": [ 688, 689, 690, 691, 692, 693, 694, 695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26703.17-26703.28" + } + }, + "PHYEMAC0RXDISPERR": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26655.11-26655.28" + } + }, + "PHYEMAC0RXDV": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26656.11-26656.23" + } + }, + "PHYEMAC0RXER": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26657.11-26657.23" + } + }, + "PHYEMAC0RXLOSSOFSYNC": { + "hide_name": 0, + "bits": [ 362, 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26689.17-26689.37" + } + }, + "PHYEMAC0RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26658.11-26658.31" + } + }, + "PHYEMAC0RXRUNDISP": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26659.11-26659.28" + } + }, + "PHYEMAC0SIGNALDET": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26660.11-26660.28" + } + }, + "PHYEMAC0TXBUFERR": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26661.11-26661.27" + } + }, + "PHYEMAC1COL": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26662.11-26662.22" + } + }, + "PHYEMAC1CRS": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26663.11-26663.22" + } + }, + "PHYEMAC1GTXCLK": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26664.11-26664.25" + } + }, + "PHYEMAC1MCLKIN": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26665.11-26665.25" + } + }, + "PHYEMAC1MDIN": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26666.11-26666.23" + } + }, + "PHYEMAC1MIITXCLK": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26667.11-26667.27" + } + }, + "PHYEMAC1PHYAD": { + "hide_name": 0, + "bits": [ 507, 508, 509, 510, 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26698.17-26698.30" + } + }, + "PHYEMAC1RXBUFERR": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26668.11-26668.27" + } + }, + "PHYEMAC1RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 364, 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26690.17-26690.36" + } + }, + "PHYEMAC1RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26669.11-26669.32" + } + }, + "PHYEMAC1RXCHARISK": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26670.11-26670.28" + } + }, + "PHYEMAC1RXCHECKINGCRC": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26671.11-26671.32" + } + }, + "PHYEMAC1RXCLK": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26672.11-26672.24" + } + }, + "PHYEMAC1RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 371, 372, 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26693.17-26693.36" + } + }, + "PHYEMAC1RXCOMMADET": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26673.11-26673.29" + } + }, + "PHYEMAC1RXD": { + "hide_name": 0, + "bits": [ 696, 697, 698, 699, 700, 701, 702, 703 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26704.17-26704.28" + } + }, + "PHYEMAC1RXDISPERR": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26674.11-26674.28" + } + }, + "PHYEMAC1RXDV": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26675.11-26675.23" + } + }, + "PHYEMAC1RXER": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26676.11-26676.23" + } + }, + "PHYEMAC1RXLOSSOFSYNC": { + "hide_name": 0, + "bits": [ 366, 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26691.17-26691.37" + } + }, + "PHYEMAC1RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26677.11-26677.31" + } + }, + "PHYEMAC1RXRUNDISP": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26678.11-26678.28" + } + }, + "PHYEMAC1SIGNALDET": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26679.11-26679.28" + } + }, + "PHYEMAC1TXBUFERR": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26680.11-26680.27" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26681.11-26681.16" + } + }, + "TIEEMAC0CONFIGVEC": { + "hide_name": 0, + "bits": [ 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26699.18-26699.35" + } + }, + "TIEEMAC0UNICASTADDR": { + "hide_name": 0, + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26695.18-26695.37" + } + }, + "TIEEMAC1CONFIGVEC": { + "hide_name": 0, + "bits": [ 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26700.18-26700.35" + } + }, + "TIEEMAC1UNICASTADDR": { + "hide_name": 0, + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26696.18-26696.37" + } + } + } + }, + "FDCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:672.1-718.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:676.9-676.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:677.9-677.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:679.9-679.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:681.9-681.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:673.14-673.15" + } + } + } + }, + "FDCE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:721.1-749.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:724.9-724.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:725.9-725.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:726.9-726.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:727.9-727.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:722.14-722.15" + } + } + } + }, + "FDCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:830.1-873.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:834.9-834.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:835.9-835.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:837.9-837.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:838.9-838.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:840.9-840.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:831.15-831.16" + } + } + } + }, + "FDCPE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:875.1-918.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLR": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:879.9-879.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:880.9-880.11" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:882.9-882.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:883.9-883.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:885.9-885.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:876.15-876.16" + } + } + } + }, + "FDDRCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5979.1-5991.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "C0": { + "direction": "input", + "bits": [ 2 ] + }, + "C1": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D0": { + "direction": "input", + "bits": [ 5 ] + }, + "D1": { + "direction": "input", + "bits": [ 6 ] + }, + "CLR": { + "direction": "input", + "bits": [ 7 ] + }, + "PRE": { + "direction": "input", + "bits": [ 8 ] + }, + "Q": { + "direction": "output", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5982.11-5982.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5984.11-5984.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5985.11-5985.13" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5988.11-5988.14" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5986.11-5986.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5987.11-5987.13" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5989.11-5989.14" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5990.12-5990.13" + } + } + } + }, + "FDDRRSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5993.1-6005.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C0": { + "direction": "input", + "bits": [ 3 ] + }, + "C1": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "D0": { + "direction": "input", + "bits": [ 6 ] + }, + "D1": { + "direction": "input", + "bits": [ 7 ] + }, + "R": { + "direction": "input", + "bits": [ 8 ] + }, + "S": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5997.11-5997.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5999.11-5999.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6000.11-6000.13" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6001.11-6001.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6002.11-6002.13" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5995.12-5995.13" + } + }, + "R": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6003.11-6003.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6004.11-6004.12" + } + } + } + }, + "FDPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:752.1-797.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:756.9-756.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:757.9-757.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:759.9-759.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:761.9-761.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:753.14-753.15" + } + } + } + }, + "FDPE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:800.1-828.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:803.9-803.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:804.9-804.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:805.9-805.10" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:806.9-806.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:801.14-801.15" + } + } + } + }, + "FDRE": { + "attributes": { + "abc9_flop": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:471.1-509.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:475.9-475.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:476.9-476.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:478.9-478.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:472.14-472.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:480.9-480.10" + } + } + } + }, + "FDRE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:512.1-533.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:515.9-515.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:516.9-516.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:517.9-517.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:513.14-513.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:518.9-518.10" + } + } + } + }, + "FDRSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:601.1-634.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CE_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + }, + "S": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:605.9-605.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:607.9-607.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:609.9-609.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:602.14-602.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:611.9-611.10" + } + }, + "S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:613.9-613.10" + } + } + } + }, + "FDRSE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:636.1-669.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CE_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_R_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "R": { + "direction": "input", + "bits": [ 6 ] + }, + "S": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:640.9-640.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_CE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:642.9-642.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:644.9-644.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:637.14-637.15" + } + }, + "R": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_R_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:646.9-646.10" + } + }, + "S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:648.9-648.10" + } + } + } + }, + "FDSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:536.1-574.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_S_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "S": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:540.9-540.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:541.9-541.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:543.9-543.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:537.14-537.15" + } + }, + "S": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_S_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:545.9-545.10" + } + } + } + }, + "FDSE_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:577.1-599.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "S": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:580.9-580.10" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:581.9-581.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:582.9-582.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:578.14-578.15" + } + }, + "S": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:583.9-583.10" + } + } + } + }, + "FE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34055.1-34114.10" + }, + "parameter_default_values": { + "MODE": "TURBO_DECODE", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "STANDARD": "LTE" + }, + "ports": { + "DEBUG_DOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401 ] + }, + "DEBUG_PHASE": { + "direction": "output", + "bits": [ 402 ] + }, + "INTERRUPT": { + "direction": "output", + "bits": [ 403 ] + }, + "M_AXIS_DOUT_TDATA": { + "direction": "output", + "bits": [ 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915 ] + }, + "M_AXIS_DOUT_TLAST": { + "direction": "output", + "bits": [ 916 ] + }, + "M_AXIS_DOUT_TVALID": { + "direction": "output", + "bits": [ 917 ] + }, + "M_AXIS_STATUS_TDATA": { + "direction": "output", + "bits": [ 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949 ] + }, + "M_AXIS_STATUS_TVALID": { + "direction": "output", + "bits": [ 950 ] + }, + "SPARE_OUT": { + "direction": "output", + "bits": [ 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966 ] + }, + "S_AXIS_CTRL_TREADY": { + "direction": "output", + "bits": [ 967 ] + }, + "S_AXIS_DIN_TREADY": { + "direction": "output", + "bits": [ 968 ] + }, + "S_AXIS_DIN_WORDS_TREADY": { + "direction": "output", + "bits": [ 969 ] + }, + "S_AXIS_DOUT_WORDS_TREADY": { + "direction": "output", + "bits": [ 970 ] + }, + "S_AXI_ARREADY": { + "direction": "output", + "bits": [ 971 ] + }, + "S_AXI_AWREADY": { + "direction": "output", + "bits": [ 972 ] + }, + "S_AXI_BVALID": { + "direction": "output", + "bits": [ 973 ] + }, + "S_AXI_RDATA": { + "direction": "output", + "bits": [ 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005 ] + }, + "S_AXI_RVALID": { + "direction": "output", + "bits": [ 1006 ] + }, + "S_AXI_WREADY": { + "direction": "output", + "bits": [ 1007 ] + }, + "CORE_CLK": { + "direction": "input", + "bits": [ 1008 ] + }, + "DEBUG_CLK_EN": { + "direction": "input", + "bits": [ 1009 ] + }, + "DEBUG_EN": { + "direction": "input", + "bits": [ 1010 ] + }, + "DEBUG_SEL_IN": { + "direction": "input", + "bits": [ 1011, 1012, 1013, 1014 ] + }, + "M_AXIS_DOUT_ACLK": { + "direction": "input", + "bits": [ 1015 ] + }, + "M_AXIS_DOUT_TREADY": { + "direction": "input", + "bits": [ 1016 ] + }, + "M_AXIS_STATUS_ACLK": { + "direction": "input", + "bits": [ 1017 ] + }, + "M_AXIS_STATUS_TREADY": { + "direction": "input", + "bits": [ 1018 ] + }, + "RESET_N": { + "direction": "input", + "bits": [ 1019 ] + }, + "SPARE_IN": { + "direction": "input", + "bits": [ 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035 ] + }, + "S_AXIS_CTRL_ACLK": { + "direction": "input", + "bits": [ 1036 ] + }, + "S_AXIS_CTRL_TDATA": { + "direction": "input", + "bits": [ 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068 ] + }, + "S_AXIS_CTRL_TVALID": { + "direction": "input", + "bits": [ 1069 ] + }, + "S_AXIS_DIN_ACLK": { + "direction": "input", + "bits": [ 1070 ] + }, + "S_AXIS_DIN_TDATA": { + "direction": "input", + "bits": [ 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582 ] + }, + "S_AXIS_DIN_TLAST": { + "direction": "input", + "bits": [ 1583 ] + }, + "S_AXIS_DIN_TVALID": { + "direction": "input", + "bits": [ 1584 ] + }, + "S_AXIS_DIN_WORDS_ACLK": { + "direction": "input", + "bits": [ 1585 ] + }, + "S_AXIS_DIN_WORDS_TDATA": { + "direction": "input", + "bits": [ 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617 ] + }, + "S_AXIS_DIN_WORDS_TLAST": { + "direction": "input", + "bits": [ 1618 ] + }, + "S_AXIS_DIN_WORDS_TVALID": { + "direction": "input", + "bits": [ 1619 ] + }, + "S_AXIS_DOUT_WORDS_ACLK": { + "direction": "input", + "bits": [ 1620 ] + }, + "S_AXIS_DOUT_WORDS_TDATA": { + "direction": "input", + "bits": [ 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652 ] + }, + "S_AXIS_DOUT_WORDS_TLAST": { + "direction": "input", + "bits": [ 1653 ] + }, + "S_AXIS_DOUT_WORDS_TVALID": { + "direction": "input", + "bits": [ 1654 ] + }, + "S_AXI_ACLK": { + "direction": "input", + "bits": [ 1655 ] + }, + "S_AXI_ARADDR": { + "direction": "input", + "bits": [ 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673 ] + }, + "S_AXI_ARVALID": { + "direction": "input", + "bits": [ 1674 ] + }, + "S_AXI_AWADDR": { + "direction": "input", + "bits": [ 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692 ] + }, + "S_AXI_AWVALID": { + "direction": "input", + "bits": [ 1693 ] + }, + "S_AXI_BREADY": { + "direction": "input", + "bits": [ 1694 ] + }, + "S_AXI_RREADY": { + "direction": "input", + "bits": [ 1695 ] + }, + "S_AXI_WDATA": { + "direction": "input", + "bits": [ 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727 ] + }, + "S_AXI_WVALID": { + "direction": "input", + "bits": [ 1728 ] + } + }, + "cells": { + }, + "netnames": { + "CORE_CLK": { + "hide_name": 0, + "bits": [ 1008 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34080.11-34080.19" + } + }, + "DEBUG_CLK_EN": { + "hide_name": 0, + "bits": [ 1009 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34081.11-34081.23" + } + }, + "DEBUG_DOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34061.20-34061.30" + } + }, + "DEBUG_EN": { + "hide_name": 0, + "bits": [ 1010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34082.11-34082.19" + } + }, + "DEBUG_PHASE": { + "hide_name": 0, + "bits": [ 402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34062.12-34062.23" + } + }, + "DEBUG_SEL_IN": { + "hide_name": 0, + "bits": [ 1011, 1012, 1013, 1014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34083.17-34083.29" + } + }, + "INTERRUPT": { + "hide_name": 0, + "bits": [ 403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34063.12-34063.21" + } + }, + "M_AXIS_DOUT_ACLK": { + "hide_name": 0, + "bits": [ 1015 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34084.11-34084.27" + } + }, + "M_AXIS_DOUT_TDATA": { + "hide_name": 0, + "bits": [ 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34064.20-34064.37" + } + }, + "M_AXIS_DOUT_TLAST": { + "hide_name": 0, + "bits": [ 916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34065.12-34065.29" + } + }, + "M_AXIS_DOUT_TREADY": { + "hide_name": 0, + "bits": [ 1016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34085.11-34085.29" + } + }, + "M_AXIS_DOUT_TVALID": { + "hide_name": 0, + "bits": [ 917 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34066.12-34066.30" + } + }, + "M_AXIS_STATUS_ACLK": { + "hide_name": 0, + "bits": [ 1017 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34086.11-34086.29" + } + }, + "M_AXIS_STATUS_TDATA": { + "hide_name": 0, + "bits": [ 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34067.19-34067.38" + } + }, + "M_AXIS_STATUS_TREADY": { + "hide_name": 0, + "bits": [ 1018 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34087.11-34087.31" + } + }, + "M_AXIS_STATUS_TVALID": { + "hide_name": 0, + "bits": [ 950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34068.12-34068.32" + } + }, + "RESET_N": { + "hide_name": 0, + "bits": [ 1019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34088.11-34088.18" + } + }, + "SPARE_IN": { + "hide_name": 0, + "bits": [ 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34089.18-34089.26" + } + }, + "SPARE_OUT": { + "hide_name": 0, + "bits": [ 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34069.19-34069.28" + } + }, + "S_AXIS_CTRL_ACLK": { + "hide_name": 0, + "bits": [ 1036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34090.11-34090.27" + } + }, + "S_AXIS_CTRL_TDATA": { + "hide_name": 0, + "bits": [ 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34091.18-34091.35" + } + }, + "S_AXIS_CTRL_TREADY": { + "hide_name": 0, + "bits": [ 967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34070.12-34070.30" + } + }, + "S_AXIS_CTRL_TVALID": { + "hide_name": 0, + "bits": [ 1069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34092.11-34092.29" + } + }, + "S_AXIS_DIN_ACLK": { + "hide_name": 0, + "bits": [ 1070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34093.11-34093.26" + } + }, + "S_AXIS_DIN_TDATA": { + "hide_name": 0, + "bits": [ 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34094.19-34094.35" + } + }, + "S_AXIS_DIN_TLAST": { + "hide_name": 0, + "bits": [ 1583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34095.11-34095.27" + } + }, + "S_AXIS_DIN_TREADY": { + "hide_name": 0, + "bits": [ 968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34071.12-34071.29" + } + }, + "S_AXIS_DIN_TVALID": { + "hide_name": 0, + "bits": [ 1584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34096.11-34096.28" + } + }, + "S_AXIS_DIN_WORDS_ACLK": { + "hide_name": 0, + "bits": [ 1585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34097.11-34097.32" + } + }, + "S_AXIS_DIN_WORDS_TDATA": { + "hide_name": 0, + "bits": [ 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34098.18-34098.40" + } + }, + "S_AXIS_DIN_WORDS_TLAST": { + "hide_name": 0, + "bits": [ 1618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34099.11-34099.33" + } + }, + "S_AXIS_DIN_WORDS_TREADY": { + "hide_name": 0, + "bits": [ 969 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34072.12-34072.35" + } + }, + "S_AXIS_DIN_WORDS_TVALID": { + "hide_name": 0, + "bits": [ 1619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34100.11-34100.34" + } + }, + "S_AXIS_DOUT_WORDS_ACLK": { + "hide_name": 0, + "bits": [ 1620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34101.11-34101.33" + } + }, + "S_AXIS_DOUT_WORDS_TDATA": { + "hide_name": 0, + "bits": [ 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34102.18-34102.41" + } + }, + "S_AXIS_DOUT_WORDS_TLAST": { + "hide_name": 0, + "bits": [ 1653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34103.11-34103.34" + } + }, + "S_AXIS_DOUT_WORDS_TREADY": { + "hide_name": 0, + "bits": [ 970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34073.12-34073.36" + } + }, + "S_AXIS_DOUT_WORDS_TVALID": { + "hide_name": 0, + "bits": [ 1654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34104.11-34104.35" + } + }, + "S_AXI_ACLK": { + "hide_name": 0, + "bits": [ 1655 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34105.11-34105.21" + } + }, + "S_AXI_ARADDR": { + "hide_name": 0, + "bits": [ 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34106.18-34106.30" + } + }, + "S_AXI_ARREADY": { + "hide_name": 0, + "bits": [ 971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34074.12-34074.25" + } + }, + "S_AXI_ARVALID": { + "hide_name": 0, + "bits": [ 1674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34107.11-34107.24" + } + }, + "S_AXI_AWADDR": { + "hide_name": 0, + "bits": [ 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34108.18-34108.30" + } + }, + "S_AXI_AWREADY": { + "hide_name": 0, + "bits": [ 972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34075.12-34075.25" + } + }, + "S_AXI_AWVALID": { + "hide_name": 0, + "bits": [ 1693 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34109.11-34109.24" + } + }, + "S_AXI_BREADY": { + "hide_name": 0, + "bits": [ 1694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34110.11-34110.23" + } + }, + "S_AXI_BVALID": { + "hide_name": 0, + "bits": [ 973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34076.12-34076.24" + } + }, + "S_AXI_RDATA": { + "hide_name": 0, + "bits": [ 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34077.19-34077.30" + } + }, + "S_AXI_RREADY": { + "hide_name": 0, + "bits": [ 1695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34111.11-34111.23" + } + }, + "S_AXI_RVALID": { + "hide_name": 0, + "bits": [ 1006 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34078.12-34078.24" + } + }, + "S_AXI_WDATA": { + "hide_name": 0, + "bits": [ 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34112.18-34112.29" + } + }, + "S_AXI_WREADY": { + "hide_name": 0, + "bits": [ 1007 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34079.12-34079.24" + } + }, + "S_AXI_WVALID": { + "hide_name": 0, + "bits": [ 1728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34113.11-34113.23" + } + } + } + }, + "FIFO16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4112.1-4136.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_OFFSET": "000010000000", + "ALMOST_FULL_OFFSET": "000010000000", + "DATA_WIDTH": "00000000000000000000000000100100", + "FIRST_WORD_FALL_THROUGH": "FALSE" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "DO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOP": { + "direction": "output", + "bits": [ 36, 37, 38, 39 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 40 ] + }, + "FULL": { + "direction": "output", + "bits": [ 41 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 54 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 67 ] + }, + "DI": { + "direction": "input", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "DIP": { + "direction": "input", + "bits": [ 100, 101, 102, 103 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 104 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 105 ] + }, + "RST": { + "direction": "input", + "bits": [ 106 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 107 ] + }, + "WREN": { + "direction": "input", + "bits": [ 108 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4117.12-4117.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4118.12-4118.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4127.18-4127.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4128.17-4128.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4119.19-4119.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4120.18-4120.21" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4121.12-4121.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4122.12-4122.16" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4130.11-4130.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4123.19-4123.26" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4131.11-4131.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4124.12-4124.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4132.11-4132.14" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4134.11-4134.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4125.19-4125.26" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4135.11-4135.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4126.12-4126.17" + } + } + } + }, + "FIFO18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4274.1-4301.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_OFFSET": "000010000000", + "ALMOST_FULL_OFFSET": "000010000000", + "DATA_WIDTH": "00000000000000000000000000000100", + "DO_REG": "00000000000000000000000000000001", + "EN_SYN": "FALSE", + "FIRST_WORD_FALL_THROUGH": "FALSE", + "SIM_MODE": "SAFE" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "DO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "DOP": { + "direction": "output", + "bits": [ 20, 21 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 22 ] + }, + "FULL": { + "direction": "output", + "bits": [ 23 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 36 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 49 ] + }, + "DI": { + "direction": "input", + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "DIP": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 68 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 69 ] + }, + "RST": { + "direction": "input", + "bits": [ 70 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 71 ] + }, + "WREN": { + "direction": "input", + "bits": [ 72 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4282.12-4282.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4283.12-4283.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4292.18-4292.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4293.17-4293.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4284.19-4284.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4285.18-4285.21" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4286.12-4286.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4287.12-4287.16" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4295.11-4295.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4288.19-4288.26" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4296.11-4296.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4289.12-4289.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4297.11-4297.14" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4299.11-4299.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4290.19-4290.26" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4300.11-4300.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4291.12-4291.17" + } + } + } + }, + "FIFO18E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4969.1-5013.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_OFFSET": "0000010000000", + "ALMOST_FULL_OFFSET": "0000010000000", + "DATA_WIDTH": "00000000000000000000000000000100", + "DO_REG": "00000000000000000000000000000001", + "EN_SYN": "FALSE", + "FIFO_MODE": "FIFO18", + "FIRST_WORD_FALL_THROUGH": "FALSE", + "INIT": "000000000000000000000000000000000000", + "IS_RDCLK_INVERTED": "0", + "IS_RDEN_INVERTED": "0", + "IS_RSTREG_INVERTED": "0", + "IS_RST_INVERTED": "0", + "IS_WRCLK_INVERTED": "0", + "IS_WREN_INVERTED": "0", + "SIM_DEVICE": "VIRTEX6", + "SRVAL": "000000000000000000000000000000000000" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "DO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOP": { + "direction": "output", + "bits": [ 36, 37, 38, 39 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 40 ] + }, + "FULL": { + "direction": "output", + "bits": [ 41 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 54 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 67 ] + }, + "DI": { + "direction": "input", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "DIP": { + "direction": "input", + "bits": [ 100, 101, 102, 103 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 104 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 105 ] + }, + "REGCE": { + "direction": "input", + "bits": [ 106 ] + }, + "RST": { + "direction": "input", + "bits": [ 107 ] + }, + "RSTREG": { + "direction": "input", + "bits": [ 108 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 109 ] + }, + "WREN": { + "direction": "input", + "bits": [ 110 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4986.12-4986.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4987.12-4987.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4996.18-4996.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4997.17-4997.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4988.19-4988.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4989.18-4989.21" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4990.12-4990.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4991.12-4991.16" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_RDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5000.11-5000.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4992.19-4992.26" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "invertible_pin": "IS_RDEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5002.11-5002.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4993.12-4993.17" + } + }, + "REGCE": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5003.11-5003.16" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5005.11-5005.14" + } + }, + "RSTREG": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "invertible_pin": "IS_RSTREG_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5007.11-5007.17" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5010.11-5010.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4994.19-4994.26" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "invertible_pin": "IS_WREN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5012.11-5012.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4995.12-4995.17" + } + } + } + }, + "FIFO18E2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5068.1-5131.10" + }, + "parameter_default_values": { + "CASCADE_ORDER": "NONE", + "CLOCK_DOMAINS": "INDEPENDENT", + "FIRST_WORD_FALL_THROUGH": "FALSE", + "INIT": "000000000000000000000000000000000000", + "IS_RDCLK_INVERTED": "0", + "IS_RDEN_INVERTED": "0", + "IS_RSTREG_INVERTED": "0", + "IS_RST_INVERTED": "0", + "IS_WRCLK_INVERTED": "0", + "IS_WREN_INVERTED": "0", + "PROG_EMPTY_THRESH": "00000000000000000000000100000000", + "PROG_FULL_THRESH": "00000000000000000000000100000000", + "RDCOUNT_TYPE": "RAW_PNTR", + "READ_WIDTH": "00000000000000000000000000000100", + "REGISTER_MODE": "UNREGISTERED", + "RSTREG_PRIORITY": "RSTREG", + "SLEEP_ASYNC": "FALSE", + "SRVAL": "000000000000000000000000000000000000", + "WRCOUNT_TYPE": "RAW_PNTR", + "WRITE_WIDTH": "00000000000000000000000000000100" + }, + "ports": { + "CASDOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "CASDOUTP": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "CASNXTEMPTY": { + "direction": "output", + "bits": [ 38 ] + }, + "CASPRVRDEN": { + "direction": "output", + "bits": [ 39 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + }, + "DOUTP": { + "direction": "output", + "bits": [ 72, 73, 74, 75 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 76 ] + }, + "FULL": { + "direction": "output", + "bits": [ 77 ] + }, + "PROGEMPTY": { + "direction": "output", + "bits": [ 78 ] + }, + "PROGFULL": { + "direction": "output", + "bits": [ 79 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 93 ] + }, + "RDRSTBUSY": { + "direction": "output", + "bits": [ 94 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 108 ] + }, + "WRRSTBUSY": { + "direction": "output", + "bits": [ 109 ] + }, + "CASDIN": { + "direction": "input", + "bits": [ 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141 ] + }, + "CASDINP": { + "direction": "input", + "bits": [ 142, 143, 144, 145 ] + }, + "CASDOMUX": { + "direction": "input", + "bits": [ 146 ] + }, + "CASDOMUXEN": { + "direction": "input", + "bits": [ 147 ] + }, + "CASNXTRDEN": { + "direction": "input", + "bits": [ 148 ] + }, + "CASOREGIMUX": { + "direction": "input", + "bits": [ 149 ] + }, + "CASOREGIMUXEN": { + "direction": "input", + "bits": [ 150 ] + }, + "CASPRVEMPTY": { + "direction": "input", + "bits": [ 151 ] + }, + "DIN": { + "direction": "input", + "bits": [ 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183 ] + }, + "DINP": { + "direction": "input", + "bits": [ 184, 185, 186, 187 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 188 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 189 ] + }, + "REGCE": { + "direction": "input", + "bits": [ 190 ] + }, + "RST": { + "direction": "input", + "bits": [ 191 ] + }, + "RSTREG": { + "direction": "input", + "bits": [ 192 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 193 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 194 ] + }, + "WREN": { + "direction": "input", + "bits": [ 195 ] + } + }, + "cells": { + }, + "netnames": { + "CASDIN": { + "hide_name": 0, + "bits": [ 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5105.18-5105.24" + } + }, + "CASDINP": { + "hide_name": 0, + "bits": [ 142, 143, 144, 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5106.17-5106.24" + } + }, + "CASDOMUX": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5107.11-5107.19" + } + }, + "CASDOMUXEN": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5108.11-5108.21" + } + }, + "CASDOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5089.19-5089.26" + } + }, + "CASDOUTP": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5090.18-5090.26" + } + }, + "CASNXTEMPTY": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5091.12-5091.23" + } + }, + "CASNXTRDEN": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5109.11-5109.21" + } + }, + "CASOREGIMUX": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5110.11-5110.22" + } + }, + "CASOREGIMUXEN": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5111.11-5111.24" + } + }, + "CASPRVEMPTY": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5112.11-5112.22" + } + }, + "CASPRVRDEN": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5092.12-5092.22" + } + }, + "DIN": { + "hide_name": 0, + "bits": [ 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5113.18-5113.21" + } + }, + "DINP": { + "hide_name": 0, + "bits": [ 184, 185, 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5114.17-5114.21" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5093.19-5093.23" + } + }, + "DOUTP": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5094.18-5094.23" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5095.12-5095.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5096.12-5096.16" + } + }, + "PROGEMPTY": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5097.12-5097.21" + } + }, + "PROGFULL": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5098.12-5098.20" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_RDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5117.11-5117.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5099.19-5099.26" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "invertible_pin": "IS_RDEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5119.11-5119.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5100.12-5100.17" + } + }, + "RDRSTBUSY": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5101.12-5101.21" + } + }, + "REGCE": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5120.11-5120.16" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5122.11-5122.14" + } + }, + "RSTREG": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "invertible_pin": "IS_RSTREG_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5124.11-5124.17" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5125.11-5125.16" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5128.11-5128.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5102.19-5102.26" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "invertible_pin": "IS_WREN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5130.11-5130.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5103.12-5103.17" + } + }, + "WRRSTBUSY": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5104.12-5104.21" + } + } + } + }, + "FIFO18_36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4303.1-4329.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_OFFSET": "010000000", + "ALMOST_FULL_OFFSET": "010000000", + "DO_REG": "00000000000000000000000000000001", + "EN_SYN": "FALSE", + "FIRST_WORD_FALL_THROUGH": "FALSE", + "SIM_MODE": "SAFE" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "DO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOP": { + "direction": "output", + "bits": [ 36, 37, 38, 39 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 40 ] + }, + "FULL": { + "direction": "output", + "bits": [ 41 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 51 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 61 ] + }, + "DI": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ] + }, + "DIP": { + "direction": "input", + "bits": [ 94, 95, 96, 97 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 98 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 99 ] + }, + "RST": { + "direction": "input", + "bits": [ 100 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 101 ] + }, + "WREN": { + "direction": "input", + "bits": [ 102 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4310.12-4310.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4311.12-4311.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4320.18-4320.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 94, 95, 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4321.17-4321.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4312.19-4312.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4313.18-4313.21" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4314.12-4314.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4315.12-4315.16" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4323.11-4323.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4316.18-4316.25" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4324.11-4324.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4317.12-4317.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4325.11-4325.14" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4327.11-4327.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4318.18-4318.25" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4328.11-4328.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4319.12-4319.17" + } + } + } + }, + "FIFO36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4331.1-4358.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_OFFSET": "0000010000000", + "ALMOST_FULL_OFFSET": "0000010000000", + "DATA_WIDTH": "00000000000000000000000000000100", + "DO_REG": "00000000000000000000000000000001", + "EN_SYN": "FALSE", + "FIRST_WORD_FALL_THROUGH": "FALSE", + "SIM_MODE": "SAFE" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "DO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOP": { + "direction": "output", + "bits": [ 36, 37, 38, 39 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 40 ] + }, + "FULL": { + "direction": "output", + "bits": [ 41 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 55 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 69 ] + }, + "DI": { + "direction": "input", + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ] + }, + "DIP": { + "direction": "input", + "bits": [ 102, 103, 104, 105 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 106 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 107 ] + }, + "RST": { + "direction": "input", + "bits": [ 108 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 109 ] + }, + "WREN": { + "direction": "input", + "bits": [ 110 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4339.12-4339.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4340.12-4340.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4349.18-4349.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 102, 103, 104, 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4350.17-4350.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4341.19-4341.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4342.18-4342.21" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4343.12-4343.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4344.12-4344.16" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4352.11-4352.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4345.19-4345.26" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4353.11-4353.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4346.12-4346.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4354.11-4354.14" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4356.11-4356.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4347.19-4347.26" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4357.11-4357.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4348.12-4348.17" + } + } + } + }, + "FIFO36E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5015.1-5066.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_OFFSET": "0000010000000", + "ALMOST_FULL_OFFSET": "0000010000000", + "DATA_WIDTH": "00000000000000000000000000000100", + "DO_REG": "00000000000000000000000000000001", + "EN_ECC_READ": "FALSE", + "EN_ECC_WRITE": "FALSE", + "EN_SYN": "FALSE", + "FIFO_MODE": "FIFO36", + "FIRST_WORD_FALL_THROUGH": "FALSE", + "INIT": "000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_RDCLK_INVERTED": "0", + "IS_RDEN_INVERTED": "0", + "IS_RSTREG_INVERTED": "0", + "IS_RST_INVERTED": "0", + "IS_WRCLK_INVERTED": "0", + "IS_WREN_INVERTED": "0", + "SIM_DEVICE": "VIRTEX6", + "SRVAL": "000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "DBITERR": { + "direction": "output", + "bits": [ 4 ] + }, + "DO": { + "direction": "output", + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + }, + "DOP": { + "direction": "output", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 85 ] + }, + "FULL": { + "direction": "output", + "bits": [ 86 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 100 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 101 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 115 ] + }, + "DI": { + "direction": "input", + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179 ] + }, + "DIP": { + "direction": "input", + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187 ] + }, + "INJECTDBITERR": { + "direction": "input", + "bits": [ 188 ] + }, + "INJECTSBITERR": { + "direction": "input", + "bits": [ 189 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 190 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 191 ] + }, + "REGCE": { + "direction": "input", + "bits": [ 192 ] + }, + "RST": { + "direction": "input", + "bits": [ 193 ] + }, + "RSTREG": { + "direction": "input", + "bits": [ 194 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 195 ] + }, + "WREN": { + "direction": "input", + "bits": [ 196 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5034.12-5034.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5035.12-5035.22" + } + }, + "DBITERR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5036.12-5036.19" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5047.18-5047.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5048.17-5048.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5037.19-5037.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5038.18-5038.21" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5039.18-5039.27" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5040.12-5040.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5041.12-5041.16" + } + }, + "INJECTDBITERR": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5049.11-5049.24" + } + }, + "INJECTSBITERR": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5050.11-5050.24" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_RDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5053.11-5053.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5042.19-5042.26" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "invertible_pin": "IS_RDEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5055.11-5055.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5043.12-5043.17" + } + }, + "REGCE": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5056.11-5056.16" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5058.11-5058.14" + } + }, + "RSTREG": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "invertible_pin": "IS_RSTREG_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5060.11-5060.17" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5044.12-5044.19" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5063.11-5063.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5045.19-5045.26" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "invertible_pin": "IS_WREN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5065.11-5065.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5046.12-5046.17" + } + } + } + }, + "FIFO36E2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5133.1-5204.10" + }, + "parameter_default_values": { + "CASCADE_ORDER": "NONE", + "CLOCK_DOMAINS": "INDEPENDENT", + "EN_ECC_PIPE": "FALSE", + "EN_ECC_READ": "FALSE", + "EN_ECC_WRITE": "FALSE", + "FIRST_WORD_FALL_THROUGH": "FALSE", + "INIT": "000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_RDCLK_INVERTED": "0", + "IS_RDEN_INVERTED": "0", + "IS_RSTREG_INVERTED": "0", + "IS_RST_INVERTED": "0", + "IS_WRCLK_INVERTED": "0", + "IS_WREN_INVERTED": "0", + "PROG_EMPTY_THRESH": "00000000000000000000000100000000", + "PROG_FULL_THRESH": "00000000000000000000000100000000", + "RDCOUNT_TYPE": "RAW_PNTR", + "READ_WIDTH": "00000000000000000000000000000100", + "REGISTER_MODE": "UNREGISTERED", + "RSTREG_PRIORITY": "RSTREG", + "SLEEP_ASYNC": "FALSE", + "SRVAL": "000000000000000000000000000000000000000000000000000000000000000000000000", + "WRCOUNT_TYPE": "RAW_PNTR", + "WRITE_WIDTH": "00000000000000000000000000000100" + }, + "ports": { + "CASDOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "CASDOUTP": { + "direction": "output", + "bits": [ 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "CASNXTEMPTY": { + "direction": "output", + "bits": [ 74 ] + }, + "CASPRVRDEN": { + "direction": "output", + "bits": [ 75 ] + }, + "DBITERR": { + "direction": "output", + "bits": [ 76 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "DOUTP": { + "direction": "output", + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 149, 150, 151, 152, 153, 154, 155, 156 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 157 ] + }, + "FULL": { + "direction": "output", + "bits": [ 158 ] + }, + "PROGEMPTY": { + "direction": "output", + "bits": [ 159 ] + }, + "PROGFULL": { + "direction": "output", + "bits": [ 160 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 175 ] + }, + "RDRSTBUSY": { + "direction": "output", + "bits": [ 176 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 177 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 192 ] + }, + "WRRSTBUSY": { + "direction": "output", + "bits": [ 193 ] + }, + "CASDIN": { + "direction": "input", + "bits": [ 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257 ] + }, + "CASDINP": { + "direction": "input", + "bits": [ 258, 259, 260, 261, 262, 263, 264, 265 ] + }, + "CASDOMUX": { + "direction": "input", + "bits": [ 266 ] + }, + "CASDOMUXEN": { + "direction": "input", + "bits": [ 267 ] + }, + "CASNXTRDEN": { + "direction": "input", + "bits": [ 268 ] + }, + "CASOREGIMUX": { + "direction": "input", + "bits": [ 269 ] + }, + "CASOREGIMUXEN": { + "direction": "input", + "bits": [ 270 ] + }, + "CASPRVEMPTY": { + "direction": "input", + "bits": [ 271 ] + }, + "DIN": { + "direction": "input", + "bits": [ 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335 ] + }, + "DINP": { + "direction": "input", + "bits": [ 336, 337, 338, 339, 340, 341, 342, 343 ] + }, + "INJECTDBITERR": { + "direction": "input", + "bits": [ 344 ] + }, + "INJECTSBITERR": { + "direction": "input", + "bits": [ 345 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 346 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 347 ] + }, + "REGCE": { + "direction": "input", + "bits": [ 348 ] + }, + "RST": { + "direction": "input", + "bits": [ 349 ] + }, + "RSTREG": { + "direction": "input", + "bits": [ 350 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 351 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 352 ] + }, + "WREN": { + "direction": "input", + "bits": [ 353 ] + } + }, + "cells": { + }, + "netnames": { + "CASDIN": { + "hide_name": 0, + "bits": [ 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5176.18-5176.24" + } + }, + "CASDINP": { + "hide_name": 0, + "bits": [ 258, 259, 260, 261, 262, 263, 264, 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5177.17-5177.24" + } + }, + "CASDOMUX": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5178.11-5178.19" + } + }, + "CASDOMUXEN": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5179.11-5179.21" + } + }, + "CASDOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5157.19-5157.26" + } + }, + "CASDOUTP": { + "hide_name": 0, + "bits": [ 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5158.18-5158.26" + } + }, + "CASNXTEMPTY": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5159.12-5159.23" + } + }, + "CASNXTRDEN": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5180.11-5180.21" + } + }, + "CASOREGIMUX": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5181.11-5181.22" + } + }, + "CASOREGIMUXEN": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5182.11-5182.24" + } + }, + "CASPRVEMPTY": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5183.11-5183.22" + } + }, + "CASPRVRDEN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5160.12-5160.22" + } + }, + "DBITERR": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5161.12-5161.19" + } + }, + "DIN": { + "hide_name": 0, + "bits": [ 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5184.18-5184.21" + } + }, + "DINP": { + "hide_name": 0, + "bits": [ 336, 337, 338, 339, 340, 341, 342, 343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5185.17-5185.21" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5162.19-5162.23" + } + }, + "DOUTP": { + "hide_name": 0, + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5163.18-5163.23" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 149, 150, 151, 152, 153, 154, 155, 156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5164.18-5164.27" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5165.12-5165.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5166.12-5166.16" + } + }, + "INJECTDBITERR": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5186.11-5186.24" + } + }, + "INJECTSBITERR": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5187.11-5187.24" + } + }, + "PROGEMPTY": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5167.12-5167.21" + } + }, + "PROGFULL": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5168.12-5168.20" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_RDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5190.11-5190.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5169.19-5169.26" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "invertible_pin": "IS_RDEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5192.11-5192.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5170.12-5170.17" + } + }, + "RDRSTBUSY": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5171.12-5171.21" + } + }, + "REGCE": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5193.11-5193.16" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5195.11-5195.14" + } + }, + "RSTREG": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "invertible_pin": "IS_RSTREG_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5197.11-5197.17" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5172.12-5172.19" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5198.11-5198.16" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5201.11-5201.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5173.19-5173.26" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "invertible_pin": "IS_WREN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5203.11-5203.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5174.12-5174.17" + } + }, + "WRRSTBUSY": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5175.12-5175.21" + } + } + } + }, + "FIFO36_72": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4360.1-4391.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_OFFSET": "010000000", + "ALMOST_FULL_OFFSET": "010000000", + "DO_REG": "00000000000000000000000000000001", + "EN_ECC_READ": "FALSE", + "EN_ECC_WRITE": "FALSE", + "EN_SYN": "FALSE", + "FIRST_WORD_FALL_THROUGH": "FALSE", + "SIM_MODE": "SAFE" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "DBITERR": { + "direction": "output", + "bits": [ 4 ] + }, + "DO": { + "direction": "output", + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + }, + "DOP": { + "direction": "output", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 85 ] + }, + "FULL": { + "direction": "output", + "bits": [ 86 ] + }, + "RDCOUNT": { + "direction": "output", + "bits": [ 87, 88, 89, 90, 91, 92, 93, 94, 95 ] + }, + "RDERR": { + "direction": "output", + "bits": [ 96 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 97 ] + }, + "WRCOUNT": { + "direction": "output", + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106 ] + }, + "WRERR": { + "direction": "output", + "bits": [ 107 ] + }, + "DI": { + "direction": "input", + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171 ] + }, + "DIP": { + "direction": "input", + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 180 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 181 ] + }, + "RST": { + "direction": "input", + "bits": [ 182 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 183 ] + }, + "WREN": { + "direction": "input", + "bits": [ 184 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4369.12-4369.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4370.12-4370.22" + } + }, + "DBITERR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4371.12-4371.19" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4382.18-4382.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4383.17-4383.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4372.19-4372.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4373.18-4373.21" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4374.18-4374.27" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4375.12-4375.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4376.12-4376.16" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4385.11-4385.16" + } + }, + "RDCOUNT": { + "hide_name": 0, + "bits": [ 87, 88, 89, 90, 91, 92, 93, 94, 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4377.18-4377.25" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4386.11-4386.15" + } + }, + "RDERR": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4378.12-4378.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4387.11-4387.14" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4379.12-4379.19" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4389.11-4389.16" + } + }, + "WRCOUNT": { + "hide_name": 0, + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4380.18-4380.25" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4390.11-4390.15" + } + }, + "WRERR": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4381.12-4381.17" + } + } + } + }, + "FRAME_ECCE2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9893.1-9904.10" + }, + "parameter_default_values": { + "FARSRC": "EFAR", + "FRAME_RBT_IN_FILENAME": "NONE" + }, + "ports": { + "CRCERROR": { + "direction": "output", + "bits": [ 2 ] + }, + "ECCERROR": { + "direction": "output", + "bits": [ 3 ] + }, + "ECCERRORSINGLE": { + "direction": "output", + "bits": [ 4 ] + }, + "SYNDROMEVALID": { + "direction": "output", + "bits": [ 5 ] + }, + "SYNDROME": { + "direction": "output", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ] + }, + "FAR": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44 ] + }, + "SYNBIT": { + "direction": "output", + "bits": [ 45, 46, 47, 48, 49 ] + }, + "SYNWORD": { + "direction": "output", + "bits": [ 50, 51, 52, 53, 54, 55, 56 ] + } + }, + "cells": { + }, + "netnames": { + "CRCERROR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9896.12-9896.20" + } + }, + "ECCERROR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9897.12-9897.20" + } + }, + "ECCERRORSINGLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9898.12-9898.26" + } + }, + "FAR": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9901.19-9901.22" + } + }, + "SYNBIT": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9902.18-9902.24" + } + }, + "SYNDROME": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9900.19-9900.27" + } + }, + "SYNDROMEVALID": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9899.12-9899.25" + } + }, + "SYNWORD": { + "hide_name": 0, + "bits": [ 50, 51, 52, 53, 54, 55, 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9903.18-9903.25" + } + } + } + }, + "FRAME_ECCE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9906.1-9916.10" + }, + "ports": { + "CRCERROR": { + "direction": "output", + "bits": [ 2 ] + }, + "ECCERRORNOTSINGLE": { + "direction": "output", + "bits": [ 3 ] + }, + "ECCERRORSINGLE": { + "direction": "output", + "bits": [ 4 ] + }, + "ENDOFFRAME": { + "direction": "output", + "bits": [ 5 ] + }, + "ENDOFSCAN": { + "direction": "output", + "bits": [ 6 ] + }, + "FAR": { + "direction": "output", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 ] + }, + "FARSEL": { + "direction": "input", + "bits": [ 33, 34 ] + }, + "ICAPBOTCLK": { + "direction": "input", + "bits": [ 35 ] + }, + "ICAPTOPCLK": { + "direction": "input", + "bits": [ 36 ] + } + }, + "cells": { + }, + "netnames": { + "CRCERROR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9907.12-9907.20" + } + }, + "ECCERRORNOTSINGLE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9908.12-9908.29" + } + }, + "ECCERRORSINGLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9909.12-9909.26" + } + }, + "ENDOFFRAME": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9910.12-9910.22" + } + }, + "ENDOFSCAN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9911.12-9911.21" + } + }, + "FAR": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9912.19-9912.22" + } + }, + "FARSEL": { + "hide_name": 0, + "bits": [ 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9913.17-9913.23" + } + }, + "ICAPBOTCLK": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9914.11-9914.21" + } + }, + "ICAPTOPCLK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9915.11-9915.21" + } + } + } + }, + "FRAME_ECCE4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9918.1-9928.10" + }, + "ports": { + "CRCERROR": { + "direction": "output", + "bits": [ 2 ] + }, + "ECCERRORNOTSINGLE": { + "direction": "output", + "bits": [ 3 ] + }, + "ECCERRORSINGLE": { + "direction": "output", + "bits": [ 4 ] + }, + "ENDOFFRAME": { + "direction": "output", + "bits": [ 5 ] + }, + "ENDOFSCAN": { + "direction": "output", + "bits": [ 6 ] + }, + "FAR": { + "direction": "output", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "FARSEL": { + "direction": "input", + "bits": [ 34, 35 ] + }, + "ICAPBOTCLK": { + "direction": "input", + "bits": [ 36 ] + }, + "ICAPTOPCLK": { + "direction": "input", + "bits": [ 37 ] + } + }, + "cells": { + }, + "netnames": { + "CRCERROR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9919.12-9919.20" + } + }, + "ECCERRORNOTSINGLE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9920.12-9920.29" + } + }, + "ECCERRORSINGLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9921.12-9921.26" + } + }, + "ENDOFFRAME": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9922.12-9922.22" + } + }, + "ENDOFSCAN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9923.12-9923.21" + } + }, + "FAR": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9924.19-9924.22" + } + }, + "FARSEL": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9925.17-9925.23" + } + }, + "ICAPBOTCLK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9926.11-9926.21" + } + }, + "ICAPTOPCLK": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9927.11-9927.21" + } + } + } + }, + "FRAME_ECC_VIRTEX4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9867.1-9871.10" + }, + "ports": { + "ERROR": { + "direction": "output", + "bits": [ 2 ] + }, + "SYNDROME": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "SYNDROMEVALID": { + "direction": "output", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "ERROR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9868.12-9868.17" + } + }, + "SYNDROME": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9869.19-9869.27" + } + }, + "SYNDROMEVALID": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9870.12-9870.25" + } + } + } + }, + "FRAME_ECC_VIRTEX5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9873.1-9878.10" + }, + "ports": { + "CRCERROR": { + "direction": "output", + "bits": [ 2 ] + }, + "ECCERROR": { + "direction": "output", + "bits": [ 3 ] + }, + "SYNDROMEVALID": { + "direction": "output", + "bits": [ 4 ] + }, + "SYNDROME": { + "direction": "output", + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + } + }, + "cells": { + }, + "netnames": { + "CRCERROR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9874.12-9874.20" + } + }, + "ECCERROR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9875.12-9875.20" + } + }, + "SYNDROME": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9877.19-9877.27" + } + }, + "SYNDROMEVALID": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9876.12-9876.25" + } + } + } + }, + "FRAME_ECC_VIRTEX6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9880.1-9891.10" + }, + "parameter_default_values": { + "FARSRC": "EFAR", + "FRAME_RBT_IN_FILENAME": "NONE" + }, + "ports": { + "CRCERROR": { + "direction": "output", + "bits": [ 2 ] + }, + "ECCERROR": { + "direction": "output", + "bits": [ 3 ] + }, + "ECCERRORSINGLE": { + "direction": "output", + "bits": [ 4 ] + }, + "SYNDROMEVALID": { + "direction": "output", + "bits": [ 5 ] + }, + "SYNDROME": { + "direction": "output", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ] + }, + "FAR": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ] + }, + "SYNBIT": { + "direction": "output", + "bits": [ 43, 44, 45, 46, 47 ] + }, + "SYNWORD": { + "direction": "output", + "bits": [ 48, 49, 50, 51, 52, 53, 54 ] + } + }, + "cells": { + }, + "netnames": { + "CRCERROR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9883.12-9883.20" + } + }, + "ECCERROR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9884.12-9884.20" + } + }, + "ECCERRORSINGLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9885.12-9885.26" + } + }, + "FAR": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9888.19-9888.22" + } + }, + "SYNBIT": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9889.18-9889.24" + } + }, + "SYNDROME": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9887.19-9887.27" + } + }, + "SYNDROMEVALID": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9886.12-9886.25" + } + }, + "SYNWORD": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9890.18-9890.25" + } + } + } + }, + "GND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:28.1-30.10" + }, + "ports": { + "G": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "G": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:28.19-28.20" + } + } + } + }, + "GT11CLK": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11601.1-11613.10" + }, + "parameter_default_values": { + "REFCLKSEL": "MGTCLK", + "SYNCLK1OUTEN": "ENABLE", + "SYNCLK2OUTEN": "DISABLE" + }, + "ports": { + "SYNCLK1OUT": { + "direction": "output", + "bits": [ 2 ] + }, + "SYNCLK2OUT": { + "direction": "output", + "bits": [ 3 ] + }, + "MGTCLKN": { + "direction": "input", + "bits": [ 4 ] + }, + "MGTCLKP": { + "direction": "input", + "bits": [ 5 ] + }, + "REFCLK": { + "direction": "input", + "bits": [ 6 ] + }, + "RXBCLK": { + "direction": "input", + "bits": [ 7 ] + }, + "SYNCLK1IN": { + "direction": "input", + "bits": [ 8 ] + }, + "SYNCLK2IN": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "MGTCLKN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11607.11-11607.18" + } + }, + "MGTCLKP": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11608.11-11608.18" + } + }, + "REFCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11609.11-11609.17" + } + }, + "RXBCLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11610.11-11610.17" + } + }, + "SYNCLK1IN": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11611.11-11611.20" + } + }, + "SYNCLK1OUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11605.12-11605.22" + } + }, + "SYNCLK2IN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11612.11-11612.20" + } + }, + "SYNCLK2OUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11606.12-11606.22" + } + } + } + }, + "GT11CLK_MGT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11615.1-11622.10" + }, + "parameter_default_values": { + "SYNCLK1OUTEN": "ENABLE", + "SYNCLK2OUTEN": "DISABLE" + }, + "ports": { + "SYNCLK1OUT": { + "direction": "output", + "bits": [ 2 ] + }, + "SYNCLK2OUT": { + "direction": "output", + "bits": [ 3 ] + }, + "MGTCLKN": { + "direction": "input", + "bits": [ 4 ] + }, + "MGTCLKP": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "MGTCLKN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11620.11-11620.18" + } + }, + "MGTCLKP": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11621.11-11621.18" + } + }, + "SYNCLK1OUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11618.12-11618.22" + } + }, + "SYNCLK2OUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11619.12-11619.22" + } + } + } + }, + "GT11_CUSTOM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10788.1-11058.10" + }, + "parameter_default_values": { + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "BANDGAPSEL": "FALSE", + "BIASRESSEL": "TRUE", + "CCCB_ARBITRATOR_DISABLE": "FALSE", + "CHAN_BOND_LIMIT": "00000000000000000000000000010000", + "CHAN_BOND_MODE": "NONE", + "CHAN_BOND_ONE_SHOT": "FALSE", + "CHAN_BOND_SEQ_1_1": "00000000000", + "CHAN_BOND_SEQ_1_2": "00000000000", + "CHAN_BOND_SEQ_1_3": "00000000000", + "CHAN_BOND_SEQ_1_4": "00000000000", + "CHAN_BOND_SEQ_1_MASK": "0000", + "CHAN_BOND_SEQ_2_1": "00000000000", + "CHAN_BOND_SEQ_2_2": "00000000000", + "CHAN_BOND_SEQ_2_3": "00000000000", + "CHAN_BOND_SEQ_2_4": "00000000000", + "CHAN_BOND_SEQ_2_MASK": "0000", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000001", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_8B10B_DE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000100100", + "CLK_COR_MIN_LAT": "00000000000000000000000000011100", + "CLK_COR_SEQ_1_1": "00000000000", + "CLK_COR_SEQ_1_2": "00000000000", + "CLK_COR_SEQ_1_3": "00000000000", + "CLK_COR_SEQ_1_4": "00000000000", + "CLK_COR_SEQ_1_MASK": "0000", + "CLK_COR_SEQ_2_1": "00000000000", + "CLK_COR_SEQ_2_2": "00000000000", + "CLK_COR_SEQ_2_3": "00000000000", + "CLK_COR_SEQ_2_4": "00000000000", + "CLK_COR_SEQ_2_MASK": "0000", + "CLK_COR_SEQ_2_USE": "FALSE", + "CLK_COR_SEQ_DROP": "FALSE", + "CLK_COR_SEQ_LEN": "00000000000000000000000000000001", + "COMMA32": "FALSE", + "COMMA_10B_MASK": "1111111111", + "CYCLE_LIMIT_SEL": "00", + "DCDR_FILTER": "010", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DIGRX_FWDCLK": "00", + "DIGRX_SYNC_MODE": "FALSE", + "ENABLE_DCDR": "FALSE", + "FDET_HYS_CAL": "110", + "FDET_HYS_SEL": "110", + "FDET_LCK_CAL": "101", + "FDET_LCK_SEL": "101", + "GT11_MODE": "SINGLE", + "IREFBIASMODE": "11", + "LOOPCAL_WAIT": "00", + "MCOMMA_32B_VALUE": "00000000000000000000000011110110", + "MCOMMA_DETECT": "TRUE", + "OPPOSITE_SELECT": "FALSE", + "PCOMMA_32B_VALUE": "11110110111101100010100000101000", + "PCOMMA_DETECT": "TRUE", + "PCS_BIT_SLIP": "FALSE", + "PMACLKENABLE": "TRUE", + "PMACOREPWRENABLE": "TRUE", + "PMAIREFTRIM": "0111", + "PMAVBGCTRL": "00000", + "PMAVREFTRIM": "0111", + "PMA_BIT_SLIP": "FALSE", + "REPEATER": "FALSE", + "RXACTST": "FALSE", + "RXAFEEQ": "000000000", + "RXAFEPD": "FALSE", + "RXAFETST": "FALSE", + "RXAPD": "FALSE", + "RXASYNCDIVIDE": "11", + "RXBY_32": "TRUE", + "RXCDRLOS": "000000", + "RXCLK0_FORCE_PMACLK": "FALSE", + "RXCLKMODE": "110001", + "RXCMADJ": "10", + "RXCPSEL": "TRUE", + "RXCPTST": "FALSE", + "RXCRCCLOCKDOUBLE": "FALSE", + "RXCRCENABLE": "FALSE", + "RXCRCINITVAL": "00000000000000000000000000000000", + "RXCRCINVERTGEN": "FALSE", + "RXCRCSAMECLOCK": "FALSE", + "RXCTRL1": "1000000000", + "RXCYCLE_LIMIT_SEL": "00", + "RXDATA_SEL": "00", + "RXDCCOUPLE": "FALSE", + "RXDIGRESET": "FALSE", + "RXDIGRX": "FALSE", + "RXEQ": "0100000000000000000000000000000000000000000000000000000000000000", + "RXFDCAL_CLOCK_DIVIDE": "NONE", + "RXFDET_HYS_CAL": "110", + "RXFDET_HYS_SEL": "110", + "RXFDET_LCK_CAL": "101", + "RXFDET_LCK_SEL": "101", + "RXFECONTROL1": "00", + "RXFECONTROL2": "000", + "RXFETUNE": "01", + "RXLB": "FALSE", + "RXLKADJ": "00000", + "RXLKAPD": "FALSE", + "RXLOOPCAL_WAIT": "00", + "RXLOOPFILT": "0111", + "RXOUTDIV2SEL": "00000000000000000000000000000001", + "RXPD": "FALSE", + "RXPDDTST": "FALSE", + "RXPLLNDIVSEL": "00000000000000000000000000001000", + "RXPMACLKSEL": "REFCLK1", + "RXRCPADJ": "011", + "RXRCPPD": "FALSE", + "RXRECCLK1_USE_SYNC": "FALSE", + "RXRIBADJ": "11", + "RXRPDPD": "FALSE", + "RXRSDPD": "FALSE", + "RXSLOWDOWN_CAL": "00", + "RXUSRDIVISOR": "00000000000000000000000000000001", + "RXVCODAC_INIT": "1010000000", + "RXVCO_CTRL_ENABLE": "TRUE", + "RX_BUFFER_USE": "TRUE", + "RX_CLOCK_DIVIDER": "00", + "RX_LOS_INVALID_INCR": "00000000000000000000000000000001", + "RX_LOS_THRESHOLD": "00000000000000000000000000000100", + "SAMPLE_8X": "FALSE", + "SH_CNT_MAX": "00000000000000000000000001000000", + "SH_INVALID_CNT_MAX": "00000000000000000000000000010000", + "SLOWDOWN_CAL": "00", + "TXABPMACLKSEL": "REFCLK1", + "TXAPD": "FALSE", + "TXAREFBIASSEL": "FALSE", + "TXASYNCDIVIDE": "11", + "TXCLK0_FORCE_PMACLK": "FALSE", + "TXCLKMODE": "1001", + "TXCPSEL": "TRUE", + "TXCRCCLOCKDOUBLE": "FALSE", + "TXCRCENABLE": "FALSE", + "TXCRCINITVAL": "00000000000000000000000000000000", + "TXCRCINVERTGEN": "FALSE", + "TXCRCSAMECLOCK": "FALSE", + "TXCTRL1": "1000000000", + "TXDATA_SEL": "00", + "TXDAT_PRDRV_DAC": "111", + "TXDAT_TAP_DAC": "10110", + "TXDIGPD": "FALSE", + "TXFDCAL_CLOCK_DIVIDE": "NONE", + "TXHIGHSIGNALEN": "TRUE", + "TXLOOPFILT": "0111", + "TXLVLSHFTPD": "FALSE", + "TXOUTCLK1_USE_SYNC": "FALSE", + "TXOUTDIV2SEL": "00000000000000000000000000000001", + "TXPD": "FALSE", + "TXPHASESEL": "FALSE", + "TXPLLNDIVSEL": "00000000000000000000000000001000", + "TXPOST_PRDRV_DAC": "111", + "TXPOST_TAP_DAC": "01110", + "TXPOST_TAP_PD": "TRUE", + "TXPRE_PRDRV_DAC": "111", + "TXPRE_TAP_DAC": "00000", + "TXPRE_TAP_PD": "TRUE", + "TXSLEWRATE": "FALSE", + "TXTERMTRIM": "1100", + "TX_BUFFER_USE": "TRUE", + "TX_CLOCK_DIVIDER": "00", + "VCODAC_INIT": "1010000000", + "VCO_CTRL_ENABLE": "TRUE", + "VREFBIASMODE": "11" + }, + "ports": { + "DRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "RXBUFERR": { + "direction": "output", + "bits": [ 3 ] + }, + "RXCALFAIL": { + "direction": "output", + "bits": [ 4 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 5 ] + }, + "RXCYCLELIMIT": { + "direction": "output", + "bits": [ 6 ] + }, + "RXLOCK": { + "direction": "output", + "bits": [ 7 ] + }, + "RXMCLK": { + "direction": "output", + "bits": [ 8 ] + }, + "RXPCSHCLKOUT": { + "direction": "output", + "bits": [ 9 ] + }, + "RXREALIGN": { + "direction": "output", + "bits": [ 10 ] + }, + "RXRECCLK1": { + "direction": "output", + "bits": [ 11 ] + }, + "RXRECCLK2": { + "direction": "output", + "bits": [ 12 ] + }, + "RXSIGDET": { + "direction": "output", + "bits": [ 13 ] + }, + "TX1N": { + "direction": "output", + "bits": [ 14 ] + }, + "TX1P": { + "direction": "output", + "bits": [ 15 ] + }, + "TXBUFERR": { + "direction": "output", + "bits": [ 16 ] + }, + "TXCALFAIL": { + "direction": "output", + "bits": [ 17 ] + }, + "TXCYCLELIMIT": { + "direction": "output", + "bits": [ 18 ] + }, + "TXLOCK": { + "direction": "output", + "bits": [ 19 ] + }, + "TXOUTCLK1": { + "direction": "output", + "bits": [ 20 ] + }, + "TXOUTCLK2": { + "direction": "output", + "bits": [ 21 ] + }, + "TXPCSHCLKOUT": { + "direction": "output", + "bits": [ 22 ] + }, + "DO": { + "direction": "output", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38 ] + }, + "RXLOSSOFSYNC": { + "direction": "output", + "bits": [ 39, 40 ] + }, + "RXCRCOUT": { + "direction": "output", + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72 ] + }, + "TXCRCOUT": { + "direction": "output", + "bits": [ 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "CHBONDO": { + "direction": "output", + "bits": [ 105, 106, 107, 108, 109 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 110, 111, 112, 113, 114, 115 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179 ] + }, + "RXCHARISCOMMA": { + "direction": "output", + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187 ] + }, + "RXCHARISK": { + "direction": "output", + "bits": [ 188, 189, 190, 191, 192, 193, 194, 195 ] + }, + "RXDISPERR": { + "direction": "output", + "bits": [ 196, 197, 198, 199, 200, 201, 202, 203 ] + }, + "RXNOTINTABLE": { + "direction": "output", + "bits": [ 204, 205, 206, 207, 208, 209, 210, 211 ] + }, + "RXRUNDISP": { + "direction": "output", + "bits": [ 212, 213, 214, 215, 216, 217, 218, 219 ] + }, + "TXKERR": { + "direction": "output", + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227 ] + }, + "TXRUNDISP": { + "direction": "output", + "bits": [ 228, 229, 230, 231, 232, 233, 234, 235 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 236 ] + }, + "DEN": { + "direction": "input", + "bits": [ 237 ] + }, + "DWE": { + "direction": "input", + "bits": [ 238 ] + }, + "ENCHANSYNC": { + "direction": "input", + "bits": [ 239 ] + }, + "ENMCOMMAALIGN": { + "direction": "input", + "bits": [ 240 ] + }, + "ENPCOMMAALIGN": { + "direction": "input", + "bits": [ 241 ] + }, + "GREFCLK": { + "direction": "input", + "bits": [ 242 ] + }, + "POWERDOWN": { + "direction": "input", + "bits": [ 243 ] + }, + "REFCLK1": { + "direction": "input", + "bits": [ 244 ] + }, + "REFCLK2": { + "direction": "input", + "bits": [ 245 ] + }, + "RX1N": { + "direction": "input", + "bits": [ 246 ] + }, + "RX1P": { + "direction": "input", + "bits": [ 247 ] + }, + "RXBLOCKSYNC64B66BUSE": { + "direction": "input", + "bits": [ 248 ] + }, + "RXCLKSTABLE": { + "direction": "input", + "bits": [ 249 ] + }, + "RXCOMMADETUSE": { + "direction": "input", + "bits": [ 250 ] + }, + "RXCRCCLK": { + "direction": "input", + "bits": [ 251 ] + }, + "RXCRCDATAVALID": { + "direction": "input", + "bits": [ 252 ] + }, + "RXCRCINIT": { + "direction": "input", + "bits": [ 253 ] + }, + "RXCRCINTCLK": { + "direction": "input", + "bits": [ 254 ] + }, + "RXCRCPD": { + "direction": "input", + "bits": [ 255 ] + }, + "RXCRCRESET": { + "direction": "input", + "bits": [ 256 ] + }, + "RXDEC64B66BUSE": { + "direction": "input", + "bits": [ 257 ] + }, + "RXDEC8B10BUSE": { + "direction": "input", + "bits": [ 258 ] + }, + "RXDESCRAM64B66BUSE": { + "direction": "input", + "bits": [ 259 ] + }, + "RXIGNOREBTF": { + "direction": "input", + "bits": [ 260 ] + }, + "RXPMARESET": { + "direction": "input", + "bits": [ 261 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 262 ] + }, + "RXRESET": { + "direction": "input", + "bits": [ 263 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 264 ] + }, + "RXSYNC": { + "direction": "input", + "bits": [ 265 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 266 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 267 ] + }, + "TXCLKSTABLE": { + "direction": "input", + "bits": [ 268 ] + }, + "TXCRCCLK": { + "direction": "input", + "bits": [ 269 ] + }, + "TXCRCDATAVALID": { + "direction": "input", + "bits": [ 270 ] + }, + "TXCRCINIT": { + "direction": "input", + "bits": [ 271 ] + }, + "TXCRCINTCLK": { + "direction": "input", + "bits": [ 272 ] + }, + "TXCRCPD": { + "direction": "input", + "bits": [ 273 ] + }, + "TXCRCRESET": { + "direction": "input", + "bits": [ 274 ] + }, + "TXENC64B66BUSE": { + "direction": "input", + "bits": [ 275 ] + }, + "TXENC8B10BUSE": { + "direction": "input", + "bits": [ 276 ] + }, + "TXENOOB": { + "direction": "input", + "bits": [ 277 ] + }, + "TXGEARBOX64B66BUSE": { + "direction": "input", + "bits": [ 278 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 279 ] + }, + "TXPMARESET": { + "direction": "input", + "bits": [ 280 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 281 ] + }, + "TXRESET": { + "direction": "input", + "bits": [ 282 ] + }, + "TXSCRAM64B66BUSE": { + "direction": "input", + "bits": [ 283 ] + }, + "TXSYNC": { + "direction": "input", + "bits": [ 284 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 285 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 286 ] + }, + "DI": { + "direction": "input", + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 303, 304 ] + }, + "RXDATAWIDTH": { + "direction": "input", + "bits": [ 305, 306 ] + }, + "RXINTDATAWIDTH": { + "direction": "input", + "bits": [ 307, 308 ] + }, + "TXDATAWIDTH": { + "direction": "input", + "bits": [ 309, 310 ] + }, + "TXINTDATAWIDTH": { + "direction": "input", + "bits": [ 311, 312 ] + }, + "RXCRCDATAWIDTH": { + "direction": "input", + "bits": [ 313, 314, 315 ] + }, + "TXCRCDATAWIDTH": { + "direction": "input", + "bits": [ 316, 317, 318 ] + }, + "CHBONDI": { + "direction": "input", + "bits": [ 319, 320, 321, 322, 323 ] + }, + "RXCRCIN": { + "direction": "input", + "bits": [ 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387 ] + }, + "TXCRCIN": { + "direction": "input", + "bits": [ 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 516, 517, 518, 519, 520, 521, 522, 523 ] + }, + "TXBYPASS8B10B": { + "direction": "input", + "bits": [ 524, 525, 526, 527, 528, 529, 530, 531 ] + }, + "TXCHARDISPMODE": { + "direction": "input", + "bits": [ 532, 533, 534, 535, 536, 537, 538, 539 ] + }, + "TXCHARDISPVAL": { + "direction": "input", + "bits": [ 540, 541, 542, 543, 544, 545, 546, 547 ] + }, + "TXCHARISK": { + "direction": "input", + "bits": [ 548, 549, 550, 551, 552, 553, 554, 555 ] + } + }, + "cells": { + }, + "netnames": { + "CHBONDI": { + "hide_name": 0, + "bits": [ 319, 320, 321, 322, 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11049.17-11049.24" + } + }, + "CHBONDO": { + "hide_name": 0, + "bits": [ 105, 106, 107, 108, 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10980.18-10980.25" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 516, 517, 518, 519, 520, 521, 522, 523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11053.17-11053.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10990.11-10990.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10991.11-10991.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11041.18-11041.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10976.19-10976.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10955.12-10955.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10992.11-10992.14" + } + }, + "ENCHANSYNC": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10993.11-10993.21" + } + }, + "ENMCOMMAALIGN": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10994.11-10994.24" + } + }, + "ENPCOMMAALIGN": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10995.11-10995.24" + } + }, + "GREFCLK": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10996.11-10996.18" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 303, 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11042.17-11042.25" + } + }, + "POWERDOWN": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10997.11-10997.20" + } + }, + "REFCLK1": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10998.11-10998.18" + } + }, + "REFCLK2": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10999.11-10999.18" + } + }, + "RX1N": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11000.11-11000.15" + } + }, + "RX1P": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11001.11-11001.15" + } + }, + "RXBLOCKSYNC64B66BUSE": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11002.11-11002.31" + } + }, + "RXBUFERR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10956.12-10956.20" + } + }, + "RXCALFAIL": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10957.12-10957.21" + } + }, + "RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10983.18-10983.31" + } + }, + "RXCHARISK": { + "hide_name": 0, + "bits": [ 188, 189, 190, 191, 192, 193, 194, 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10984.18-10984.27" + } + }, + "RXCLKSTABLE": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11003.11-11003.22" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10958.12-10958.22" + } + }, + "RXCOMMADETUSE": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11004.11-11004.24" + } + }, + "RXCRCCLK": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11005.11-11005.19" + } + }, + "RXCRCDATAVALID": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11006.11-11006.25" + } + }, + "RXCRCDATAWIDTH": { + "hide_name": 0, + "bits": [ 313, 314, 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11047.17-11047.31" + } + }, + "RXCRCIN": { + "hide_name": 0, + "bits": [ 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11050.18-11050.25" + } + }, + "RXCRCINIT": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11007.11-11007.20" + } + }, + "RXCRCINTCLK": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11008.11-11008.22" + } + }, + "RXCRCOUT": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10978.19-10978.27" + } + }, + "RXCRCPD": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11009.11-11009.18" + } + }, + "RXCRCRESET": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11010.11-11010.21" + } + }, + "RXCYCLELIMIT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10959.12-10959.24" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10982.19-10982.25" + } + }, + "RXDATAWIDTH": { + "hide_name": 0, + "bits": [ 305, 306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11043.17-11043.28" + } + }, + "RXDEC64B66BUSE": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11011.11-11011.25" + } + }, + "RXDEC8B10BUSE": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11012.11-11012.24" + } + }, + "RXDESCRAM64B66BUSE": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11013.11-11013.29" + } + }, + "RXDISPERR": { + "hide_name": 0, + "bits": [ 196, 197, 198, 199, 200, 201, 202, 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10985.18-10985.27" + } + }, + "RXIGNOREBTF": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11014.11-11014.22" + } + }, + "RXINTDATAWIDTH": { + "hide_name": 0, + "bits": [ 307, 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11044.17-11044.31" + } + }, + "RXLOCK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10960.12-10960.18" + } + }, + "RXLOSSOFSYNC": { + "hide_name": 0, + "bits": [ 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10977.18-10977.30" + } + }, + "RXMCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10961.12-10961.18" + } + }, + "RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 204, 205, 206, 207, 208, 209, 210, 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10986.18-10986.30" + } + }, + "RXPCSHCLKOUT": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10962.12-10962.24" + } + }, + "RXPMARESET": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11015.11-11015.21" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11016.11-11016.21" + } + }, + "RXREALIGN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10963.12-10963.21" + } + }, + "RXRECCLK1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10964.12-10964.21" + } + }, + "RXRECCLK2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10965.12-10965.21" + } + }, + "RXRESET": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11017.11-11017.18" + } + }, + "RXRUNDISP": { + "hide_name": 0, + "bits": [ 212, 213, 214, 215, 216, 217, 218, 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10987.18-10987.27" + } + }, + "RXSIGDET": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10966.12-10966.20" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11018.11-11018.18" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 110, 111, 112, 113, 114, 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10981.18-10981.26" + } + }, + "RXSYNC": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11019.11-11019.17" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11021.11-11021.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11020.11-11020.20" + } + }, + "TX1N": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10967.12-10967.16" + } + }, + "TX1P": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10968.12-10968.16" + } + }, + "TXBUFERR": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10969.12-10969.20" + } + }, + "TXBYPASS8B10B": { + "hide_name": 0, + "bits": [ 524, 525, 526, 527, 528, 529, 530, 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11054.17-11054.30" + } + }, + "TXCALFAIL": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10970.12-10970.21" + } + }, + "TXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 532, 533, 534, 535, 536, 537, 538, 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11055.17-11055.31" + } + }, + "TXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 540, 541, 542, 543, 544, 545, 546, 547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11056.17-11056.30" + } + }, + "TXCHARISK": { + "hide_name": 0, + "bits": [ 548, 549, 550, 551, 552, 553, 554, 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11057.17-11057.26" + } + }, + "TXCLKSTABLE": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11022.11-11022.22" + } + }, + "TXCRCCLK": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11023.11-11023.19" + } + }, + "TXCRCDATAVALID": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11024.11-11024.25" + } + }, + "TXCRCDATAWIDTH": { + "hide_name": 0, + "bits": [ 316, 317, 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11048.17-11048.31" + } + }, + "TXCRCIN": { + "hide_name": 0, + "bits": [ 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11051.18-11051.25" + } + }, + "TXCRCINIT": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11025.11-11025.20" + } + }, + "TXCRCINTCLK": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11026.11-11026.22" + } + }, + "TXCRCOUT": { + "hide_name": 0, + "bits": [ 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10979.19-10979.27" + } + }, + "TXCRCPD": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11027.11-11027.18" + } + }, + "TXCRCRESET": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11028.11-11028.21" + } + }, + "TXCYCLELIMIT": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10971.12-10971.24" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11052.18-11052.24" + } + }, + "TXDATAWIDTH": { + "hide_name": 0, + "bits": [ 309, 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11045.17-11045.28" + } + }, + "TXENC64B66BUSE": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11029.11-11029.25" + } + }, + "TXENC8B10BUSE": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11030.11-11030.24" + } + }, + "TXENOOB": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11031.11-11031.18" + } + }, + "TXGEARBOX64B66BUSE": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11032.11-11032.29" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11033.11-11033.20" + } + }, + "TXINTDATAWIDTH": { + "hide_name": 0, + "bits": [ 311, 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11046.17-11046.31" + } + }, + "TXKERR": { + "hide_name": 0, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10988.18-10988.24" + } + }, + "TXLOCK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10972.12-10972.18" + } + }, + "TXOUTCLK1": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10973.12-10973.21" + } + }, + "TXOUTCLK2": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10974.12-10974.21" + } + }, + "TXPCSHCLKOUT": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10975.12-10975.24" + } + }, + "TXPMARESET": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11034.11-11034.21" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11035.11-11035.21" + } + }, + "TXRESET": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11036.11-11036.18" + } + }, + "TXRUNDISP": { + "hide_name": 0, + "bits": [ 228, 229, 230, 231, 232, 233, 234, 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10989.18-10989.27" + } + }, + "TXSCRAM64B66BUSE": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11037.11-11037.27" + } + }, + "TXSYNC": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11038.11-11038.17" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11040.11-11040.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11039.11-11039.20" + } + } + } + }, + "GT11_DUAL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11060.1-11599.10" + }, + "parameter_default_values": { + "ALIGN_COMMA_WORD_A": "00000000000000000000000000000001", + "ALIGN_COMMA_WORD_B": "00000000000000000000000000000001", + "BANDGAPSEL_A": "FALSE", + "BANDGAPSEL_B": "FALSE", + "BIASRESSEL_A": "TRUE", + "BIASRESSEL_B": "TRUE", + "CCCB_ARBITRATOR_DISABLE_A": "FALSE", + "CCCB_ARBITRATOR_DISABLE_B": "FALSE", + "CHAN_BOND_LIMIT_A": "00000000000000000000000000010000", + "CHAN_BOND_LIMIT_B": "00000000000000000000000000010000", + "CHAN_BOND_MODE_A": "NONE", + "CHAN_BOND_MODE_B": "NONE", + "CHAN_BOND_ONE_SHOT_A": "FALSE", + "CHAN_BOND_ONE_SHOT_B": "FALSE", + "CHAN_BOND_SEQ_1_1_A": "00000000000", + "CHAN_BOND_SEQ_1_1_B": "00000000000", + "CHAN_BOND_SEQ_1_2_A": "00000000000", + "CHAN_BOND_SEQ_1_2_B": "00000000000", + "CHAN_BOND_SEQ_1_3_A": "00000000000", + "CHAN_BOND_SEQ_1_3_B": "00000000000", + "CHAN_BOND_SEQ_1_4_A": "00000000000", + "CHAN_BOND_SEQ_1_4_B": "00000000000", + "CHAN_BOND_SEQ_1_MASK_A": "0000", + "CHAN_BOND_SEQ_1_MASK_B": "0000", + "CHAN_BOND_SEQ_2_1_A": "00000000000", + "CHAN_BOND_SEQ_2_1_B": "00000000000", + "CHAN_BOND_SEQ_2_2_A": "00000000000", + "CHAN_BOND_SEQ_2_2_B": "00000000000", + "CHAN_BOND_SEQ_2_3_A": "00000000000", + "CHAN_BOND_SEQ_2_3_B": "00000000000", + "CHAN_BOND_SEQ_2_4_A": "00000000000", + "CHAN_BOND_SEQ_2_4_B": "00000000000", + "CHAN_BOND_SEQ_2_MASK_A": "0000", + "CHAN_BOND_SEQ_2_MASK_B": "0000", + "CHAN_BOND_SEQ_2_USE_A": "FALSE", + "CHAN_BOND_SEQ_2_USE_B": "FALSE", + "CHAN_BOND_SEQ_LEN_A": "00000000000000000000000000000001", + "CHAN_BOND_SEQ_LEN_B": "00000000000000000000000000000001", + "CLK_CORRECT_USE_A": "TRUE", + "CLK_CORRECT_USE_B": "TRUE", + "CLK_COR_8B10B_DE_A": "FALSE", + "CLK_COR_8B10B_DE_B": "FALSE", + "CLK_COR_MAX_LAT_A": "00000000000000000000000000100100", + "CLK_COR_MAX_LAT_B": "00000000000000000000000000100100", + "CLK_COR_MIN_LAT_A": "00000000000000000000000000011100", + "CLK_COR_MIN_LAT_B": "00000000000000000000000000011100", + "CLK_COR_SEQ_1_1_A": "00000000000", + "CLK_COR_SEQ_1_1_B": "00000000000", + "CLK_COR_SEQ_1_2_A": "00000000000", + "CLK_COR_SEQ_1_2_B": "00000000000", + "CLK_COR_SEQ_1_3_A": "00000000000", + "CLK_COR_SEQ_1_3_B": "00000000000", + "CLK_COR_SEQ_1_4_A": "00000000000", + "CLK_COR_SEQ_1_4_B": "00000000000", + "CLK_COR_SEQ_1_MASK_A": "0000", + "CLK_COR_SEQ_1_MASK_B": "0000", + "CLK_COR_SEQ_2_1_A": "00000000000", + "CLK_COR_SEQ_2_1_B": "00000000000", + "CLK_COR_SEQ_2_2_A": "00000000000", + "CLK_COR_SEQ_2_2_B": "00000000000", + "CLK_COR_SEQ_2_3_A": "00000000000", + "CLK_COR_SEQ_2_3_B": "00000000000", + "CLK_COR_SEQ_2_4_A": "00000000000", + "CLK_COR_SEQ_2_4_B": "00000000000", + "CLK_COR_SEQ_2_MASK_A": "0000", + "CLK_COR_SEQ_2_MASK_B": "0000", + "CLK_COR_SEQ_2_USE_A": "FALSE", + "CLK_COR_SEQ_2_USE_B": "FALSE", + "CLK_COR_SEQ_DROP_A": "FALSE", + "CLK_COR_SEQ_DROP_B": "FALSE", + "CLK_COR_SEQ_LEN_A": "00000000000000000000000000000001", + "CLK_COR_SEQ_LEN_B": "00000000000000000000000000000001", + "COMMA32_A": "FALSE", + "COMMA32_B": "FALSE", + "COMMA_10B_MASK_A": "1111111111", + "COMMA_10B_MASK_B": "1111111111", + "CYCLE_LIMIT_SEL_A": "00", + "CYCLE_LIMIT_SEL_B": "00", + "DCDR_FILTER_A": "010", + "DCDR_FILTER_B": "010", + "DEC_MCOMMA_DETECT_A": "TRUE", + "DEC_MCOMMA_DETECT_B": "TRUE", + "DEC_PCOMMA_DETECT_A": "TRUE", + "DEC_PCOMMA_DETECT_B": "TRUE", + "DEC_VALID_COMMA_ONLY_A": "TRUE", + "DEC_VALID_COMMA_ONLY_B": "TRUE", + "DIGRX_FWDCLK_A": "00", + "DIGRX_FWDCLK_B": "00", + "DIGRX_SYNC_MODE_A": "FALSE", + "DIGRX_SYNC_MODE_B": "FALSE", + "ENABLE_DCDR_A": "FALSE", + "ENABLE_DCDR_B": "FALSE", + "FDET_HYS_CAL_A": "110", + "FDET_HYS_CAL_B": "110", + "FDET_HYS_SEL_A": "110", + "FDET_HYS_SEL_B": "110", + "FDET_LCK_CAL_A": "101", + "FDET_LCK_CAL_B": "101", + "FDET_LCK_SEL_A": "101", + "FDET_LCK_SEL_B": "101", + "IREFBIASMODE_A": "11", + "IREFBIASMODE_B": "11", + "LOOPCAL_WAIT_A": "00", + "LOOPCAL_WAIT_B": "00", + "MCOMMA_32B_VALUE_A": "10100001101000011010001010100010", + "MCOMMA_32B_VALUE_B": "10100001101000011010001010100010", + "MCOMMA_DETECT_A": "TRUE", + "MCOMMA_DETECT_B": "TRUE", + "OPPOSITE_SELECT_A": "FALSE", + "OPPOSITE_SELECT_B": "FALSE", + "PCOMMA_32B_VALUE_A": "10100001101000011010001010100010", + "PCOMMA_32B_VALUE_B": "10100001101000011010001010100010", + "PCOMMA_DETECT_A": "TRUE", + "PCOMMA_DETECT_B": "TRUE", + "PCS_BIT_SLIP_A": "FALSE", + "PCS_BIT_SLIP_B": "FALSE", + "PMACLKENABLE_A": "TRUE", + "PMACLKENABLE_B": "TRUE", + "PMACOREPWRENABLE_A": "TRUE", + "PMACOREPWRENABLE_B": "TRUE", + "PMAIREFTRIM_A": "0111", + "PMAIREFTRIM_B": "0111", + "PMAVBGCTRL_A": "00000", + "PMAVBGCTRL_B": "00000", + "PMAVREFTRIM_A": "0111", + "PMAVREFTRIM_B": "0111", + "PMA_BIT_SLIP_A": "FALSE", + "PMA_BIT_SLIP_B": "FALSE", + "POWER_ENABLE_A": "TRUE", + "POWER_ENABLE_B": "TRUE", + "REPEATER_A": "FALSE", + "REPEATER_B": "FALSE", + "RXACTST_A": "FALSE", + "RXACTST_B": "FALSE", + "RXAFEEQ_A": "000000000", + "RXAFEEQ_B": "000000000", + "RXAFEPD_A": "FALSE", + "RXAFEPD_B": "FALSE", + "RXAFETST_A": "FALSE", + "RXAFETST_B": "FALSE", + "RXAPD_A": "FALSE", + "RXAPD_B": "FALSE", + "RXASYNCDIVIDE_A": "00", + "RXASYNCDIVIDE_B": "00", + "RXBY_32_A": "TRUE", + "RXBY_32_B": "TRUE", + "RXCDRLOS_A": "000000", + "RXCDRLOS_B": "000000", + "RXCLK0_FORCE_PMACLK_A": "FALSE", + "RXCLK0_FORCE_PMACLK_B": "FALSE", + "RXCLKMODE_A": "110001", + "RXCLKMODE_B": "110001", + "RXCMADJ_A": "10", + "RXCMADJ_B": "10", + "RXCPSEL_A": "TRUE", + "RXCPSEL_B": "TRUE", + "RXCPTST_A": "FALSE", + "RXCPTST_B": "FALSE", + "RXCRCCLOCKDOUBLE_A": "FALSE", + "RXCRCCLOCKDOUBLE_B": "FALSE", + "RXCRCENABLE_A": "FALSE", + "RXCRCENABLE_B": "FALSE", + "RXCRCINITVAL_A": "00000000000000000000000000000000", + "RXCRCINITVAL_B": "00000000000000000000000000000000", + "RXCRCINVERTGEN_A": "FALSE", + "RXCRCINVERTGEN_B": "FALSE", + "RXCRCSAMECLOCK_A": "FALSE", + "RXCRCSAMECLOCK_B": "FALSE", + "RXCTRL1_A": "0000000110", + "RXCTRL1_B": "0000000110", + "RXCYCLE_LIMIT_SEL_A": "00", + "RXCYCLE_LIMIT_SEL_B": "00", + "RXDATA_SEL_A": "00", + "RXDATA_SEL_B": "00", + "RXDCCOUPLE_A": "FALSE", + "RXDCCOUPLE_B": "FALSE", + "RXDIGRESET_A": "FALSE", + "RXDIGRESET_B": "FALSE", + "RXDIGRX_A": "FALSE", + "RXDIGRX_B": "FALSE", + "RXEQ_A": "0100000000000000000000000000000000000000000000000000000000000000", + "RXEQ_B": "0100000000000000000000000000000000000000000000000000000000000000", + "RXFDCAL_CLOCK_DIVIDE_A": "NONE", + "RXFDCAL_CLOCK_DIVIDE_B": "NONE", + "RXFDET_HYS_CAL_A": "110", + "RXFDET_HYS_CAL_B": "110", + "RXFDET_HYS_SEL_A": "110", + "RXFDET_HYS_SEL_B": "110", + "RXFDET_LCK_CAL_A": "101", + "RXFDET_LCK_CAL_B": "101", + "RXFDET_LCK_SEL_A": "101", + "RXFDET_LCK_SEL_B": "101", + "RXFECONTROL1_A": "00", + "RXFECONTROL1_B": "00", + "RXFECONTROL2_A": "000", + "RXFECONTROL2_B": "000", + "RXFETUNE_A": "01", + "RXFETUNE_B": "01", + "RXLB_A": "FALSE", + "RXLB_B": "FALSE", + "RXLKADJ_A": "00000", + "RXLKADJ_B": "00000", + "RXLKAPD_A": "FALSE", + "RXLKAPD_B": "FALSE", + "RXLOOPCAL_WAIT_A": "00", + "RXLOOPCAL_WAIT_B": "00", + "RXLOOPFILT_A": "0111", + "RXLOOPFILT_B": "0111", + "RXOUTDIV2SEL_A": "00000000000000000000000000000001", + "RXOUTDIV2SEL_B": "00000000000000000000000000000001", + "RXPDDTST_A": "FALSE", + "RXPDDTST_B": "FALSE", + "RXPD_A": "FALSE", + "RXPD_B": "FALSE", + "RXPLLNDIVSEL_A": "00000000000000000000000000001000", + "RXPLLNDIVSEL_B": "00000000000000000000000000001000", + "RXPMACLKSEL_A": "REFCLK1", + "RXPMACLKSEL_B": "REFCLK1", + "RXRCPADJ_A": "011", + "RXRCPADJ_B": "011", + "RXRCPPD_A": "FALSE", + "RXRCPPD_B": "FALSE", + "RXRECCLK1_USE_SYNC_A": "FALSE", + "RXRECCLK1_USE_SYNC_B": "FALSE", + "RXRIBADJ_A": "11", + "RXRIBADJ_B": "11", + "RXRPDPD_A": "FALSE", + "RXRPDPD_B": "FALSE", + "RXRSDPD_A": "FALSE", + "RXRSDPD_B": "FALSE", + "RXSLOWDOWN_CAL_A": "00", + "RXSLOWDOWN_CAL_B": "00", + "RXUSRDIVISOR_A": "00000000000000000000000000000001", + "RXUSRDIVISOR_B": "00000000000000000000000000000001", + "RXVCODAC_INIT_A": "1010000000", + "RXVCODAC_INIT_B": "1010000000", + "RXVCO_CTRL_ENABLE_A": "TRUE", + "RXVCO_CTRL_ENABLE_B": "TRUE", + "RX_BUFFER_USE_A": "TRUE", + "RX_BUFFER_USE_B": "TRUE", + "RX_CLOCK_DIVIDER_A": "00", + "RX_CLOCK_DIVIDER_B": "00", + "RX_LOS_INVALID_INCR_A": "00000000000000000000000000000001", + "RX_LOS_INVALID_INCR_B": "00000000000000000000000000000001", + "RX_LOS_THRESHOLD_A": "00000000000000000000000000000100", + "RX_LOS_THRESHOLD_B": "00000000000000000000000000000100", + "SAMPLE_8X_A": "FALSE", + "SAMPLE_8X_B": "FALSE", + "SH_CNT_MAX_A": "00000000000000000000000001000000", + "SH_CNT_MAX_B": "00000000000000000000000001000000", + "SH_INVALID_CNT_MAX_A": "00000000000000000000000000010000", + "SH_INVALID_CNT_MAX_B": "00000000000000000000000000010000", + "SLOWDOWN_CAL_A": "00", + "SLOWDOWN_CAL_B": "00", + "TXABPMACLKSEL_A": "REFCLK1", + "TXABPMACLKSEL_B": "REFCLK1", + "TXAPD_A": "FALSE", + "TXAPD_B": "FALSE", + "TXAREFBIASSEL_A": "FALSE", + "TXAREFBIASSEL_B": "FALSE", + "TXASYNCDIVIDE_A": "00", + "TXASYNCDIVIDE_B": "00", + "TXCLK0_FORCE_PMACLK_A": "FALSE", + "TXCLK0_FORCE_PMACLK_B": "FALSE", + "TXCLKMODE_A": "1001", + "TXCLKMODE_B": "1001", + "TXCPSEL_A": "TRUE", + "TXCPSEL_B": "TRUE", + "TXCRCCLOCKDOUBLE_A": "FALSE", + "TXCRCCLOCKDOUBLE_B": "FALSE", + "TXCRCENABLE_A": "FALSE", + "TXCRCENABLE_B": "FALSE", + "TXCRCINITVAL_A": "00000000000000000000000000000000", + "TXCRCINITVAL_B": "00000000000000000000000000000000", + "TXCRCINVERTGEN_A": "FALSE", + "TXCRCINVERTGEN_B": "FALSE", + "TXCRCSAMECLOCK_A": "FALSE", + "TXCRCSAMECLOCK_B": "FALSE", + "TXCTRL1_A": "0000000110", + "TXCTRL1_B": "0000000110", + "TXDATA_SEL_A": "00", + "TXDATA_SEL_B": "00", + "TXDAT_PRDRV_DAC_A": "111", + "TXDAT_PRDRV_DAC_B": "111", + "TXDAT_TAP_DAC_A": "10110", + "TXDAT_TAP_DAC_B": "10110", + "TXDIGPD_A": "FALSE", + "TXDIGPD_B": "FALSE", + "TXFDCAL_CLOCK_DIVIDE_A": "NONE", + "TXFDCAL_CLOCK_DIVIDE_B": "NONE", + "TXHIGHSIGNALEN_A": "TRUE", + "TXHIGHSIGNALEN_B": "TRUE", + "TXLOOPFILT_A": "0111", + "TXLOOPFILT_B": "0111", + "TXLVLSHFTPD_A": "FALSE", + "TXLVLSHFTPD_B": "FALSE", + "TXOUTCLK1_USE_SYNC_A": "FALSE", + "TXOUTCLK1_USE_SYNC_B": "FALSE", + "TXOUTDIV2SEL_A": "00000000000000000000000000000001", + "TXOUTDIV2SEL_B": "00000000000000000000000000000001", + "TXPD_A": "FALSE", + "TXPD_B": "FALSE", + "TXPHASESEL_A": "FALSE", + "TXPHASESEL_B": "FALSE", + "TXPLLNDIVSEL_A": "00000000000000000000000000001000", + "TXPLLNDIVSEL_B": "00000000000000000000000000001000", + "TXPOST_PRDRV_DAC_A": "111", + "TXPOST_PRDRV_DAC_B": "111", + "TXPOST_TAP_DAC_A": "01110", + "TXPOST_TAP_DAC_B": "01110", + "TXPOST_TAP_PD_A": "TRUE", + "TXPOST_TAP_PD_B": "TRUE", + "TXPRE_PRDRV_DAC_A": "111", + "TXPRE_PRDRV_DAC_B": "111", + "TXPRE_TAP_DAC_A": "00000", + "TXPRE_TAP_DAC_B": "00000", + "TXPRE_TAP_PD_A": "TRUE", + "TXPRE_TAP_PD_B": "TRUE", + "TXSLEWRATE_A": "FALSE", + "TXSLEWRATE_B": "FALSE", + "TXTERMTRIM_A": "1100", + "TXTERMTRIM_B": "1100", + "TX_BUFFER_USE_A": "TRUE", + "TX_BUFFER_USE_B": "TRUE", + "TX_CLOCK_DIVIDER_A": "00", + "TX_CLOCK_DIVIDER_B": "00", + "VCODAC_INIT_A": "1010000000", + "VCODAC_INIT_B": "1010000000", + "VCO_CTRL_ENABLE_A": "TRUE", + "VCO_CTRL_ENABLE_B": "TRUE", + "VREFBIASMODE_A": "11", + "VREFBIASMODE_B": "11" + }, + "ports": { + "DRDYA": { + "direction": "output", + "bits": [ 2 ] + }, + "DRDYB": { + "direction": "output", + "bits": [ 3 ] + }, + "RXBUFERRA": { + "direction": "output", + "bits": [ 4 ] + }, + "RXBUFERRB": { + "direction": "output", + "bits": [ 5 ] + }, + "RXCALFAILA": { + "direction": "output", + "bits": [ 6 ] + }, + "RXCALFAILB": { + "direction": "output", + "bits": [ 7 ] + }, + "RXCOMMADETA": { + "direction": "output", + "bits": [ 8 ] + }, + "RXCOMMADETB": { + "direction": "output", + "bits": [ 9 ] + }, + "RXCYCLELIMITA": { + "direction": "output", + "bits": [ 10 ] + }, + "RXCYCLELIMITB": { + "direction": "output", + "bits": [ 11 ] + }, + "RXLOCKA": { + "direction": "output", + "bits": [ 12 ] + }, + "RXLOCKB": { + "direction": "output", + "bits": [ 13 ] + }, + "RXMCLKA": { + "direction": "output", + "bits": [ 14 ] + }, + "RXMCLKB": { + "direction": "output", + "bits": [ 15 ] + }, + "RXPCSHCLKOUTA": { + "direction": "output", + "bits": [ 16 ] + }, + "RXPCSHCLKOUTB": { + "direction": "output", + "bits": [ 17 ] + }, + "RXREALIGNA": { + "direction": "output", + "bits": [ 18 ] + }, + "RXREALIGNB": { + "direction": "output", + "bits": [ 19 ] + }, + "RXRECCLK1A": { + "direction": "output", + "bits": [ 20 ] + }, + "RXRECCLK1B": { + "direction": "output", + "bits": [ 21 ] + }, + "RXRECCLK2A": { + "direction": "output", + "bits": [ 22 ] + }, + "RXRECCLK2B": { + "direction": "output", + "bits": [ 23 ] + }, + "RXSIGDETA": { + "direction": "output", + "bits": [ 24 ] + }, + "RXSIGDETB": { + "direction": "output", + "bits": [ 25 ] + }, + "TX1NA": { + "direction": "output", + "bits": [ 26 ] + }, + "TX1NB": { + "direction": "output", + "bits": [ 27 ] + }, + "TX1PA": { + "direction": "output", + "bits": [ 28 ] + }, + "TX1PB": { + "direction": "output", + "bits": [ 29 ] + }, + "TXBUFERRA": { + "direction": "output", + "bits": [ 30 ] + }, + "TXBUFERRB": { + "direction": "output", + "bits": [ 31 ] + }, + "TXCALFAILA": { + "direction": "output", + "bits": [ 32 ] + }, + "TXCALFAILB": { + "direction": "output", + "bits": [ 33 ] + }, + "TXCYCLELIMITA": { + "direction": "output", + "bits": [ 34 ] + }, + "TXCYCLELIMITB": { + "direction": "output", + "bits": [ 35 ] + }, + "TXLOCKA": { + "direction": "output", + "bits": [ 36 ] + }, + "TXLOCKB": { + "direction": "output", + "bits": [ 37 ] + }, + "TXOUTCLK1A": { + "direction": "output", + "bits": [ 38 ] + }, + "TXOUTCLK1B": { + "direction": "output", + "bits": [ 39 ] + }, + "TXOUTCLK2A": { + "direction": "output", + "bits": [ 40 ] + }, + "TXOUTCLK2B": { + "direction": "output", + "bits": [ 41 ] + }, + "TXPCSHCLKOUTA": { + "direction": "output", + "bits": [ 42 ] + }, + "TXPCSHCLKOUTB": { + "direction": "output", + "bits": [ 43 ] + }, + "DOA": { + "direction": "output", + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + }, + "DOB": { + "direction": "output", + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ] + }, + "RXLOSSOFSYNCA": { + "direction": "output", + "bits": [ 76, 77 ] + }, + "RXLOSSOFSYNCB": { + "direction": "output", + "bits": [ 78, 79 ] + }, + "RXCRCOUTA": { + "direction": "output", + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111 ] + }, + "RXCRCOUTB": { + "direction": "output", + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ] + }, + "TXCRCOUTA": { + "direction": "output", + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175 ] + }, + "TXCRCOUTB": { + "direction": "output", + "bits": [ 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ] + }, + "CHBONDOA": { + "direction": "output", + "bits": [ 208, 209, 210, 211, 212 ] + }, + "CHBONDOB": { + "direction": "output", + "bits": [ 213, 214, 215, 216, 217 ] + }, + "RXSTATUSA": { + "direction": "output", + "bits": [ 218, 219, 220, 221, 222, 223 ] + }, + "RXSTATUSB": { + "direction": "output", + "bits": [ 224, 225, 226, 227, 228, 229 ] + }, + "RXDATAA": { + "direction": "output", + "bits": [ 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293 ] + }, + "RXDATAB": { + "direction": "output", + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ] + }, + "RXCHARISCOMMAA": { + "direction": "output", + "bits": [ 358, 359, 360, 361, 362, 363, 364, 365 ] + }, + "RXCHARISCOMMAB": { + "direction": "output", + "bits": [ 366, 367, 368, 369, 370, 371, 372, 373 ] + }, + "RXCHARISKA": { + "direction": "output", + "bits": [ 374, 375, 376, 377, 378, 379, 380, 381 ] + }, + "RXCHARISKB": { + "direction": "output", + "bits": [ 382, 383, 384, 385, 386, 387, 388, 389 ] + }, + "RXDISPERRA": { + "direction": "output", + "bits": [ 390, 391, 392, 393, 394, 395, 396, 397 ] + }, + "RXDISPERRB": { + "direction": "output", + "bits": [ 398, 399, 400, 401, 402, 403, 404, 405 ] + }, + "RXNOTINTABLEA": { + "direction": "output", + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413 ] + }, + "RXNOTINTABLEB": { + "direction": "output", + "bits": [ 414, 415, 416, 417, 418, 419, 420, 421 ] + }, + "RXRUNDISPA": { + "direction": "output", + "bits": [ 422, 423, 424, 425, 426, 427, 428, 429 ] + }, + "RXRUNDISPB": { + "direction": "output", + "bits": [ 430, 431, 432, 433, 434, 435, 436, 437 ] + }, + "TXKERRA": { + "direction": "output", + "bits": [ 438, 439, 440, 441, 442, 443, 444, 445 ] + }, + "TXKERRB": { + "direction": "output", + "bits": [ 446, 447, 448, 449, 450, 451, 452, 453 ] + }, + "TXRUNDISPA": { + "direction": "output", + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ] + }, + "TXRUNDISPB": { + "direction": "output", + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469 ] + }, + "DCLKA": { + "direction": "input", + "bits": [ 470 ] + }, + "DCLKB": { + "direction": "input", + "bits": [ 471 ] + }, + "DENA": { + "direction": "input", + "bits": [ 472 ] + }, + "DENB": { + "direction": "input", + "bits": [ 473 ] + }, + "DWEA": { + "direction": "input", + "bits": [ 474 ] + }, + "DWEB": { + "direction": "input", + "bits": [ 475 ] + }, + "ENCHANSYNCA": { + "direction": "input", + "bits": [ 476 ] + }, + "ENCHANSYNCB": { + "direction": "input", + "bits": [ 477 ] + }, + "ENMCOMMAALIGNA": { + "direction": "input", + "bits": [ 478 ] + }, + "ENMCOMMAALIGNB": { + "direction": "input", + "bits": [ 479 ] + }, + "ENPCOMMAALIGNA": { + "direction": "input", + "bits": [ 480 ] + }, + "ENPCOMMAALIGNB": { + "direction": "input", + "bits": [ 481 ] + }, + "GREFCLKA": { + "direction": "input", + "bits": [ 482 ] + }, + "GREFCLKB": { + "direction": "input", + "bits": [ 483 ] + }, + "POWERDOWNA": { + "direction": "input", + "bits": [ 484 ] + }, + "POWERDOWNB": { + "direction": "input", + "bits": [ 485 ] + }, + "REFCLK1A": { + "direction": "input", + "bits": [ 486 ] + }, + "REFCLK1B": { + "direction": "input", + "bits": [ 487 ] + }, + "REFCLK2A": { + "direction": "input", + "bits": [ 488 ] + }, + "REFCLK2B": { + "direction": "input", + "bits": [ 489 ] + }, + "RX1NA": { + "direction": "input", + "bits": [ 490 ] + }, + "RX1NB": { + "direction": "input", + "bits": [ 491 ] + }, + "RX1PA": { + "direction": "input", + "bits": [ 492 ] + }, + "RX1PB": { + "direction": "input", + "bits": [ 493 ] + }, + "RXBLOCKSYNC64B66BUSEA": { + "direction": "input", + "bits": [ 494 ] + }, + "RXBLOCKSYNC64B66BUSEB": { + "direction": "input", + "bits": [ 495 ] + }, + "RXCLKSTABLEA": { + "direction": "input", + "bits": [ 496 ] + }, + "RXCLKSTABLEB": { + "direction": "input", + "bits": [ 497 ] + }, + "RXCOMMADETUSEA": { + "direction": "input", + "bits": [ 498 ] + }, + "RXCOMMADETUSEB": { + "direction": "input", + "bits": [ 499 ] + }, + "RXCRCCLKA": { + "direction": "input", + "bits": [ 500 ] + }, + "RXCRCCLKB": { + "direction": "input", + "bits": [ 501 ] + }, + "RXCRCDATAVALIDA": { + "direction": "input", + "bits": [ 502 ] + }, + "RXCRCDATAVALIDB": { + "direction": "input", + "bits": [ 503 ] + }, + "RXCRCINITA": { + "direction": "input", + "bits": [ 504 ] + }, + "RXCRCINITB": { + "direction": "input", + "bits": [ 505 ] + }, + "RXCRCINTCLKA": { + "direction": "input", + "bits": [ 506 ] + }, + "RXCRCINTCLKB": { + "direction": "input", + "bits": [ 507 ] + }, + "RXCRCPDA": { + "direction": "input", + "bits": [ 508 ] + }, + "RXCRCPDB": { + "direction": "input", + "bits": [ 509 ] + }, + "RXCRCRESETA": { + "direction": "input", + "bits": [ 510 ] + }, + "RXCRCRESETB": { + "direction": "input", + "bits": [ 511 ] + }, + "RXDEC64B66BUSEA": { + "direction": "input", + "bits": [ 512 ] + }, + "RXDEC64B66BUSEB": { + "direction": "input", + "bits": [ 513 ] + }, + "RXDEC8B10BUSEA": { + "direction": "input", + "bits": [ 514 ] + }, + "RXDEC8B10BUSEB": { + "direction": "input", + "bits": [ 515 ] + }, + "RXDESCRAM64B66BUSEA": { + "direction": "input", + "bits": [ 516 ] + }, + "RXDESCRAM64B66BUSEB": { + "direction": "input", + "bits": [ 517 ] + }, + "RXIGNOREBTFA": { + "direction": "input", + "bits": [ 518 ] + }, + "RXIGNOREBTFB": { + "direction": "input", + "bits": [ 519 ] + }, + "RXPMARESETA": { + "direction": "input", + "bits": [ 520 ] + }, + "RXPMARESETB": { + "direction": "input", + "bits": [ 521 ] + }, + "RXPOLARITYA": { + "direction": "input", + "bits": [ 522 ] + }, + "RXPOLARITYB": { + "direction": "input", + "bits": [ 523 ] + }, + "RXRESETA": { + "direction": "input", + "bits": [ 524 ] + }, + "RXRESETB": { + "direction": "input", + "bits": [ 525 ] + }, + "RXSLIDEA": { + "direction": "input", + "bits": [ 526 ] + }, + "RXSLIDEB": { + "direction": "input", + "bits": [ 527 ] + }, + "RXSYNCA": { + "direction": "input", + "bits": [ 528 ] + }, + "RXSYNCB": { + "direction": "input", + "bits": [ 529 ] + }, + "RXUSRCLK2A": { + "direction": "input", + "bits": [ 530 ] + }, + "RXUSRCLK2B": { + "direction": "input", + "bits": [ 531 ] + }, + "RXUSRCLKA": { + "direction": "input", + "bits": [ 532 ] + }, + "RXUSRCLKB": { + "direction": "input", + "bits": [ 533 ] + }, + "TXCLKSTABLEA": { + "direction": "input", + "bits": [ 534 ] + }, + "TXCLKSTABLEB": { + "direction": "input", + "bits": [ 535 ] + }, + "TXCRCCLKA": { + "direction": "input", + "bits": [ 536 ] + }, + "TXCRCCLKB": { + "direction": "input", + "bits": [ 537 ] + }, + "TXCRCDATAVALIDA": { + "direction": "input", + "bits": [ 538 ] + }, + "TXCRCDATAVALIDB": { + "direction": "input", + "bits": [ 539 ] + }, + "TXCRCINITA": { + "direction": "input", + "bits": [ 540 ] + }, + "TXCRCINITB": { + "direction": "input", + "bits": [ 541 ] + }, + "TXCRCINTCLKA": { + "direction": "input", + "bits": [ 542 ] + }, + "TXCRCINTCLKB": { + "direction": "input", + "bits": [ 543 ] + }, + "TXCRCPDA": { + "direction": "input", + "bits": [ 544 ] + }, + "TXCRCPDB": { + "direction": "input", + "bits": [ 545 ] + }, + "TXCRCRESETA": { + "direction": "input", + "bits": [ 546 ] + }, + "TXCRCRESETB": { + "direction": "input", + "bits": [ 547 ] + }, + "TXENC64B66BUSEA": { + "direction": "input", + "bits": [ 548 ] + }, + "TXENC64B66BUSEB": { + "direction": "input", + "bits": [ 549 ] + }, + "TXENC8B10BUSEA": { + "direction": "input", + "bits": [ 550 ] + }, + "TXENC8B10BUSEB": { + "direction": "input", + "bits": [ 551 ] + }, + "TXENOOBA": { + "direction": "input", + "bits": [ 552 ] + }, + "TXENOOBB": { + "direction": "input", + "bits": [ 553 ] + }, + "TXGEARBOX64B66BUSEA": { + "direction": "input", + "bits": [ 554 ] + }, + "TXGEARBOX64B66BUSEB": { + "direction": "input", + "bits": [ 555 ] + }, + "TXINHIBITA": { + "direction": "input", + "bits": [ 556 ] + }, + "TXINHIBITB": { + "direction": "input", + "bits": [ 557 ] + }, + "TXPMARESETA": { + "direction": "input", + "bits": [ 558 ] + }, + "TXPMARESETB": { + "direction": "input", + "bits": [ 559 ] + }, + "TXPOLARITYA": { + "direction": "input", + "bits": [ 560 ] + }, + "TXPOLARITYB": { + "direction": "input", + "bits": [ 561 ] + }, + "TXRESETA": { + "direction": "input", + "bits": [ 562 ] + }, + "TXRESETB": { + "direction": "input", + "bits": [ 563 ] + }, + "TXSCRAM64B66BUSEA": { + "direction": "input", + "bits": [ 564 ] + }, + "TXSCRAM64B66BUSEB": { + "direction": "input", + "bits": [ 565 ] + }, + "TXSYNCA": { + "direction": "input", + "bits": [ 566 ] + }, + "TXSYNCB": { + "direction": "input", + "bits": [ 567 ] + }, + "TXUSRCLK2A": { + "direction": "input", + "bits": [ 568 ] + }, + "TXUSRCLK2B": { + "direction": "input", + "bits": [ 569 ] + }, + "TXUSRCLKA": { + "direction": "input", + "bits": [ 570 ] + }, + "TXUSRCLKB": { + "direction": "input", + "bits": [ 571 ] + }, + "DIA": { + "direction": "input", + "bits": [ 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587 ] + }, + "DIB": { + "direction": "input", + "bits": [ 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603 ] + }, + "LOOPBACKA": { + "direction": "input", + "bits": [ 604, 605 ] + }, + "LOOPBACKB": { + "direction": "input", + "bits": [ 606, 607 ] + }, + "RXDATAWIDTHA": { + "direction": "input", + "bits": [ 608, 609 ] + }, + "RXDATAWIDTHB": { + "direction": "input", + "bits": [ 610, 611 ] + }, + "RXINTDATAWIDTHA": { + "direction": "input", + "bits": [ 612, 613 ] + }, + "RXINTDATAWIDTHB": { + "direction": "input", + "bits": [ 614, 615 ] + }, + "TXDATAWIDTHA": { + "direction": "input", + "bits": [ 616, 617 ] + }, + "TXDATAWIDTHB": { + "direction": "input", + "bits": [ 618, 619 ] + }, + "TXINTDATAWIDTHA": { + "direction": "input", + "bits": [ 620, 621 ] + }, + "TXINTDATAWIDTHB": { + "direction": "input", + "bits": [ 622, 623 ] + }, + "RXCRCDATAWIDTHA": { + "direction": "input", + "bits": [ 624, 625, 626 ] + }, + "RXCRCDATAWIDTHB": { + "direction": "input", + "bits": [ 627, 628, 629 ] + }, + "TXCRCDATAWIDTHA": { + "direction": "input", + "bits": [ 630, 631, 632 ] + }, + "TXCRCDATAWIDTHB": { + "direction": "input", + "bits": [ 633, 634, 635 ] + }, + "CHBONDIA": { + "direction": "input", + "bits": [ 636, 637, 638, 639, 640 ] + }, + "CHBONDIB": { + "direction": "input", + "bits": [ 641, 642, 643, 644, 645 ] + }, + "RXCRCINA": { + "direction": "input", + "bits": [ 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709 ] + }, + "RXCRCINB": { + "direction": "input", + "bits": [ 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773 ] + }, + "TXCRCINA": { + "direction": "input", + "bits": [ 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837 ] + }, + "TXCRCINB": { + "direction": "input", + "bits": [ 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901 ] + }, + "TXDATAA": { + "direction": "input", + "bits": [ 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965 ] + }, + "TXDATAB": { + "direction": "input", + "bits": [ 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029 ] + }, + "DADDRA": { + "direction": "input", + "bits": [ 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037 ] + }, + "DADDRB": { + "direction": "input", + "bits": [ 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045 ] + }, + "TXBYPASS8B10BA": { + "direction": "input", + "bits": [ 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053 ] + }, + "TXBYPASS8B10BB": { + "direction": "input", + "bits": [ 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061 ] + }, + "TXCHARDISPMODEA": { + "direction": "input", + "bits": [ 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069 ] + }, + "TXCHARDISPMODEB": { + "direction": "input", + "bits": [ 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077 ] + }, + "TXCHARDISPVALA": { + "direction": "input", + "bits": [ 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085 ] + }, + "TXCHARDISPVALB": { + "direction": "input", + "bits": [ 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093 ] + }, + "TXCHARISKA": { + "direction": "input", + "bits": [ 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101 ] + }, + "TXCHARISKB": { + "direction": "input", + "bits": [ 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109 ] + } + }, + "cells": { + }, + "netnames": { + "CHBONDIA": { + "hide_name": 0, + "bits": [ 636, 637, 638, 639, 640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11581.17-11581.25" + } + }, + "CHBONDIB": { + "hide_name": 0, + "bits": [ 641, 642, 643, 644, 645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11582.17-11582.25" + } + }, + "CHBONDOA": { + "hide_name": 0, + "bits": [ 208, 209, 210, 211, 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11443.18-11443.26" + } + }, + "CHBONDOB": { + "hide_name": 0, + "bits": [ 213, 214, 215, 216, 217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11444.18-11444.26" + } + }, + "DADDRA": { + "hide_name": 0, + "bits": [ 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11589.17-11589.23" + } + }, + "DADDRB": { + "hide_name": 0, + "bits": [ 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11590.17-11590.23" + } + }, + "DCLKA": { + "hide_name": 0, + "bits": [ 470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11463.11-11463.16" + } + }, + "DCLKB": { + "hide_name": 0, + "bits": [ 471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11464.11-11464.16" + } + }, + "DENA": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11465.11-11465.15" + } + }, + "DENB": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11466.11-11466.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11565.18-11565.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11566.18-11566.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11435.19-11435.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11436.19-11436.22" + } + }, + "DRDYA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11393.12-11393.17" + } + }, + "DRDYB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11394.12-11394.17" + } + }, + "DWEA": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11467.11-11467.15" + } + }, + "DWEB": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11468.11-11468.15" + } + }, + "ENCHANSYNCA": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11469.11-11469.22" + } + }, + "ENCHANSYNCB": { + "hide_name": 0, + "bits": [ 477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11470.11-11470.22" + } + }, + "ENMCOMMAALIGNA": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11471.11-11471.25" + } + }, + "ENMCOMMAALIGNB": { + "hide_name": 0, + "bits": [ 479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11472.11-11472.25" + } + }, + "ENPCOMMAALIGNA": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11473.11-11473.25" + } + }, + "ENPCOMMAALIGNB": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11474.11-11474.25" + } + }, + "GREFCLKA": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11475.11-11475.19" + } + }, + "GREFCLKB": { + "hide_name": 0, + "bits": [ 483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11476.11-11476.19" + } + }, + "LOOPBACKA": { + "hide_name": 0, + "bits": [ 604, 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11567.17-11567.26" + } + }, + "LOOPBACKB": { + "hide_name": 0, + "bits": [ 606, 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11568.17-11568.26" + } + }, + "POWERDOWNA": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11477.11-11477.21" + } + }, + "POWERDOWNB": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11478.11-11478.21" + } + }, + "REFCLK1A": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11479.11-11479.19" + } + }, + "REFCLK1B": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11480.11-11480.19" + } + }, + "REFCLK2A": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11481.11-11481.19" + } + }, + "REFCLK2B": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11482.11-11482.19" + } + }, + "RX1NA": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11483.11-11483.16" + } + }, + "RX1NB": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11484.11-11484.16" + } + }, + "RX1PA": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11485.11-11485.16" + } + }, + "RX1PB": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11486.11-11486.16" + } + }, + "RXBLOCKSYNC64B66BUSEA": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11487.11-11487.32" + } + }, + "RXBLOCKSYNC64B66BUSEB": { + "hide_name": 0, + "bits": [ 495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11488.11-11488.32" + } + }, + "RXBUFERRA": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11395.12-11395.21" + } + }, + "RXBUFERRB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11396.12-11396.21" + } + }, + "RXCALFAILA": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11397.12-11397.22" + } + }, + "RXCALFAILB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11398.12-11398.22" + } + }, + "RXCHARISCOMMAA": { + "hide_name": 0, + "bits": [ 358, 359, 360, 361, 362, 363, 364, 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11449.18-11449.32" + } + }, + "RXCHARISCOMMAB": { + "hide_name": 0, + "bits": [ 366, 367, 368, 369, 370, 371, 372, 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11450.18-11450.32" + } + }, + "RXCHARISKA": { + "hide_name": 0, + "bits": [ 374, 375, 376, 377, 378, 379, 380, 381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11451.18-11451.28" + } + }, + "RXCHARISKB": { + "hide_name": 0, + "bits": [ 382, 383, 384, 385, 386, 387, 388, 389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11452.18-11452.28" + } + }, + "RXCLKSTABLEA": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11489.11-11489.23" + } + }, + "RXCLKSTABLEB": { + "hide_name": 0, + "bits": [ 497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11490.11-11490.23" + } + }, + "RXCOMMADETA": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11399.12-11399.23" + } + }, + "RXCOMMADETB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11400.12-11400.23" + } + }, + "RXCOMMADETUSEA": { + "hide_name": 0, + "bits": [ 498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11491.11-11491.25" + } + }, + "RXCOMMADETUSEB": { + "hide_name": 0, + "bits": [ 499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11492.11-11492.25" + } + }, + "RXCRCCLKA": { + "hide_name": 0, + "bits": [ 500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11493.11-11493.20" + } + }, + "RXCRCCLKB": { + "hide_name": 0, + "bits": [ 501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11494.11-11494.20" + } + }, + "RXCRCDATAVALIDA": { + "hide_name": 0, + "bits": [ 502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11495.11-11495.26" + } + }, + "RXCRCDATAVALIDB": { + "hide_name": 0, + "bits": [ 503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11496.11-11496.26" + } + }, + "RXCRCDATAWIDTHA": { + "hide_name": 0, + "bits": [ 624, 625, 626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11577.17-11577.32" + } + }, + "RXCRCDATAWIDTHB": { + "hide_name": 0, + "bits": [ 627, 628, 629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11578.17-11578.32" + } + }, + "RXCRCINA": { + "hide_name": 0, + "bits": [ 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11583.18-11583.26" + } + }, + "RXCRCINB": { + "hide_name": 0, + "bits": [ 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11584.18-11584.26" + } + }, + "RXCRCINITA": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11497.11-11497.21" + } + }, + "RXCRCINITB": { + "hide_name": 0, + "bits": [ 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11498.11-11498.21" + } + }, + "RXCRCINTCLKA": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11499.11-11499.23" + } + }, + "RXCRCINTCLKB": { + "hide_name": 0, + "bits": [ 507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11500.11-11500.23" + } + }, + "RXCRCOUTA": { + "hide_name": 0, + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11439.19-11439.28" + } + }, + "RXCRCOUTB": { + "hide_name": 0, + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11440.19-11440.28" + } + }, + "RXCRCPDA": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11501.11-11501.19" + } + }, + "RXCRCPDB": { + "hide_name": 0, + "bits": [ 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11502.11-11502.19" + } + }, + "RXCRCRESETA": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11503.11-11503.22" + } + }, + "RXCRCRESETB": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11504.11-11504.22" + } + }, + "RXCYCLELIMITA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11401.12-11401.25" + } + }, + "RXCYCLELIMITB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11402.12-11402.25" + } + }, + "RXDATAA": { + "hide_name": 0, + "bits": [ 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11447.19-11447.26" + } + }, + "RXDATAB": { + "hide_name": 0, + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11448.19-11448.26" + } + }, + "RXDATAWIDTHA": { + "hide_name": 0, + "bits": [ 608, 609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11569.17-11569.29" + } + }, + "RXDATAWIDTHB": { + "hide_name": 0, + "bits": [ 610, 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11570.17-11570.29" + } + }, + "RXDEC64B66BUSEA": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11505.11-11505.26" + } + }, + "RXDEC64B66BUSEB": { + "hide_name": 0, + "bits": [ 513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11506.11-11506.26" + } + }, + "RXDEC8B10BUSEA": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11507.11-11507.25" + } + }, + "RXDEC8B10BUSEB": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11508.11-11508.25" + } + }, + "RXDESCRAM64B66BUSEA": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11509.11-11509.30" + } + }, + "RXDESCRAM64B66BUSEB": { + "hide_name": 0, + "bits": [ 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11510.11-11510.30" + } + }, + "RXDISPERRA": { + "hide_name": 0, + "bits": [ 390, 391, 392, 393, 394, 395, 396, 397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11453.18-11453.28" + } + }, + "RXDISPERRB": { + "hide_name": 0, + "bits": [ 398, 399, 400, 401, 402, 403, 404, 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11454.18-11454.28" + } + }, + "RXIGNOREBTFA": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11511.11-11511.23" + } + }, + "RXIGNOREBTFB": { + "hide_name": 0, + "bits": [ 519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11512.11-11512.23" + } + }, + "RXINTDATAWIDTHA": { + "hide_name": 0, + "bits": [ 612, 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11571.17-11571.32" + } + }, + "RXINTDATAWIDTHB": { + "hide_name": 0, + "bits": [ 614, 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11572.17-11572.32" + } + }, + "RXLOCKA": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11403.12-11403.19" + } + }, + "RXLOCKB": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11404.12-11404.19" + } + }, + "RXLOSSOFSYNCA": { + "hide_name": 0, + "bits": [ 76, 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11437.18-11437.31" + } + }, + "RXLOSSOFSYNCB": { + "hide_name": 0, + "bits": [ 78, 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11438.18-11438.31" + } + }, + "RXMCLKA": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11405.12-11405.19" + } + }, + "RXMCLKB": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11406.12-11406.19" + } + }, + "RXNOTINTABLEA": { + "hide_name": 0, + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11455.18-11455.31" + } + }, + "RXNOTINTABLEB": { + "hide_name": 0, + "bits": [ 414, 415, 416, 417, 418, 419, 420, 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11456.18-11456.31" + } + }, + "RXPCSHCLKOUTA": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11407.12-11407.25" + } + }, + "RXPCSHCLKOUTB": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11408.12-11408.25" + } + }, + "RXPMARESETA": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11513.11-11513.22" + } + }, + "RXPMARESETB": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11514.11-11514.22" + } + }, + "RXPOLARITYA": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11515.11-11515.22" + } + }, + "RXPOLARITYB": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11516.11-11516.22" + } + }, + "RXREALIGNA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11409.12-11409.22" + } + }, + "RXREALIGNB": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11410.12-11410.22" + } + }, + "RXRECCLK1A": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11411.12-11411.22" + } + }, + "RXRECCLK1B": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11412.12-11412.22" + } + }, + "RXRECCLK2A": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11413.12-11413.22" + } + }, + "RXRECCLK2B": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11414.12-11414.22" + } + }, + "RXRESETA": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11517.11-11517.19" + } + }, + "RXRESETB": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11518.11-11518.19" + } + }, + "RXRUNDISPA": { + "hide_name": 0, + "bits": [ 422, 423, 424, 425, 426, 427, 428, 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11457.18-11457.28" + } + }, + "RXRUNDISPB": { + "hide_name": 0, + "bits": [ 430, 431, 432, 433, 434, 435, 436, 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11458.18-11458.28" + } + }, + "RXSIGDETA": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11415.12-11415.21" + } + }, + "RXSIGDETB": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11416.12-11416.21" + } + }, + "RXSLIDEA": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11519.11-11519.19" + } + }, + "RXSLIDEB": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11520.11-11520.19" + } + }, + "RXSTATUSA": { + "hide_name": 0, + "bits": [ 218, 219, 220, 221, 222, 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11445.18-11445.27" + } + }, + "RXSTATUSB": { + "hide_name": 0, + "bits": [ 224, 225, 226, 227, 228, 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11446.18-11446.27" + } + }, + "RXSYNCA": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11521.11-11521.18" + } + }, + "RXSYNCB": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11522.11-11522.18" + } + }, + "RXUSRCLK2A": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11523.11-11523.21" + } + }, + "RXUSRCLK2B": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11524.11-11524.21" + } + }, + "RXUSRCLKA": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11525.11-11525.20" + } + }, + "RXUSRCLKB": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11526.11-11526.20" + } + }, + "TX1NA": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11417.12-11417.17" + } + }, + "TX1NB": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11418.12-11418.17" + } + }, + "TX1PA": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11419.12-11419.17" + } + }, + "TX1PB": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11420.12-11420.17" + } + }, + "TXBUFERRA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11421.12-11421.21" + } + }, + "TXBUFERRB": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11422.12-11422.21" + } + }, + "TXBYPASS8B10BA": { + "hide_name": 0, + "bits": [ 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11591.17-11591.31" + } + }, + "TXBYPASS8B10BB": { + "hide_name": 0, + "bits": [ 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11592.17-11592.31" + } + }, + "TXCALFAILA": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11423.12-11423.22" + } + }, + "TXCALFAILB": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11424.12-11424.22" + } + }, + "TXCHARDISPMODEA": { + "hide_name": 0, + "bits": [ 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11593.17-11593.32" + } + }, + "TXCHARDISPMODEB": { + "hide_name": 0, + "bits": [ 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11594.17-11594.32" + } + }, + "TXCHARDISPVALA": { + "hide_name": 0, + "bits": [ 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11595.17-11595.31" + } + }, + "TXCHARDISPVALB": { + "hide_name": 0, + "bits": [ 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11596.17-11596.31" + } + }, + "TXCHARISKA": { + "hide_name": 0, + "bits": [ 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11597.17-11597.27" + } + }, + "TXCHARISKB": { + "hide_name": 0, + "bits": [ 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11598.17-11598.27" + } + }, + "TXCLKSTABLEA": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11527.11-11527.23" + } + }, + "TXCLKSTABLEB": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11528.11-11528.23" + } + }, + "TXCRCCLKA": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11529.11-11529.20" + } + }, + "TXCRCCLKB": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11530.11-11530.20" + } + }, + "TXCRCDATAVALIDA": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11531.11-11531.26" + } + }, + "TXCRCDATAVALIDB": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11532.11-11532.26" + } + }, + "TXCRCDATAWIDTHA": { + "hide_name": 0, + "bits": [ 630, 631, 632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11579.17-11579.32" + } + }, + "TXCRCDATAWIDTHB": { + "hide_name": 0, + "bits": [ 633, 634, 635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11580.17-11580.32" + } + }, + "TXCRCINA": { + "hide_name": 0, + "bits": [ 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11585.18-11585.26" + } + }, + "TXCRCINB": { + "hide_name": 0, + "bits": [ 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11586.18-11586.26" + } + }, + "TXCRCINITA": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11533.11-11533.21" + } + }, + "TXCRCINITB": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11534.11-11534.21" + } + }, + "TXCRCINTCLKA": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11535.11-11535.23" + } + }, + "TXCRCINTCLKB": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11536.11-11536.23" + } + }, + "TXCRCOUTA": { + "hide_name": 0, + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11441.19-11441.28" + } + }, + "TXCRCOUTB": { + "hide_name": 0, + "bits": [ 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11442.19-11442.28" + } + }, + "TXCRCPDA": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11537.11-11537.19" + } + }, + "TXCRCPDB": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11538.11-11538.19" + } + }, + "TXCRCRESETA": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11539.11-11539.22" + } + }, + "TXCRCRESETB": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11540.11-11540.22" + } + }, + "TXCYCLELIMITA": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11425.12-11425.25" + } + }, + "TXCYCLELIMITB": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11426.12-11426.25" + } + }, + "TXDATAA": { + "hide_name": 0, + "bits": [ 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11587.18-11587.25" + } + }, + "TXDATAB": { + "hide_name": 0, + "bits": [ 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11588.18-11588.25" + } + }, + "TXDATAWIDTHA": { + "hide_name": 0, + "bits": [ 616, 617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11573.17-11573.29" + } + }, + "TXDATAWIDTHB": { + "hide_name": 0, + "bits": [ 618, 619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11574.17-11574.29" + } + }, + "TXENC64B66BUSEA": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11541.11-11541.26" + } + }, + "TXENC64B66BUSEB": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11542.11-11542.26" + } + }, + "TXENC8B10BUSEA": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11543.11-11543.25" + } + }, + "TXENC8B10BUSEB": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11544.11-11544.25" + } + }, + "TXENOOBA": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11545.11-11545.19" + } + }, + "TXENOOBB": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11546.11-11546.19" + } + }, + "TXGEARBOX64B66BUSEA": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11547.11-11547.30" + } + }, + "TXGEARBOX64B66BUSEB": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11548.11-11548.30" + } + }, + "TXINHIBITA": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11549.11-11549.21" + } + }, + "TXINHIBITB": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11550.11-11550.21" + } + }, + "TXINTDATAWIDTHA": { + "hide_name": 0, + "bits": [ 620, 621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11575.17-11575.32" + } + }, + "TXINTDATAWIDTHB": { + "hide_name": 0, + "bits": [ 622, 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11576.17-11576.32" + } + }, + "TXKERRA": { + "hide_name": 0, + "bits": [ 438, 439, 440, 441, 442, 443, 444, 445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11459.18-11459.25" + } + }, + "TXKERRB": { + "hide_name": 0, + "bits": [ 446, 447, 448, 449, 450, 451, 452, 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11460.18-11460.25" + } + }, + "TXLOCKA": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11427.12-11427.19" + } + }, + "TXLOCKB": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11428.12-11428.19" + } + }, + "TXOUTCLK1A": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11429.12-11429.22" + } + }, + "TXOUTCLK1B": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11430.12-11430.22" + } + }, + "TXOUTCLK2A": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11431.12-11431.22" + } + }, + "TXOUTCLK2B": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11432.12-11432.22" + } + }, + "TXPCSHCLKOUTA": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11433.12-11433.25" + } + }, + "TXPCSHCLKOUTB": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11434.12-11434.25" + } + }, + "TXPMARESETA": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11551.11-11551.22" + } + }, + "TXPMARESETB": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11552.11-11552.22" + } + }, + "TXPOLARITYA": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11553.11-11553.22" + } + }, + "TXPOLARITYB": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11554.11-11554.22" + } + }, + "TXRESETA": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11555.11-11555.19" + } + }, + "TXRESETB": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11556.11-11556.19" + } + }, + "TXRUNDISPA": { + "hide_name": 0, + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11461.18-11461.28" + } + }, + "TXRUNDISPB": { + "hide_name": 0, + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11462.18-11462.28" + } + }, + "TXSCRAM64B66BUSEA": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11557.11-11557.28" + } + }, + "TXSCRAM64B66BUSEB": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11558.11-11558.28" + } + }, + "TXSYNCA": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11559.11-11559.18" + } + }, + "TXSYNCB": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11560.11-11560.18" + } + }, + "TXUSRCLK2A": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11561.11-11561.21" + } + }, + "TXUSRCLK2B": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11562.11-11562.21" + } + }, + "TXUSRCLKA": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11563.11-11563.20" + } + }, + "TXUSRCLKB": { + "hide_name": 0, + "bits": [ 571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11564.11-11564.20" + } + } + } + }, + "GTHE1_QUAD": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12439.1-12905.10" + }, + "parameter_default_values": { + "BER_CONST_PTRN0": "0000000000000000", + "BER_CONST_PTRN1": "0000000000000000", + "BUFFER_CONFIG_LANE0": "0100000000000100", + "BUFFER_CONFIG_LANE1": "0100000000000100", + "BUFFER_CONFIG_LANE2": "0100000000000100", + "BUFFER_CONFIG_LANE3": "0100000000000100", + "DFE_TRAIN_CTRL_LANE0": "0000000000000000", + "DFE_TRAIN_CTRL_LANE1": "0000000000000000", + "DFE_TRAIN_CTRL_LANE2": "0000000000000000", + "DFE_TRAIN_CTRL_LANE3": "0000000000000000", + "DLL_CFG0": "1000001000000010", + "DLL_CFG1": "0000000000000000", + "E10GBASEKR_LD_COEFF_UPD_LANE0": "0000000000000000", + "E10GBASEKR_LD_COEFF_UPD_LANE1": "0000000000000000", + "E10GBASEKR_LD_COEFF_UPD_LANE2": "0000000000000000", + "E10GBASEKR_LD_COEFF_UPD_LANE3": "0000000000000000", + "E10GBASEKR_LP_COEFF_UPD_LANE0": "0000000000000000", + "E10GBASEKR_LP_COEFF_UPD_LANE1": "0000000000000000", + "E10GBASEKR_LP_COEFF_UPD_LANE2": "0000000000000000", + "E10GBASEKR_LP_COEFF_UPD_LANE3": "0000000000000000", + "E10GBASEKR_PMA_CTRL_LANE0": "0000000000000010", + "E10GBASEKR_PMA_CTRL_LANE1": "0000000000000010", + "E10GBASEKR_PMA_CTRL_LANE2": "0000000000000010", + "E10GBASEKR_PMA_CTRL_LANE3": "0000000000000010", + "E10GBASEKX_CTRL_LANE0": "0000000000000000", + "E10GBASEKX_CTRL_LANE1": "0000000000000000", + "E10GBASEKX_CTRL_LANE2": "0000000000000000", + "E10GBASEKX_CTRL_LANE3": "0000000000000000", + "E10GBASER_PCS_CFG_LANE0": "0000011100001100", + "E10GBASER_PCS_CFG_LANE1": "0000011100001100", + "E10GBASER_PCS_CFG_LANE2": "0000011100001100", + "E10GBASER_PCS_CFG_LANE3": "0000011100001100", + "E10GBASER_PCS_SEEDA0_LANE0": "0000000000000001", + "E10GBASER_PCS_SEEDA0_LANE1": "0000000000000001", + "E10GBASER_PCS_SEEDA0_LANE2": "0000000000000001", + "E10GBASER_PCS_SEEDA0_LANE3": "0000000000000001", + "E10GBASER_PCS_SEEDA1_LANE0": "0000000000000000", + "E10GBASER_PCS_SEEDA1_LANE1": "0000000000000000", + "E10GBASER_PCS_SEEDA1_LANE2": "0000000000000000", + "E10GBASER_PCS_SEEDA1_LANE3": "0000000000000000", + "E10GBASER_PCS_SEEDA2_LANE0": "0000000000000000", + "E10GBASER_PCS_SEEDA2_LANE1": "0000000000000000", + "E10GBASER_PCS_SEEDA2_LANE2": "0000000000000000", + "E10GBASER_PCS_SEEDA2_LANE3": "0000000000000000", + "E10GBASER_PCS_SEEDA3_LANE0": "0000000000000000", + "E10GBASER_PCS_SEEDA3_LANE1": "0000000000000000", + "E10GBASER_PCS_SEEDA3_LANE2": "0000000000000000", + "E10GBASER_PCS_SEEDA3_LANE3": "0000000000000000", + "E10GBASER_PCS_SEEDB0_LANE0": "0000000000000001", + "E10GBASER_PCS_SEEDB0_LANE1": "0000000000000001", + "E10GBASER_PCS_SEEDB0_LANE2": "0000000000000001", + "E10GBASER_PCS_SEEDB0_LANE3": "0000000000000001", + "E10GBASER_PCS_SEEDB1_LANE0": "0000000000000000", + "E10GBASER_PCS_SEEDB1_LANE1": "0000000000000000", + "E10GBASER_PCS_SEEDB1_LANE2": "0000000000000000", + "E10GBASER_PCS_SEEDB1_LANE3": "0000000000000000", + "E10GBASER_PCS_SEEDB2_LANE0": "0000000000000000", + "E10GBASER_PCS_SEEDB2_LANE1": "0000000000000000", + "E10GBASER_PCS_SEEDB2_LANE2": "0000000000000000", + "E10GBASER_PCS_SEEDB2_LANE3": "0000000000000000", + "E10GBASER_PCS_SEEDB3_LANE0": "0000000000000000", + "E10GBASER_PCS_SEEDB3_LANE1": "0000000000000000", + "E10GBASER_PCS_SEEDB3_LANE2": "0000000000000000", + "E10GBASER_PCS_SEEDB3_LANE3": "0000000000000000", + "E10GBASER_PCS_TEST_CTRL_LANE0": "0000000000000000", + "E10GBASER_PCS_TEST_CTRL_LANE1": "0000000000000000", + "E10GBASER_PCS_TEST_CTRL_LANE2": "0000000000000000", + "E10GBASER_PCS_TEST_CTRL_LANE3": "0000000000000000", + "E10GBASEX_PCS_TSTCTRL_LANE0": "0000000000000000", + "E10GBASEX_PCS_TSTCTRL_LANE1": "0000000000000000", + "E10GBASEX_PCS_TSTCTRL_LANE2": "0000000000000000", + "E10GBASEX_PCS_TSTCTRL_LANE3": "0000000000000000", + "GLBL0_NOISE_CTRL": "1111000010111000", + "GLBL_AMON_SEL": "0000000000000000", + "GLBL_DMON_SEL": "0000001000000000", + "GLBL_PWR_CTRL": "0000000000000000", + "GTH_CFG_PWRUP_LANE0": "1", + "GTH_CFG_PWRUP_LANE1": "1", + "GTH_CFG_PWRUP_LANE2": "1", + "GTH_CFG_PWRUP_LANE3": "1", + "LANE_AMON_SEL": "0000000011110000", + "LANE_DMON_SEL": "0000000000000000", + "LANE_LNK_CFGOVRD": "0000000000000000", + "LANE_PWR_CTRL_LANE0": "0000010000000000", + "LANE_PWR_CTRL_LANE1": "0000010000000000", + "LANE_PWR_CTRL_LANE2": "0000010000000000", + "LANE_PWR_CTRL_LANE3": "0000010000000000", + "LNK_TRN_CFG_LANE0": "0000000000000000", + "LNK_TRN_CFG_LANE1": "0000000000000000", + "LNK_TRN_CFG_LANE2": "0000000000000000", + "LNK_TRN_CFG_LANE3": "0000000000000000", + "LNK_TRN_COEFF_REQ_LANE0": "0000000000000000", + "LNK_TRN_COEFF_REQ_LANE1": "0000000000000000", + "LNK_TRN_COEFF_REQ_LANE2": "0000000000000000", + "LNK_TRN_COEFF_REQ_LANE3": "0000000000000000", + "MISC_CFG": "0000000000001000", + "MODE_CFG1": "0000000000000000", + "MODE_CFG2": "0000000000000000", + "MODE_CFG3": "0000000000000000", + "MODE_CFG4": "0000000000000000", + "MODE_CFG5": "0000000000000000", + "MODE_CFG6": "0000000000000000", + "MODE_CFG7": "0000000000000000", + "PCS_ABILITY_LANE0": "0000000000010000", + "PCS_ABILITY_LANE1": "0000000000010000", + "PCS_ABILITY_LANE2": "0000000000010000", + "PCS_ABILITY_LANE3": "0000000000010000", + "PCS_CTRL1_LANE0": "0010000001000000", + "PCS_CTRL1_LANE1": "0010000001000000", + "PCS_CTRL1_LANE2": "0010000001000000", + "PCS_CTRL1_LANE3": "0010000001000000", + "PCS_CTRL2_LANE0": "0000000000000000", + "PCS_CTRL2_LANE1": "0000000000000000", + "PCS_CTRL2_LANE2": "0000000000000000", + "PCS_CTRL2_LANE3": "0000000000000000", + "PCS_MISC_CFG_0_LANE0": "0001000100010110", + "PCS_MISC_CFG_0_LANE1": "0001000100010110", + "PCS_MISC_CFG_0_LANE2": "0001000100010110", + "PCS_MISC_CFG_0_LANE3": "0001000100010110", + "PCS_MISC_CFG_1_LANE0": "0000000000000000", + "PCS_MISC_CFG_1_LANE1": "0000000000000000", + "PCS_MISC_CFG_1_LANE2": "0000000000000000", + "PCS_MISC_CFG_1_LANE3": "0000000000000000", + "PCS_MODE_LANE0": "0000000000000000", + "PCS_MODE_LANE1": "0000000000000000", + "PCS_MODE_LANE2": "0000000000000000", + "PCS_MODE_LANE3": "0000000000000000", + "PCS_RESET_1_LANE0": "0000000000000010", + "PCS_RESET_1_LANE1": "0000000000000010", + "PCS_RESET_1_LANE2": "0000000000000010", + "PCS_RESET_1_LANE3": "0000000000000010", + "PCS_RESET_LANE0": "0000000000000000", + "PCS_RESET_LANE1": "0000000000000000", + "PCS_RESET_LANE2": "0000000000000000", + "PCS_RESET_LANE3": "0000000000000000", + "PCS_TYPE_LANE0": "0000000000101100", + "PCS_TYPE_LANE1": "0000000000101100", + "PCS_TYPE_LANE2": "0000000000101100", + "PCS_TYPE_LANE3": "0000000000101100", + "PLL_CFG0": "1001010111011111", + "PLL_CFG1": "1000000111000000", + "PLL_CFG2": "0000010000100100", + "PMA_CTRL1_LANE0": "0000000000000000", + "PMA_CTRL1_LANE1": "0000000000000000", + "PMA_CTRL1_LANE2": "0000000000000000", + "PMA_CTRL1_LANE3": "0000000000000000", + "PMA_CTRL2_LANE0": "0000000000001011", + "PMA_CTRL2_LANE1": "0000000000001011", + "PMA_CTRL2_LANE2": "0000000000001011", + "PMA_CTRL2_LANE3": "0000000000001011", + "PMA_LPBK_CTRL_LANE0": "0000000000000100", + "PMA_LPBK_CTRL_LANE1": "0000000000000100", + "PMA_LPBK_CTRL_LANE2": "0000000000000100", + "PMA_LPBK_CTRL_LANE3": "0000000000000100", + "PRBS_BER_CFG0_LANE0": "0000000000000000", + "PRBS_BER_CFG0_LANE1": "0000000000000000", + "PRBS_BER_CFG0_LANE2": "0000000000000000", + "PRBS_BER_CFG0_LANE3": "0000000000000000", + "PRBS_BER_CFG1_LANE0": "0000000000000000", + "PRBS_BER_CFG1_LANE1": "0000000000000000", + "PRBS_BER_CFG1_LANE2": "0000000000000000", + "PRBS_BER_CFG1_LANE3": "0000000000000000", + "PRBS_CFG_LANE0": "0000000000001010", + "PRBS_CFG_LANE1": "0000000000001010", + "PRBS_CFG_LANE2": "0000000000001010", + "PRBS_CFG_LANE3": "0000000000001010", + "PTRN_CFG0_LSB": "0101010101010101", + "PTRN_CFG0_MSB": "0101010101010101", + "PTRN_LEN_CFG": "0000000000011111", + "PWRUP_DLY": "0000000000000000", + "RX_AEQ_VAL0_LANE0": "0000001111000000", + "RX_AEQ_VAL0_LANE1": "0000001111000000", + "RX_AEQ_VAL0_LANE2": "0000001111000000", + "RX_AEQ_VAL0_LANE3": "0000001111000000", + "RX_AEQ_VAL1_LANE0": "0000000000000000", + "RX_AEQ_VAL1_LANE1": "0000000000000000", + "RX_AEQ_VAL1_LANE2": "0000000000000000", + "RX_AEQ_VAL1_LANE3": "0000000000000000", + "RX_AGC_CTRL_LANE0": "0000000000000000", + "RX_AGC_CTRL_LANE1": "0000000000000000", + "RX_AGC_CTRL_LANE2": "0000000000000000", + "RX_AGC_CTRL_LANE3": "0000000000000000", + "RX_CDR_CTRL0_LANE0": "0000000000000101", + "RX_CDR_CTRL0_LANE1": "0000000000000101", + "RX_CDR_CTRL0_LANE2": "0000000000000101", + "RX_CDR_CTRL0_LANE3": "0000000000000101", + "RX_CDR_CTRL1_LANE0": "0100001000000000", + "RX_CDR_CTRL1_LANE1": "0100001000000000", + "RX_CDR_CTRL1_LANE2": "0100001000000000", + "RX_CDR_CTRL1_LANE3": "0100001000000000", + "RX_CDR_CTRL2_LANE0": "0010000000000000", + "RX_CDR_CTRL2_LANE1": "0010000000000000", + "RX_CDR_CTRL2_LANE2": "0010000000000000", + "RX_CDR_CTRL2_LANE3": "0010000000000000", + "RX_CFG0_LANE0": "0000010100000000", + "RX_CFG0_LANE1": "0000010100000000", + "RX_CFG0_LANE2": "0000010100000000", + "RX_CFG0_LANE3": "0000010100000000", + "RX_CFG1_LANE0": "1000001000011111", + "RX_CFG1_LANE1": "1000001000011111", + "RX_CFG1_LANE2": "1000001000011111", + "RX_CFG1_LANE3": "1000001000011111", + "RX_CFG2_LANE0": "0001000000000001", + "RX_CFG2_LANE1": "0001000000000001", + "RX_CFG2_LANE2": "0001000000000001", + "RX_CFG2_LANE3": "0001000000000001", + "RX_CTLE_CTRL_LANE0": "0000000010001111", + "RX_CTLE_CTRL_LANE1": "0000000010001111", + "RX_CTLE_CTRL_LANE2": "0000000010001111", + "RX_CTLE_CTRL_LANE3": "0000000010001111", + "RX_CTRL_OVRD_LANE0": "0000000000001100", + "RX_CTRL_OVRD_LANE1": "0000000000001100", + "RX_CTRL_OVRD_LANE2": "0000000000001100", + "RX_CTRL_OVRD_LANE3": "0000000000001100", + "RX_FABRIC_WIDTH0": "00000000000000000001100101000010", + "RX_FABRIC_WIDTH1": "00000000000000000001100101000010", + "RX_FABRIC_WIDTH2": "00000000000000000001100101000010", + "RX_FABRIC_WIDTH3": "00000000000000000001100101000010", + "RX_LOOP_CTRL_LANE0": "0000000001111111", + "RX_LOOP_CTRL_LANE1": "0000000001111111", + "RX_LOOP_CTRL_LANE2": "0000000001111111", + "RX_LOOP_CTRL_LANE3": "0000000001111111", + "RX_MVAL0_LANE0": "0000000000000000", + "RX_MVAL0_LANE1": "0000000000000000", + "RX_MVAL0_LANE2": "0000000000000000", + "RX_MVAL0_LANE3": "0000000000000000", + "RX_MVAL1_LANE0": "0000000000000000", + "RX_MVAL1_LANE1": "0000000000000000", + "RX_MVAL1_LANE2": "0000000000000000", + "RX_MVAL1_LANE3": "0000000000000000", + "RX_P0S_CTRL": "0001001000000110", + "RX_P0_CTRL": "0001000111110000", + "RX_P1_CTRL": "0001001000001111", + "RX_P2_CTRL": "0000111000001111", + "RX_PI_CTRL0": "1101001011110000", + "RX_PI_CTRL1": "0000000010000000", + "SIM_GTHRESET_SPEEDUP": "00000000000000000000000000000001", + "SIM_VERSION": "1.0", + "SLICE_CFG": "0000000000000000", + "SLICE_NOISE_CTRL_0_LANE01": "0000000000000000", + "SLICE_NOISE_CTRL_0_LANE23": "0000000000000000", + "SLICE_NOISE_CTRL_1_LANE01": "0000000000000000", + "SLICE_NOISE_CTRL_1_LANE23": "0000000000000000", + "SLICE_NOISE_CTRL_2_LANE01": "0111111111111111", + "SLICE_NOISE_CTRL_2_LANE23": "0111111111111111", + "SLICE_TX_RESET_LANE01": "0000000000000000", + "SLICE_TX_RESET_LANE23": "0000000000000000", + "TERM_CTRL_LANE0": "0101000000000111", + "TERM_CTRL_LANE1": "0101000000000111", + "TERM_CTRL_LANE2": "0101000000000111", + "TERM_CTRL_LANE3": "0101000000000111", + "TX_CFG0_LANE0": "0010000000111101", + "TX_CFG0_LANE1": "0010000000111101", + "TX_CFG0_LANE2": "0010000000111101", + "TX_CFG0_LANE3": "0010000000111101", + "TX_CFG1_LANE0": "0000111100000000", + "TX_CFG1_LANE1": "0000111100000000", + "TX_CFG1_LANE2": "0000111100000000", + "TX_CFG1_LANE3": "0000111100000000", + "TX_CFG2_LANE0": "0000000010000001", + "TX_CFG2_LANE1": "0000000010000001", + "TX_CFG2_LANE2": "0000000010000001", + "TX_CFG2_LANE3": "0000000010000001", + "TX_CLK_SEL0_LANE0": "0010000100100001", + "TX_CLK_SEL0_LANE1": "0010000100100001", + "TX_CLK_SEL0_LANE2": "0010000100100001", + "TX_CLK_SEL0_LANE3": "0010000100100001", + "TX_CLK_SEL1_LANE0": "0010000100100001", + "TX_CLK_SEL1_LANE1": "0010000100100001", + "TX_CLK_SEL1_LANE2": "0010000100100001", + "TX_CLK_SEL1_LANE3": "0010000100100001", + "TX_DISABLE_LANE0": "0000000000000000", + "TX_DISABLE_LANE1": "0000000000000000", + "TX_DISABLE_LANE2": "0000000000000000", + "TX_DISABLE_LANE3": "0000000000000000", + "TX_FABRIC_WIDTH0": "00000000000000000001100101000010", + "TX_FABRIC_WIDTH1": "00000000000000000001100101000010", + "TX_FABRIC_WIDTH2": "00000000000000000001100101000010", + "TX_FABRIC_WIDTH3": "00000000000000000001100101000010", + "TX_P0P0S_CTRL": "0000011000001100", + "TX_P1P2_CTRL": "0000110000111001", + "TX_PREEMPH_LANE0": "0000000010100001", + "TX_PREEMPH_LANE1": "0000000010100001", + "TX_PREEMPH_LANE2": "0000000010100001", + "TX_PREEMPH_LANE3": "0000000010100001", + "TX_PWR_RATE_OVRD_LANE0": "0000000001100000", + "TX_PWR_RATE_OVRD_LANE1": "0000000001100000", + "TX_PWR_RATE_OVRD_LANE2": "0000000001100000", + "TX_PWR_RATE_OVRD_LANE3": "0000000001100000" + }, + "ports": { + "DRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "GTHINITDONE": { + "direction": "output", + "bits": [ 3 ] + }, + "MGMTPCSRDACK": { + "direction": "output", + "bits": [ 4 ] + }, + "RXCTRLACK0": { + "direction": "output", + "bits": [ 5 ] + }, + "RXCTRLACK1": { + "direction": "output", + "bits": [ 6 ] + }, + "RXCTRLACK2": { + "direction": "output", + "bits": [ 7 ] + }, + "RXCTRLACK3": { + "direction": "output", + "bits": [ 8 ] + }, + "RXDATATAP0": { + "direction": "output", + "bits": [ 9 ] + }, + "RXDATATAP1": { + "direction": "output", + "bits": [ 10 ] + }, + "RXDATATAP2": { + "direction": "output", + "bits": [ 11 ] + }, + "RXDATATAP3": { + "direction": "output", + "bits": [ 12 ] + }, + "RXPCSCLKSMPL0": { + "direction": "output", + "bits": [ 13 ] + }, + "RXPCSCLKSMPL1": { + "direction": "output", + "bits": [ 14 ] + }, + "RXPCSCLKSMPL2": { + "direction": "output", + "bits": [ 15 ] + }, + "RXPCSCLKSMPL3": { + "direction": "output", + "bits": [ 16 ] + }, + "RXUSERCLKOUT0": { + "direction": "output", + "bits": [ 17 ] + }, + "RXUSERCLKOUT1": { + "direction": "output", + "bits": [ 18 ] + }, + "RXUSERCLKOUT2": { + "direction": "output", + "bits": [ 19 ] + }, + "RXUSERCLKOUT3": { + "direction": "output", + "bits": [ 20 ] + }, + "TSTPATH": { + "direction": "output", + "bits": [ 21 ] + }, + "TSTREFCLKFAB": { + "direction": "output", + "bits": [ 22 ] + }, + "TSTREFCLKOUT": { + "direction": "output", + "bits": [ 23 ] + }, + "TXCTRLACK0": { + "direction": "output", + "bits": [ 24 ] + }, + "TXCTRLACK1": { + "direction": "output", + "bits": [ 25 ] + }, + "TXCTRLACK2": { + "direction": "output", + "bits": [ 26 ] + }, + "TXCTRLACK3": { + "direction": "output", + "bits": [ 27 ] + }, + "TXDATATAP10": { + "direction": "output", + "bits": [ 28 ] + }, + "TXDATATAP11": { + "direction": "output", + "bits": [ 29 ] + }, + "TXDATATAP12": { + "direction": "output", + "bits": [ 30 ] + }, + "TXDATATAP13": { + "direction": "output", + "bits": [ 31 ] + }, + "TXDATATAP20": { + "direction": "output", + "bits": [ 32 ] + }, + "TXDATATAP21": { + "direction": "output", + "bits": [ 33 ] + }, + "TXDATATAP22": { + "direction": "output", + "bits": [ 34 ] + }, + "TXDATATAP23": { + "direction": "output", + "bits": [ 35 ] + }, + "TXN0": { + "direction": "output", + "bits": [ 36 ] + }, + "TXN1": { + "direction": "output", + "bits": [ 37 ] + }, + "TXN2": { + "direction": "output", + "bits": [ 38 ] + }, + "TXN3": { + "direction": "output", + "bits": [ 39 ] + }, + "TXP0": { + "direction": "output", + "bits": [ 40 ] + }, + "TXP1": { + "direction": "output", + "bits": [ 41 ] + }, + "TXP2": { + "direction": "output", + "bits": [ 42 ] + }, + "TXP3": { + "direction": "output", + "bits": [ 43 ] + }, + "TXPCSCLKSMPL0": { + "direction": "output", + "bits": [ 44 ] + }, + "TXPCSCLKSMPL1": { + "direction": "output", + "bits": [ 45 ] + }, + "TXPCSCLKSMPL2": { + "direction": "output", + "bits": [ 46 ] + }, + "TXPCSCLKSMPL3": { + "direction": "output", + "bits": [ 47 ] + }, + "TXUSERCLKOUT0": { + "direction": "output", + "bits": [ 48 ] + }, + "TXUSERCLKOUT1": { + "direction": "output", + "bits": [ 49 ] + }, + "TXUSERCLKOUT2": { + "direction": "output", + "bits": [ 50 ] + }, + "TXUSERCLKOUT3": { + "direction": "output", + "bits": [ 51 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "MGMTPCSRDDATA": { + "direction": "output", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "RXDATA0": { + "direction": "output", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147 ] + }, + "RXDATA1": { + "direction": "output", + "bits": [ 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211 ] + }, + "RXDATA2": { + "direction": "output", + "bits": [ 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275 ] + }, + "RXDATA3": { + "direction": "output", + "bits": [ 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339 ] + }, + "RXCODEERR0": { + "direction": "output", + "bits": [ 340, 341, 342, 343, 344, 345, 346, 347 ] + }, + "RXCODEERR1": { + "direction": "output", + "bits": [ 348, 349, 350, 351, 352, 353, 354, 355 ] + }, + "RXCODEERR2": { + "direction": "output", + "bits": [ 356, 357, 358, 359, 360, 361, 362, 363 ] + }, + "RXCODEERR3": { + "direction": "output", + "bits": [ 364, 365, 366, 367, 368, 369, 370, 371 ] + }, + "RXCTRL0": { + "direction": "output", + "bits": [ 372, 373, 374, 375, 376, 377, 378, 379 ] + }, + "RXCTRL1": { + "direction": "output", + "bits": [ 380, 381, 382, 383, 384, 385, 386, 387 ] + }, + "RXCTRL2": { + "direction": "output", + "bits": [ 388, 389, 390, 391, 392, 393, 394, 395 ] + }, + "RXCTRL3": { + "direction": "output", + "bits": [ 396, 397, 398, 399, 400, 401, 402, 403 ] + }, + "RXDISPERR0": { + "direction": "output", + "bits": [ 404, 405, 406, 407, 408, 409, 410, 411 ] + }, + "RXDISPERR1": { + "direction": "output", + "bits": [ 412, 413, 414, 415, 416, 417, 418, 419 ] + }, + "RXDISPERR2": { + "direction": "output", + "bits": [ 420, 421, 422, 423, 424, 425, 426, 427 ] + }, + "RXDISPERR3": { + "direction": "output", + "bits": [ 428, 429, 430, 431, 432, 433, 434, 435 ] + }, + "RXVALID0": { + "direction": "output", + "bits": [ 436, 437, 438, 439, 440, 441, 442, 443 ] + }, + "RXVALID1": { + "direction": "output", + "bits": [ 444, 445, 446, 447, 448, 449, 450, 451 ] + }, + "RXVALID2": { + "direction": "output", + "bits": [ 452, 453, 454, 455, 456, 457, 458, 459 ] + }, + "RXVALID3": { + "direction": "output", + "bits": [ 460, 461, 462, 463, 464, 465, 466, 467 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 468 ] + }, + "DEN": { + "direction": "input", + "bits": [ 469 ] + }, + "DFETRAINCTRL0": { + "direction": "input", + "bits": [ 470 ] + }, + "DFETRAINCTRL1": { + "direction": "input", + "bits": [ 471 ] + }, + "DFETRAINCTRL2": { + "direction": "input", + "bits": [ 472 ] + }, + "DFETRAINCTRL3": { + "direction": "input", + "bits": [ 473 ] + }, + "DISABLEDRP": { + "direction": "input", + "bits": [ 474 ] + }, + "DWE": { + "direction": "input", + "bits": [ 475 ] + }, + "GTHINIT": { + "direction": "input", + "bits": [ 476 ] + }, + "GTHRESET": { + "direction": "input", + "bits": [ 477 ] + }, + "GTHX2LANE01": { + "direction": "input", + "bits": [ 478 ] + }, + "GTHX2LANE23": { + "direction": "input", + "bits": [ 479 ] + }, + "GTHX4LANE": { + "direction": "input", + "bits": [ 480 ] + }, + "MGMTPCSREGRD": { + "direction": "input", + "bits": [ 481 ] + }, + "MGMTPCSREGWR": { + "direction": "input", + "bits": [ 482 ] + }, + "POWERDOWN0": { + "direction": "input", + "bits": [ 483 ] + }, + "POWERDOWN1": { + "direction": "input", + "bits": [ 484 ] + }, + "POWERDOWN2": { + "direction": "input", + "bits": [ 485 ] + }, + "POWERDOWN3": { + "direction": "input", + "bits": [ 486 ] + }, + "REFCLK": { + "direction": "input", + "bits": [ 487 ] + }, + "RXBUFRESET0": { + "direction": "input", + "bits": [ 488 ] + }, + "RXBUFRESET1": { + "direction": "input", + "bits": [ 489 ] + }, + "RXBUFRESET2": { + "direction": "input", + "bits": [ 490 ] + }, + "RXBUFRESET3": { + "direction": "input", + "bits": [ 491 ] + }, + "RXENCOMMADET0": { + "direction": "input", + "bits": [ 492 ] + }, + "RXENCOMMADET1": { + "direction": "input", + "bits": [ 493 ] + }, + "RXENCOMMADET2": { + "direction": "input", + "bits": [ 494 ] + }, + "RXENCOMMADET3": { + "direction": "input", + "bits": [ 495 ] + }, + "RXN0": { + "direction": "input", + "bits": [ 496 ] + }, + "RXN1": { + "direction": "input", + "bits": [ 497 ] + }, + "RXN2": { + "direction": "input", + "bits": [ 498 ] + }, + "RXN3": { + "direction": "input", + "bits": [ 499 ] + }, + "RXP0": { + "direction": "input", + "bits": [ 500 ] + }, + "RXP1": { + "direction": "input", + "bits": [ 501 ] + }, + "RXP2": { + "direction": "input", + "bits": [ 502 ] + }, + "RXP3": { + "direction": "input", + "bits": [ 503 ] + }, + "RXPOLARITY0": { + "direction": "input", + "bits": [ 504 ] + }, + "RXPOLARITY1": { + "direction": "input", + "bits": [ 505 ] + }, + "RXPOLARITY2": { + "direction": "input", + "bits": [ 506 ] + }, + "RXPOLARITY3": { + "direction": "input", + "bits": [ 507 ] + }, + "RXSLIP0": { + "direction": "input", + "bits": [ 508 ] + }, + "RXSLIP1": { + "direction": "input", + "bits": [ 509 ] + }, + "RXSLIP2": { + "direction": "input", + "bits": [ 510 ] + }, + "RXSLIP3": { + "direction": "input", + "bits": [ 511 ] + }, + "RXUSERCLKIN0": { + "direction": "input", + "bits": [ 512 ] + }, + "RXUSERCLKIN1": { + "direction": "input", + "bits": [ 513 ] + }, + "RXUSERCLKIN2": { + "direction": "input", + "bits": [ 514 ] + }, + "RXUSERCLKIN3": { + "direction": "input", + "bits": [ 515 ] + }, + "TXBUFRESET0": { + "direction": "input", + "bits": [ 516 ] + }, + "TXBUFRESET1": { + "direction": "input", + "bits": [ 517 ] + }, + "TXBUFRESET2": { + "direction": "input", + "bits": [ 518 ] + }, + "TXBUFRESET3": { + "direction": "input", + "bits": [ 519 ] + }, + "TXDEEMPH0": { + "direction": "input", + "bits": [ 520 ] + }, + "TXDEEMPH1": { + "direction": "input", + "bits": [ 521 ] + }, + "TXDEEMPH2": { + "direction": "input", + "bits": [ 522 ] + }, + "TXDEEMPH3": { + "direction": "input", + "bits": [ 523 ] + }, + "TXUSERCLKIN0": { + "direction": "input", + "bits": [ 524 ] + }, + "TXUSERCLKIN1": { + "direction": "input", + "bits": [ 525 ] + }, + "TXUSERCLKIN2": { + "direction": "input", + "bits": [ 526 ] + }, + "TXUSERCLKIN3": { + "direction": "input", + "bits": [ 527 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543 ] + }, + "DI": { + "direction": "input", + "bits": [ 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559 ] + }, + "MGMTPCSREGADDR": { + "direction": "input", + "bits": [ 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575 ] + }, + "MGMTPCSWRDATA": { + "direction": "input", + "bits": [ 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591 ] + }, + "RXPOWERDOWN0": { + "direction": "input", + "bits": [ 592, 593 ] + }, + "RXPOWERDOWN1": { + "direction": "input", + "bits": [ 594, 595 ] + }, + "RXPOWERDOWN2": { + "direction": "input", + "bits": [ 596, 597 ] + }, + "RXPOWERDOWN3": { + "direction": "input", + "bits": [ 598, 599 ] + }, + "RXRATE0": { + "direction": "input", + "bits": [ 600, 601 ] + }, + "RXRATE1": { + "direction": "input", + "bits": [ 602, 603 ] + }, + "RXRATE2": { + "direction": "input", + "bits": [ 604, 605 ] + }, + "RXRATE3": { + "direction": "input", + "bits": [ 606, 607 ] + }, + "TXPOWERDOWN0": { + "direction": "input", + "bits": [ 608, 609 ] + }, + "TXPOWERDOWN1": { + "direction": "input", + "bits": [ 610, 611 ] + }, + "TXPOWERDOWN2": { + "direction": "input", + "bits": [ 612, 613 ] + }, + "TXPOWERDOWN3": { + "direction": "input", + "bits": [ 614, 615 ] + }, + "TXRATE0": { + "direction": "input", + "bits": [ 616, 617 ] + }, + "TXRATE1": { + "direction": "input", + "bits": [ 618, 619 ] + }, + "TXRATE2": { + "direction": "input", + "bits": [ 620, 621 ] + }, + "TXRATE3": { + "direction": "input", + "bits": [ 622, 623 ] + }, + "PLLREFCLKSEL": { + "direction": "input", + "bits": [ 624, 625, 626 ] + }, + "SAMPLERATE0": { + "direction": "input", + "bits": [ 627, 628, 629 ] + }, + "SAMPLERATE1": { + "direction": "input", + "bits": [ 630, 631, 632 ] + }, + "SAMPLERATE2": { + "direction": "input", + "bits": [ 633, 634, 635 ] + }, + "SAMPLERATE3": { + "direction": "input", + "bits": [ 636, 637, 638 ] + }, + "TXMARGIN0": { + "direction": "input", + "bits": [ 639, 640, 641 ] + }, + "TXMARGIN1": { + "direction": "input", + "bits": [ 642, 643, 644 ] + }, + "TXMARGIN2": { + "direction": "input", + "bits": [ 645, 646, 647 ] + }, + "TXMARGIN3": { + "direction": "input", + "bits": [ 648, 649, 650 ] + }, + "MGMTPCSLANESEL": { + "direction": "input", + "bits": [ 651, 652, 653, 654 ] + }, + "MGMTPCSMMDADDR": { + "direction": "input", + "bits": [ 655, 656, 657, 658, 659 ] + }, + "PLLPCSCLKDIV": { + "direction": "input", + "bits": [ 660, 661, 662, 663, 664, 665 ] + }, + "TXDATA0": { + "direction": "input", + "bits": [ 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729 ] + }, + "TXDATA1": { + "direction": "input", + "bits": [ 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793 ] + }, + "TXDATA2": { + "direction": "input", + "bits": [ 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857 ] + }, + "TXDATA3": { + "direction": "input", + "bits": [ 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921 ] + }, + "TXCTRL0": { + "direction": "input", + "bits": [ 922, 923, 924, 925, 926, 927, 928, 929 ] + }, + "TXCTRL1": { + "direction": "input", + "bits": [ 930, 931, 932, 933, 934, 935, 936, 937 ] + }, + "TXCTRL2": { + "direction": "input", + "bits": [ 938, 939, 940, 941, 942, 943, 944, 945 ] + }, + "TXCTRL3": { + "direction": "input", + "bits": [ 946, 947, 948, 949, 950, 951, 952, 953 ] + }, + "TXDATAMSB0": { + "direction": "input", + "bits": [ 954, 955, 956, 957, 958, 959, 960, 961 ] + }, + "TXDATAMSB1": { + "direction": "input", + "bits": [ 962, 963, 964, 965, 966, 967, 968, 969 ] + }, + "TXDATAMSB2": { + "direction": "input", + "bits": [ 970, 971, 972, 973, 974, 975, 976, 977 ] + }, + "TXDATAMSB3": { + "direction": "input", + "bits": [ 978, 979, 980, 981, 982, 983, 984, 985 ] + } + }, + "cells": { + }, + "netnames": { + "DADDR": { + "hide_name": 0, + "bits": [ 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12861.18-12861.23" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12801.11-12801.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12802.11-12802.14" + } + }, + "DFETRAINCTRL0": { + "hide_name": 0, + "bits": [ 470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12803.11-12803.24" + } + }, + "DFETRAINCTRL1": { + "hide_name": 0, + "bits": [ 471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12804.11-12804.24" + } + }, + "DFETRAINCTRL2": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12805.11-12805.24" + } + }, + "DFETRAINCTRL3": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12806.11-12806.24" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12862.18-12862.20" + } + }, + "DISABLEDRP": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12807.11-12807.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12729.12-12729.16" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12779.19-12779.24" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12808.11-12808.14" + } + }, + "GTHINIT": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12809.11-12809.18" + } + }, + "GTHINITDONE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12730.12-12730.23" + } + }, + "GTHRESET": { + "hide_name": 0, + "bits": [ 477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12810.11-12810.19" + } + }, + "GTHX2LANE01": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12811.11-12811.22" + } + }, + "GTHX2LANE23": { + "hide_name": 0, + "bits": [ 479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12812.11-12812.22" + } + }, + "GTHX4LANE": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12813.11-12813.20" + } + }, + "MGMTPCSLANESEL": { + "hide_name": 0, + "bits": [ 651, 652, 653, 654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12890.17-12890.31" + } + }, + "MGMTPCSMMDADDR": { + "hide_name": 0, + "bits": [ 655, 656, 657, 658, 659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12891.17-12891.31" + } + }, + "MGMTPCSRDACK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12731.12-12731.24" + } + }, + "MGMTPCSRDDATA": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12780.19-12780.32" + } + }, + "MGMTPCSREGADDR": { + "hide_name": 0, + "bits": [ 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12863.18-12863.32" + } + }, + "MGMTPCSREGRD": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12814.11-12814.23" + } + }, + "MGMTPCSREGWR": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12815.11-12815.23" + } + }, + "MGMTPCSWRDATA": { + "hide_name": 0, + "bits": [ 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12864.18-12864.31" + } + }, + "PLLPCSCLKDIV": { + "hide_name": 0, + "bits": [ 660, 661, 662, 663, 664, 665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12892.17-12892.29" + } + }, + "PLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 624, 625, 626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12881.17-12881.29" + } + }, + "POWERDOWN0": { + "hide_name": 0, + "bits": [ 483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12816.11-12816.21" + } + }, + "POWERDOWN1": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12817.11-12817.21" + } + }, + "POWERDOWN2": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12818.11-12818.21" + } + }, + "POWERDOWN3": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12819.11-12819.21" + } + }, + "REFCLK": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12820.11-12820.17" + } + }, + "RXBUFRESET0": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12821.11-12821.22" + } + }, + "RXBUFRESET1": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12822.11-12822.22" + } + }, + "RXBUFRESET2": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12823.11-12823.22" + } + }, + "RXBUFRESET3": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12824.11-12824.22" + } + }, + "RXCODEERR0": { + "hide_name": 0, + "bits": [ 340, 341, 342, 343, 344, 345, 346, 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12785.18-12785.28" + } + }, + "RXCODEERR1": { + "hide_name": 0, + "bits": [ 348, 349, 350, 351, 352, 353, 354, 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12786.18-12786.28" + } + }, + "RXCODEERR2": { + "hide_name": 0, + "bits": [ 356, 357, 358, 359, 360, 361, 362, 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12787.18-12787.28" + } + }, + "RXCODEERR3": { + "hide_name": 0, + "bits": [ 364, 365, 366, 367, 368, 369, 370, 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12788.18-12788.28" + } + }, + "RXCTRL0": { + "hide_name": 0, + "bits": [ 372, 373, 374, 375, 376, 377, 378, 379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12789.18-12789.25" + } + }, + "RXCTRL1": { + "hide_name": 0, + "bits": [ 380, 381, 382, 383, 384, 385, 386, 387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12790.18-12790.25" + } + }, + "RXCTRL2": { + "hide_name": 0, + "bits": [ 388, 389, 390, 391, 392, 393, 394, 395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12791.18-12791.25" + } + }, + "RXCTRL3": { + "hide_name": 0, + "bits": [ 396, 397, 398, 399, 400, 401, 402, 403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12792.18-12792.25" + } + }, + "RXCTRLACK0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12732.12-12732.22" + } + }, + "RXCTRLACK1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12733.12-12733.22" + } + }, + "RXCTRLACK2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12734.12-12734.22" + } + }, + "RXCTRLACK3": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12735.12-12735.22" + } + }, + "RXDATA0": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12781.19-12781.26" + } + }, + "RXDATA1": { + "hide_name": 0, + "bits": [ 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12782.19-12782.26" + } + }, + "RXDATA2": { + "hide_name": 0, + "bits": [ 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12783.19-12783.26" + } + }, + "RXDATA3": { + "hide_name": 0, + "bits": [ 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12784.19-12784.26" + } + }, + "RXDATATAP0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12736.12-12736.22" + } + }, + "RXDATATAP1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12737.12-12737.22" + } + }, + "RXDATATAP2": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12738.12-12738.22" + } + }, + "RXDATATAP3": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12739.12-12739.22" + } + }, + "RXDISPERR0": { + "hide_name": 0, + "bits": [ 404, 405, 406, 407, 408, 409, 410, 411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12793.18-12793.28" + } + }, + "RXDISPERR1": { + "hide_name": 0, + "bits": [ 412, 413, 414, 415, 416, 417, 418, 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12794.18-12794.28" + } + }, + "RXDISPERR2": { + "hide_name": 0, + "bits": [ 420, 421, 422, 423, 424, 425, 426, 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12795.18-12795.28" + } + }, + "RXDISPERR3": { + "hide_name": 0, + "bits": [ 428, 429, 430, 431, 432, 433, 434, 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12796.18-12796.28" + } + }, + "RXENCOMMADET0": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12825.11-12825.24" + } + }, + "RXENCOMMADET1": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12826.11-12826.24" + } + }, + "RXENCOMMADET2": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12827.11-12827.24" + } + }, + "RXENCOMMADET3": { + "hide_name": 0, + "bits": [ 495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12828.11-12828.24" + } + }, + "RXN0": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12829.11-12829.15" + } + }, + "RXN1": { + "hide_name": 0, + "bits": [ 497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12830.11-12830.15" + } + }, + "RXN2": { + "hide_name": 0, + "bits": [ 498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12831.11-12831.15" + } + }, + "RXN3": { + "hide_name": 0, + "bits": [ 499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12832.11-12832.15" + } + }, + "RXP0": { + "hide_name": 0, + "bits": [ 500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12833.11-12833.15" + } + }, + "RXP1": { + "hide_name": 0, + "bits": [ 501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12834.11-12834.15" + } + }, + "RXP2": { + "hide_name": 0, + "bits": [ 502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12835.11-12835.15" + } + }, + "RXP3": { + "hide_name": 0, + "bits": [ 503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12836.11-12836.15" + } + }, + "RXPCSCLKSMPL0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12740.12-12740.25" + } + }, + "RXPCSCLKSMPL1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12741.12-12741.25" + } + }, + "RXPCSCLKSMPL2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12742.12-12742.25" + } + }, + "RXPCSCLKSMPL3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12743.12-12743.25" + } + }, + "RXPOLARITY0": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12837.11-12837.22" + } + }, + "RXPOLARITY1": { + "hide_name": 0, + "bits": [ 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12838.11-12838.22" + } + }, + "RXPOLARITY2": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12839.11-12839.22" + } + }, + "RXPOLARITY3": { + "hide_name": 0, + "bits": [ 507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12840.11-12840.22" + } + }, + "RXPOWERDOWN0": { + "hide_name": 0, + "bits": [ 592, 593 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12865.17-12865.29" + } + }, + "RXPOWERDOWN1": { + "hide_name": 0, + "bits": [ 594, 595 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12866.17-12866.29" + } + }, + "RXPOWERDOWN2": { + "hide_name": 0, + "bits": [ 596, 597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12867.17-12867.29" + } + }, + "RXPOWERDOWN3": { + "hide_name": 0, + "bits": [ 598, 599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12868.17-12868.29" + } + }, + "RXRATE0": { + "hide_name": 0, + "bits": [ 600, 601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12869.17-12869.24" + } + }, + "RXRATE1": { + "hide_name": 0, + "bits": [ 602, 603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12870.17-12870.24" + } + }, + "RXRATE2": { + "hide_name": 0, + "bits": [ 604, 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12871.17-12871.24" + } + }, + "RXRATE3": { + "hide_name": 0, + "bits": [ 606, 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12872.17-12872.24" + } + }, + "RXSLIP0": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12841.11-12841.18" + } + }, + "RXSLIP1": { + "hide_name": 0, + "bits": [ 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12842.11-12842.18" + } + }, + "RXSLIP2": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12843.11-12843.18" + } + }, + "RXSLIP3": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12844.11-12844.18" + } + }, + "RXUSERCLKIN0": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12845.11-12845.23" + } + }, + "RXUSERCLKIN1": { + "hide_name": 0, + "bits": [ 513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12846.11-12846.23" + } + }, + "RXUSERCLKIN2": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12847.11-12847.23" + } + }, + "RXUSERCLKIN3": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12848.11-12848.23" + } + }, + "RXUSERCLKOUT0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12744.12-12744.25" + } + }, + "RXUSERCLKOUT1": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12745.12-12745.25" + } + }, + "RXUSERCLKOUT2": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12746.12-12746.25" + } + }, + "RXUSERCLKOUT3": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12747.12-12747.25" + } + }, + "RXVALID0": { + "hide_name": 0, + "bits": [ 436, 437, 438, 439, 440, 441, 442, 443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12797.18-12797.26" + } + }, + "RXVALID1": { + "hide_name": 0, + "bits": [ 444, 445, 446, 447, 448, 449, 450, 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12798.18-12798.26" + } + }, + "RXVALID2": { + "hide_name": 0, + "bits": [ 452, 453, 454, 455, 456, 457, 458, 459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12799.18-12799.26" + } + }, + "RXVALID3": { + "hide_name": 0, + "bits": [ 460, 461, 462, 463, 464, 465, 466, 467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12800.18-12800.26" + } + }, + "SAMPLERATE0": { + "hide_name": 0, + "bits": [ 627, 628, 629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12882.17-12882.28" + } + }, + "SAMPLERATE1": { + "hide_name": 0, + "bits": [ 630, 631, 632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12883.17-12883.28" + } + }, + "SAMPLERATE2": { + "hide_name": 0, + "bits": [ 633, 634, 635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12884.17-12884.28" + } + }, + "SAMPLERATE3": { + "hide_name": 0, + "bits": [ 636, 637, 638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12885.17-12885.28" + } + }, + "TSTPATH": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12748.12-12748.19" + } + }, + "TSTREFCLKFAB": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12749.12-12749.24" + } + }, + "TSTREFCLKOUT": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12750.12-12750.24" + } + }, + "TXBUFRESET0": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12849.11-12849.22" + } + }, + "TXBUFRESET1": { + "hide_name": 0, + "bits": [ 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12850.11-12850.22" + } + }, + "TXBUFRESET2": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12851.11-12851.22" + } + }, + "TXBUFRESET3": { + "hide_name": 0, + "bits": [ 519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12852.11-12852.22" + } + }, + "TXCTRL0": { + "hide_name": 0, + "bits": [ 922, 923, 924, 925, 926, 927, 928, 929 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12897.17-12897.24" + } + }, + "TXCTRL1": { + "hide_name": 0, + "bits": [ 930, 931, 932, 933, 934, 935, 936, 937 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12898.17-12898.24" + } + }, + "TXCTRL2": { + "hide_name": 0, + "bits": [ 938, 939, 940, 941, 942, 943, 944, 945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12899.17-12899.24" + } + }, + "TXCTRL3": { + "hide_name": 0, + "bits": [ 946, 947, 948, 949, 950, 951, 952, 953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12900.17-12900.24" + } + }, + "TXCTRLACK0": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12751.12-12751.22" + } + }, + "TXCTRLACK1": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12752.12-12752.22" + } + }, + "TXCTRLACK2": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12753.12-12753.22" + } + }, + "TXCTRLACK3": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12754.12-12754.22" + } + }, + "TXDATA0": { + "hide_name": 0, + "bits": [ 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12893.18-12893.25" + } + }, + "TXDATA1": { + "hide_name": 0, + "bits": [ 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12894.18-12894.25" + } + }, + "TXDATA2": { + "hide_name": 0, + "bits": [ 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12895.18-12895.25" + } + }, + "TXDATA3": { + "hide_name": 0, + "bits": [ 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12896.18-12896.25" + } + }, + "TXDATAMSB0": { + "hide_name": 0, + "bits": [ 954, 955, 956, 957, 958, 959, 960, 961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12901.17-12901.27" + } + }, + "TXDATAMSB1": { + "hide_name": 0, + "bits": [ 962, 963, 964, 965, 966, 967, 968, 969 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12902.17-12902.27" + } + }, + "TXDATAMSB2": { + "hide_name": 0, + "bits": [ 970, 971, 972, 973, 974, 975, 976, 977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12903.17-12903.27" + } + }, + "TXDATAMSB3": { + "hide_name": 0, + "bits": [ 978, 979, 980, 981, 982, 983, 984, 985 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12904.17-12904.27" + } + }, + "TXDATATAP10": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12755.12-12755.23" + } + }, + "TXDATATAP11": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12756.12-12756.23" + } + }, + "TXDATATAP12": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12757.12-12757.23" + } + }, + "TXDATATAP13": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12758.12-12758.23" + } + }, + "TXDATATAP20": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12759.12-12759.23" + } + }, + "TXDATATAP21": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12760.12-12760.23" + } + }, + "TXDATATAP22": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12761.12-12761.23" + } + }, + "TXDATATAP23": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12762.12-12762.23" + } + }, + "TXDEEMPH0": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12853.11-12853.20" + } + }, + "TXDEEMPH1": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12854.11-12854.20" + } + }, + "TXDEEMPH2": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12855.11-12855.20" + } + }, + "TXDEEMPH3": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12856.11-12856.20" + } + }, + "TXMARGIN0": { + "hide_name": 0, + "bits": [ 639, 640, 641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12886.17-12886.26" + } + }, + "TXMARGIN1": { + "hide_name": 0, + "bits": [ 642, 643, 644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12887.17-12887.26" + } + }, + "TXMARGIN2": { + "hide_name": 0, + "bits": [ 645, 646, 647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12888.17-12888.26" + } + }, + "TXMARGIN3": { + "hide_name": 0, + "bits": [ 648, 649, 650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12889.17-12889.26" + } + }, + "TXN0": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12763.12-12763.16" + } + }, + "TXN1": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12764.12-12764.16" + } + }, + "TXN2": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12765.12-12765.16" + } + }, + "TXN3": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12766.12-12766.16" + } + }, + "TXP0": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12767.12-12767.16" + } + }, + "TXP1": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12768.12-12768.16" + } + }, + "TXP2": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12769.12-12769.16" + } + }, + "TXP3": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12770.12-12770.16" + } + }, + "TXPCSCLKSMPL0": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12771.12-12771.25" + } + }, + "TXPCSCLKSMPL1": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12772.12-12772.25" + } + }, + "TXPCSCLKSMPL2": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12773.12-12773.25" + } + }, + "TXPCSCLKSMPL3": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12774.12-12774.25" + } + }, + "TXPOWERDOWN0": { + "hide_name": 0, + "bits": [ 608, 609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12873.17-12873.29" + } + }, + "TXPOWERDOWN1": { + "hide_name": 0, + "bits": [ 610, 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12874.17-12874.29" + } + }, + "TXPOWERDOWN2": { + "hide_name": 0, + "bits": [ 612, 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12875.17-12875.29" + } + }, + "TXPOWERDOWN3": { + "hide_name": 0, + "bits": [ 614, 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12876.17-12876.29" + } + }, + "TXRATE0": { + "hide_name": 0, + "bits": [ 616, 617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12877.17-12877.24" + } + }, + "TXRATE1": { + "hide_name": 0, + "bits": [ 618, 619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12878.17-12878.24" + } + }, + "TXRATE2": { + "hide_name": 0, + "bits": [ 620, 621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12879.17-12879.24" + } + }, + "TXRATE3": { + "hide_name": 0, + "bits": [ 622, 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12880.17-12880.24" + } + }, + "TXUSERCLKIN0": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12857.11-12857.23" + } + }, + "TXUSERCLKIN1": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12858.11-12858.23" + } + }, + "TXUSERCLKIN2": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12859.11-12859.23" + } + }, + "TXUSERCLKIN3": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12860.11-12860.23" + } + }, + "TXUSERCLKOUT0": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12775.12-12775.25" + } + }, + "TXUSERCLKOUT1": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12776.12-12776.25" + } + }, + "TXUSERCLKOUT2": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12777.12-12777.25" + } + }, + "TXUSERCLKOUT3": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12778.12-12778.25" + } + } + } + }, + "GTHE2_CHANNEL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13280.1-13841.10" + }, + "parameter_default_values": { + "ACJTAG_DEBUG_MODE": "0", + "ACJTAG_MODE": "0", + "ACJTAG_RESET": "0", + "ADAPT_CFG0": "00000000110000010000", + "ALIGN_COMMA_DOUBLE": "FALSE", + "ALIGN_COMMA_ENABLE": "0001111111", + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "ALIGN_MCOMMA_DET": "TRUE", + "ALIGN_MCOMMA_VALUE": "1010000011", + "ALIGN_PCOMMA_DET": "TRUE", + "ALIGN_PCOMMA_VALUE": "0101111100", + "A_RXOSCALRESET": "0", + "CBCC_DATA_SOURCE_SEL": "DECODED", + "CFOK_CFG": "100100100000000000000001000000111010000000", + "CFOK_CFG2": "100000", + "CFOK_CFG3": "100000", + "CHAN_BOND_KEEP_ALIGN": "FALSE", + "CHAN_BOND_MAX_SKEW": "00000000000000000000000000000111", + "CHAN_BOND_SEQ_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2": "0000000000", + "CHAN_BOND_SEQ_1_3": "0000000000", + "CHAN_BOND_SEQ_1_4": "0000000000", + "CHAN_BOND_SEQ_1_ENABLE": "1111", + "CHAN_BOND_SEQ_2_1": "0100000000", + "CHAN_BOND_SEQ_2_2": "0100000000", + "CHAN_BOND_SEQ_2_3": "0100000000", + "CHAN_BOND_SEQ_2_4": "0100000000", + "CHAN_BOND_SEQ_2_ENABLE": "1111", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000001", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_KEEP_IDLE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE": "TRUE", + "CLK_COR_REPEAT_WAIT": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1": "0100011100", + "CLK_COR_SEQ_1_2": "0000000000", + "CLK_COR_SEQ_1_3": "0000000000", + "CLK_COR_SEQ_1_4": "0000000000", + "CLK_COR_SEQ_1_ENABLE": "1111", + "CLK_COR_SEQ_2_1": "0100000000", + "CLK_COR_SEQ_2_2": "0100000000", + "CLK_COR_SEQ_2_3": "0100000000", + "CLK_COR_SEQ_2_4": "0100000000", + "CLK_COR_SEQ_2_ENABLE": "1111", + "CLK_COR_SEQ_2_USE": "FALSE", + "CLK_COR_SEQ_LEN": "00000000000000000000000000000001", + "CPLL_CFG": "00000101111000000011111011100", + "CPLL_FBDIV": "00000000000000000000000000000100", + "CPLL_FBDIV_45": "00000000000000000000000000000101", + "CPLL_INIT_CFG": "000000000000000000011110", + "CPLL_LOCK_CFG": "0000000111101000", + "CPLL_REFCLK_DIV": "00000000000000000000000000000001", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DMONITOR_CFG": "000000000000101000000000", + "ES_CLK_PHASE_SEL": "0", + "ES_CONTROL": "000000", + "ES_ERRDET_EN": "FALSE", + "ES_EYE_SCAN_EN": "TRUE", + "ES_HORZ_OFFSET": "000000000000", + "ES_PMA_CFG": "0000000000", + "ES_PRESCALE": "00000", + "ES_QUALIFIER": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_QUAL_MASK": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_SDATA_MASK": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_VERT_OFFSET": "000000000", + "FTS_DESKEW_SEQ_ENABLE": "1111", + "FTS_LANE_DESKEW_CFG": "1111", + "FTS_LANE_DESKEW_EN": "FALSE", + "GEARBOX_MODE": "000", + "IS_CLKRSVD0_INVERTED": "0", + "IS_CLKRSVD1_INVERTED": "0", + "IS_CPLLLOCKDETCLK_INVERTED": "0", + "IS_DMONITORCLK_INVERTED": "0", + "IS_DRPCLK_INVERTED": "0", + "IS_GTGREFCLK_INVERTED": "0", + "IS_RXUSRCLK2_INVERTED": "0", + "IS_RXUSRCLK_INVERTED": "0", + "IS_SIGVALIDCLK_INVERTED": "0", + "IS_TXPHDLYTSTCLK_INVERTED": "0", + "IS_TXUSRCLK2_INVERTED": "0", + "IS_TXUSRCLK_INVERTED": "0", + "LOOPBACK_CFG": "0", + "OUTREFCLK_SEL_INV": "11", + "PCS_PCIE_EN": "FALSE", + "PCS_RSVD_ATTR": "000000000000000000000000000000000000000000000000", + "PD_TRANS_TIME_FROM_P2": "000000111100", + "PD_TRANS_TIME_NONE_P2": "00011001", + "PD_TRANS_TIME_TO_P2": "01100100", + "PMA_RSV": "00000000000000000000000010000000", + "PMA_RSV2": "00011100000000000000000000001010", + "PMA_RSV3": "00", + "PMA_RSV4": "000000000001000", + "PMA_RSV5": "0000", + "RESET_POWERSAVE_DISABLE": "0", + "RXBUFRESET_TIME": "00001", + "RXBUF_ADDR_MODE": "FULL", + "RXBUF_EIDLE_HI_CNT": "1000", + "RXBUF_EIDLE_LO_CNT": "0000", + "RXBUF_EN": "TRUE", + "RXBUF_RESET_ON_CB_CHANGE": "TRUE", + "RXBUF_RESET_ON_COMMAALIGN": "FALSE", + "RXBUF_RESET_ON_EIDLE": "FALSE", + "RXBUF_RESET_ON_RATE_CHANGE": "TRUE", + "RXBUF_THRESH_OVFLW": "00000000000000000000000000111101", + "RXBUF_THRESH_OVRD": "FALSE", + "RXBUF_THRESH_UNDFLW": "00000000000000000000000000000100", + "RXCDRFREQRESET_TIME": "00001", + "RXCDRPHRESET_TIME": "00001", + "RXCDR_CFG": "00000000000001000000000011111111110001000000000000011000010000010000000000000011010", + "RXCDR_FR_RESET_ON_EIDLE": "0", + "RXCDR_HOLD_DURING_EIDLE": "0", + "RXCDR_LOCK_CFG": "001001", + "RXCDR_PH_RESET_ON_EIDLE": "0", + "RXDFELPMRESET_TIME": "0001111", + "RXDLY_CFG": "0000000000011111", + "RXDLY_LCFG": "000110000", + "RXDLY_TAP_CFG": "0000000000000000", + "RXGEARBOX_EN": "FALSE", + "RXISCANRESET_TIME": "00001", + "RXLPM_HF_CFG": "00001000000000", + "RXLPM_LF_CFG": "001001000000000000", + "RXOOB_CFG": "0000110", + "RXOOB_CLK_CFG": "PMA", + "RXOSCALRESET_TIME": "00011", + "RXOSCALRESET_TIMEOUT": "00000", + "RXOUT_DIV": "00000000000000000000000000000010", + "RXPCSRESET_TIME": "00001", + "RXPHDLY_CFG": "000010000100000000100000", + "RXPH_CFG": "110000000000000000000010", + "RXPH_MONITOR_SEL": "00000", + "RXPI_CFG0": "00", + "RXPI_CFG1": "00", + "RXPI_CFG2": "00", + "RXPI_CFG3": "00", + "RXPI_CFG4": "0", + "RXPI_CFG5": "0", + "RXPI_CFG6": "100", + "RXPMARESET_TIME": "00011", + "RXPRBS_ERR_LOOPBACK": "0", + "RXSLIDE_AUTO_WAIT": "00000000000000000000000000000111", + "RXSLIDE_MODE": "OFF", + "RXSYNC_MULTILANE": "0", + "RXSYNC_OVRD": "0", + "RXSYNC_SKIP_DA": "0", + "RX_BIAS_CFG": "000011000000000000010000", + "RX_BUFFER_CFG": "000000", + "RX_CLK25_DIV": "00000000000000000000000000000111", + "RX_CLKMUX_PD": "1", + "RX_CM_SEL": "11", + "RX_CM_TRIM": "0100", + "RX_DATA_WIDTH": "00000000000000000000000000010100", + "RX_DDI_SEL": "000000", + "RX_DEBUG_CFG": "00000000000000", + "RX_DEFER_RESET_BUF_EN": "TRUE", + "RX_DFELPM_CFG0": "0110", + "RX_DFELPM_CFG1": "0", + "RX_DFELPM_KLKH_AGC_STUP_EN": "1", + "RX_DFE_AGC_CFG0": "00", + "RX_DFE_AGC_CFG1": "010", + "RX_DFE_AGC_CFG2": "0000", + "RX_DFE_AGC_OVRDEN": "1", + "RX_DFE_GAIN_CFG": "00000000010000011000000", + "RX_DFE_H2_CFG": "000000000000", + "RX_DFE_H3_CFG": "000001000000", + "RX_DFE_H4_CFG": "00011100000", + "RX_DFE_H5_CFG": "00011100000", + "RX_DFE_H6_CFG": "00000100000", + "RX_DFE_H7_CFG": "00000100000", + "RX_DFE_KL_CFG": "000000000000000000000001100010000", + "RX_DFE_KL_LPM_KH_CFG0": "01", + "RX_DFE_KL_LPM_KH_CFG1": "010", + "RX_DFE_KL_LPM_KH_CFG2": "0010", + "RX_DFE_KL_LPM_KH_OVRDEN": "1", + "RX_DFE_KL_LPM_KL_CFG0": "10", + "RX_DFE_KL_LPM_KL_CFG1": "010", + "RX_DFE_KL_LPM_KL_CFG2": "0010", + "RX_DFE_KL_LPM_KL_OVRDEN": "1", + "RX_DFE_LPM_CFG": "0000000010000000", + "RX_DFE_LPM_HOLD_DURING_EIDLE": "0", + "RX_DFE_ST_CFG": "000000111000010000000000000000000011000000000000111111", + "RX_DFE_UT_CFG": "00011100000000000", + "RX_DFE_VP_CFG": "00011101010100011", + "RX_DISPERR_SEQ_MATCH": "TRUE", + "RX_INT_DATAWIDTH": "00000000000000000000000000000000", + "RX_OS_CFG": "0000010000000", + "RX_SIG_VALID_DLY": "00000000000000000000000000001010", + "RX_XCLK_SEL": "RXREC", + "SAS_MAX_COM": "00000000000000000000000001000000", + "SAS_MIN_COM": "00000000000000000000000000100100", + "SATA_BURST_SEQ_LEN": "1111", + "SATA_BURST_VAL": "100", + "SATA_CPLL_CFG": "VCO_3000MHZ", + "SATA_EIDLE_VAL": "100", + "SATA_MAX_BURST": "00000000000000000000000000001000", + "SATA_MAX_INIT": "00000000000000000000000000010101", + "SATA_MAX_WAKE": "00000000000000000000000000000111", + "SATA_MIN_BURST": "00000000000000000000000000000100", + "SATA_MIN_INIT": "00000000000000000000000000001100", + "SATA_MIN_WAKE": "00000000000000000000000000000100", + "SHOW_REALIGN_COMMA": "TRUE", + "SIM_CPLLREFCLK_SEL": "001", + "SIM_RECEIVER_DETECT_PASS": "TRUE", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_TX_EIDLE_DRIVE_LEVEL": "X", + "SIM_VERSION": "1.1", + "TERM_RCAL_CFG": "100001000010000", + "TERM_RCAL_OVRD": "000", + "TRANS_TIME_RATE": "00001110", + "TST_RSV": "00000000000000000000000000000000", + "TXBUF_EN": "TRUE", + "TXBUF_RESET_ON_RATE_CHANGE": "FALSE", + "TXDLY_CFG": "0000000000011111", + "TXDLY_LCFG": "000110000", + "TXDLY_TAP_CFG": "0000000000000000", + "TXGEARBOX_EN": "FALSE", + "TXOOB_CFG": "0", + "TXOUT_DIV": "00000000000000000000000000000010", + "TXPCSRESET_TIME": "00001", + "TXPHDLY_CFG": "000010000100000000100000", + "TXPH_CFG": "0000011110000000", + "TXPH_MONITOR_SEL": "00000", + "TXPI_CFG0": "00", + "TXPI_CFG1": "00", + "TXPI_CFG2": "00", + "TXPI_CFG3": "0", + "TXPI_CFG4": "0", + "TXPI_CFG5": "100", + "TXPI_GREY_SEL": "0", + "TXPI_INVSTROBE_SEL": "0", + "TXPI_PPMCLK_SEL": "TXUSRCLK2", + "TXPI_PPM_CFG": "00000000", + "TXPI_SYNFREQ_PPM": "000", + "TXPMARESET_TIME": "00001", + "TXSYNC_MULTILANE": "0", + "TXSYNC_OVRD": "0", + "TXSYNC_SKIP_DA": "0", + "TX_CLK25_DIV": "00000000000000000000000000000111", + "TX_CLKMUX_PD": "1", + "TX_DATA_WIDTH": "00000000000000000000000000010100", + "TX_DEEMPH0": "000000", + "TX_DEEMPH1": "000000", + "TX_DRIVE_MODE": "DIRECT", + "TX_EIDLE_ASSERT_DELAY": "110", + "TX_EIDLE_DEASSERT_DELAY": "100", + "TX_INT_DATAWIDTH": "00000000000000000000000000000000", + "TX_LOOPBACK_DRIVE_HIZ": "FALSE", + "TX_MAINCURSOR_SEL": "0", + "TX_MARGIN_FULL_0": "1001110", + "TX_MARGIN_FULL_1": "1001001", + "TX_MARGIN_FULL_2": "1000101", + "TX_MARGIN_FULL_3": "1000010", + "TX_MARGIN_FULL_4": "1000000", + "TX_MARGIN_LOW_0": "1000110", + "TX_MARGIN_LOW_1": "1000100", + "TX_MARGIN_LOW_2": "1000010", + "TX_MARGIN_LOW_3": "1000000", + "TX_MARGIN_LOW_4": "1000000", + "TX_QPI_STATUS_EN": "0", + "TX_RXDETECT_CFG": "01100000110010", + "TX_RXDETECT_PRECHARGE_TIME": "00000000000000000", + "TX_RXDETECT_REF": "100", + "TX_XCLK_SEL": "TXUSR", + "UCODEER_CLR": "0", + "USE_PCS_CLK_PHASE_SEL": "0" + }, + "ports": { + "CPLLFBCLKLOST": { + "direction": "output", + "bits": [ 2 ] + }, + "CPLLLOCK": { + "direction": "output", + "bits": [ 3 ] + }, + "CPLLREFCLKLOST": { + "direction": "output", + "bits": [ 4 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 5 ] + }, + "EYESCANDATAERROR": { + "direction": "output", + "bits": [ 6 ] + }, + "GTHTXN": { + "direction": "output", + "bits": [ 7 ] + }, + "GTHTXP": { + "direction": "output", + "bits": [ 8 ] + }, + "GTREFCLKMONITOR": { + "direction": "output", + "bits": [ 9 ] + }, + "PHYSTATUS": { + "direction": "output", + "bits": [ 10 ] + }, + "RSOSINTDONE": { + "direction": "output", + "bits": [ 11 ] + }, + "RXBYTEISALIGNED": { + "direction": "output", + "bits": [ 12 ] + }, + "RXBYTEREALIGN": { + "direction": "output", + "bits": [ 13 ] + }, + "RXCDRLOCK": { + "direction": "output", + "bits": [ 14 ] + }, + "RXCHANBONDSEQ": { + "direction": "output", + "bits": [ 15 ] + }, + "RXCHANISALIGNED": { + "direction": "output", + "bits": [ 16 ] + }, + "RXCHANREALIGN": { + "direction": "output", + "bits": [ 17 ] + }, + "RXCOMINITDET": { + "direction": "output", + "bits": [ 18 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 19 ] + }, + "RXCOMSASDET": { + "direction": "output", + "bits": [ 20 ] + }, + "RXCOMWAKEDET": { + "direction": "output", + "bits": [ 21 ] + }, + "RXDFESLIDETAPSTARTED": { + "direction": "output", + "bits": [ 22 ] + }, + "RXDFESLIDETAPSTROBEDONE": { + "direction": "output", + "bits": [ 23 ] + }, + "RXDFESLIDETAPSTROBESTARTED": { + "direction": "output", + "bits": [ 24 ] + }, + "RXDFESTADAPTDONE": { + "direction": "output", + "bits": [ 25 ] + }, + "RXDLYSRESETDONE": { + "direction": "output", + "bits": [ 26 ] + }, + "RXELECIDLE": { + "direction": "output", + "bits": [ 27 ] + }, + "RXOSINTSTARTED": { + "direction": "output", + "bits": [ 28 ] + }, + "RXOSINTSTROBEDONE": { + "direction": "output", + "bits": [ 29 ] + }, + "RXOSINTSTROBESTARTED": { + "direction": "output", + "bits": [ 30 ] + }, + "RXOUTCLK": { + "direction": "output", + "bits": [ 31 ] + }, + "RXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 32 ] + }, + "RXOUTCLKPCS": { + "direction": "output", + "bits": [ 33 ] + }, + "RXPHALIGNDONE": { + "direction": "output", + "bits": [ 34 ] + }, + "RXPMARESETDONE": { + "direction": "output", + "bits": [ 35 ] + }, + "RXPRBSERR": { + "direction": "output", + "bits": [ 36 ] + }, + "RXQPISENN": { + "direction": "output", + "bits": [ 37 ] + }, + "RXQPISENP": { + "direction": "output", + "bits": [ 38 ] + }, + "RXRATEDONE": { + "direction": "output", + "bits": [ 39 ] + }, + "RXRESETDONE": { + "direction": "output", + "bits": [ 40 ] + }, + "RXSYNCDONE": { + "direction": "output", + "bits": [ 41 ] + }, + "RXSYNCOUT": { + "direction": "output", + "bits": [ 42 ] + }, + "RXVALID": { + "direction": "output", + "bits": [ 43 ] + }, + "TXCOMFINISH": { + "direction": "output", + "bits": [ 44 ] + }, + "TXDLYSRESETDONE": { + "direction": "output", + "bits": [ 45 ] + }, + "TXGEARBOXREADY": { + "direction": "output", + "bits": [ 46 ] + }, + "TXOUTCLK": { + "direction": "output", + "bits": [ 47 ] + }, + "TXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 48 ] + }, + "TXOUTCLKPCS": { + "direction": "output", + "bits": [ 49 ] + }, + "TXPHALIGNDONE": { + "direction": "output", + "bits": [ 50 ] + }, + "TXPHINITDONE": { + "direction": "output", + "bits": [ 51 ] + }, + "TXPMARESETDONE": { + "direction": "output", + "bits": [ 52 ] + }, + "TXQPISENN": { + "direction": "output", + "bits": [ 53 ] + }, + "TXQPISENP": { + "direction": "output", + "bits": [ 54 ] + }, + "TXRATEDONE": { + "direction": "output", + "bits": [ 55 ] + }, + "TXRESETDONE": { + "direction": "output", + "bits": [ 56 ] + }, + "TXSYNCDONE": { + "direction": "output", + "bits": [ 57 ] + }, + "TXSYNCOUT": { + "direction": "output", + "bits": [ 58 ] + }, + "DMONITOROUT": { + "direction": "output", + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89 ] + }, + "PCSRSVDOUT": { + "direction": "output", + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105 ] + }, + "RXCLKCORCNT": { + "direction": "output", + "bits": [ 106, 107 ] + }, + "RXDATAVALID": { + "direction": "output", + "bits": [ 108, 109 ] + }, + "RXHEADERVALID": { + "direction": "output", + "bits": [ 110, 111 ] + }, + "RXSTARTOFSEQ": { + "direction": "output", + "bits": [ 112, 113 ] + }, + "TXBUFSTATUS": { + "direction": "output", + "bits": [ 114, 115 ] + }, + "RXBUFSTATUS": { + "direction": "output", + "bits": [ 116, 117, 118 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 119, 120, 121 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 122, 123, 124, 125, 126 ] + }, + "RXPHMONITOR": { + "direction": "output", + "bits": [ 127, 128, 129, 130, 131 ] + }, + "RXPHSLIPMONITOR": { + "direction": "output", + "bits": [ 132, 133, 134, 135, 136 ] + }, + "RXHEADER": { + "direction": "output", + "bits": [ 137, 138, 139, 140, 141, 142 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206 ] + }, + "RXMONITOROUT": { + "direction": "output", + "bits": [ 207, 208, 209, 210, 211, 212, 213 ] + }, + "RXCHARISCOMMA": { + "direction": "output", + "bits": [ 214, 215, 216, 217, 218, 219, 220, 221 ] + }, + "RXCHARISK": { + "direction": "output", + "bits": [ 222, 223, 224, 225, 226, 227, 228, 229 ] + }, + "RXDISPERR": { + "direction": "output", + "bits": [ 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "RXNOTINTABLE": { + "direction": "output", + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245 ] + }, + "CFGRESET": { + "direction": "input", + "bits": [ 246 ] + }, + "CLKRSVD0": { + "direction": "input", + "bits": [ 247 ] + }, + "CLKRSVD1": { + "direction": "input", + "bits": [ 248 ] + }, + "CPLLLOCKDETCLK": { + "direction": "input", + "bits": [ 249 ] + }, + "CPLLLOCKEN": { + "direction": "input", + "bits": [ 250 ] + }, + "CPLLPD": { + "direction": "input", + "bits": [ 251 ] + }, + "CPLLRESET": { + "direction": "input", + "bits": [ 252 ] + }, + "DMONFIFORESET": { + "direction": "input", + "bits": [ 253 ] + }, + "DMONITORCLK": { + "direction": "input", + "bits": [ 254 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 255 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 256 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 257 ] + }, + "EYESCANMODE": { + "direction": "input", + "bits": [ 258 ] + }, + "EYESCANRESET": { + "direction": "input", + "bits": [ 259 ] + }, + "EYESCANTRIGGER": { + "direction": "input", + "bits": [ 260 ] + }, + "GTGREFCLK": { + "direction": "input", + "bits": [ 261 ] + }, + "GTHRXN": { + "direction": "input", + "bits": [ 262 ] + }, + "GTHRXP": { + "direction": "input", + "bits": [ 263 ] + }, + "GTNORTHREFCLK0": { + "direction": "input", + "bits": [ 264 ] + }, + "GTNORTHREFCLK1": { + "direction": "input", + "bits": [ 265 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 266 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 267 ] + }, + "GTRESETSEL": { + "direction": "input", + "bits": [ 268 ] + }, + "GTRXRESET": { + "direction": "input", + "bits": [ 269 ] + }, + "GTSOUTHREFCLK0": { + "direction": "input", + "bits": [ 270 ] + }, + "GTSOUTHREFCLK1": { + "direction": "input", + "bits": [ 271 ] + }, + "GTTXRESET": { + "direction": "input", + "bits": [ 272 ] + }, + "QPLLCLK": { + "direction": "input", + "bits": [ 273 ] + }, + "QPLLREFCLK": { + "direction": "input", + "bits": [ 274 ] + }, + "RESETOVRD": { + "direction": "input", + "bits": [ 275 ] + }, + "RX8B10BEN": { + "direction": "input", + "bits": [ 276 ] + }, + "RXBUFRESET": { + "direction": "input", + "bits": [ 277 ] + }, + "RXCDRFREQRESET": { + "direction": "input", + "bits": [ 278 ] + }, + "RXCDRHOLD": { + "direction": "input", + "bits": [ 279 ] + }, + "RXCDROVRDEN": { + "direction": "input", + "bits": [ 280 ] + }, + "RXCDRRESET": { + "direction": "input", + "bits": [ 281 ] + }, + "RXCDRRESETRSV": { + "direction": "input", + "bits": [ 282 ] + }, + "RXCHBONDEN": { + "direction": "input", + "bits": [ 283 ] + }, + "RXCHBONDMASTER": { + "direction": "input", + "bits": [ 284 ] + }, + "RXCHBONDSLAVE": { + "direction": "input", + "bits": [ 285 ] + }, + "RXCOMMADETEN": { + "direction": "input", + "bits": [ 286 ] + }, + "RXDDIEN": { + "direction": "input", + "bits": [ 287 ] + }, + "RXDFEAGCHOLD": { + "direction": "input", + "bits": [ 288 ] + }, + "RXDFEAGCOVRDEN": { + "direction": "input", + "bits": [ 289 ] + }, + "RXDFECM1EN": { + "direction": "input", + "bits": [ 290 ] + }, + "RXDFELFHOLD": { + "direction": "input", + "bits": [ 291 ] + }, + "RXDFELFOVRDEN": { + "direction": "input", + "bits": [ 292 ] + }, + "RXDFELPMRESET": { + "direction": "input", + "bits": [ 293 ] + }, + "RXDFESLIDETAPADAPTEN": { + "direction": "input", + "bits": [ 294 ] + }, + "RXDFESLIDETAPHOLD": { + "direction": "input", + "bits": [ 295 ] + }, + "RXDFESLIDETAPINITOVRDEN": { + "direction": "input", + "bits": [ 296 ] + }, + "RXDFESLIDETAPONLYADAPTEN": { + "direction": "input", + "bits": [ 297 ] + }, + "RXDFESLIDETAPOVRDEN": { + "direction": "input", + "bits": [ 298 ] + }, + "RXDFESLIDETAPSTROBE": { + "direction": "input", + "bits": [ 299 ] + }, + "RXDFETAP2HOLD": { + "direction": "input", + "bits": [ 300 ] + }, + "RXDFETAP2OVRDEN": { + "direction": "input", + "bits": [ 301 ] + }, + "RXDFETAP3HOLD": { + "direction": "input", + "bits": [ 302 ] + }, + "RXDFETAP3OVRDEN": { + "direction": "input", + "bits": [ 303 ] + }, + "RXDFETAP4HOLD": { + "direction": "input", + "bits": [ 304 ] + }, + "RXDFETAP4OVRDEN": { + "direction": "input", + "bits": [ 305 ] + }, + "RXDFETAP5HOLD": { + "direction": "input", + "bits": [ 306 ] + }, + "RXDFETAP5OVRDEN": { + "direction": "input", + "bits": [ 307 ] + }, + "RXDFETAP6HOLD": { + "direction": "input", + "bits": [ 308 ] + }, + "RXDFETAP6OVRDEN": { + "direction": "input", + "bits": [ 309 ] + }, + "RXDFETAP7HOLD": { + "direction": "input", + "bits": [ 310 ] + }, + "RXDFETAP7OVRDEN": { + "direction": "input", + "bits": [ 311 ] + }, + "RXDFEUTHOLD": { + "direction": "input", + "bits": [ 312 ] + }, + "RXDFEUTOVRDEN": { + "direction": "input", + "bits": [ 313 ] + }, + "RXDFEVPHOLD": { + "direction": "input", + "bits": [ 314 ] + }, + "RXDFEVPOVRDEN": { + "direction": "input", + "bits": [ 315 ] + }, + "RXDFEVSEN": { + "direction": "input", + "bits": [ 316 ] + }, + "RXDFEXYDEN": { + "direction": "input", + "bits": [ 317 ] + }, + "RXDLYBYPASS": { + "direction": "input", + "bits": [ 318 ] + }, + "RXDLYEN": { + "direction": "input", + "bits": [ 319 ] + }, + "RXDLYOVRDEN": { + "direction": "input", + "bits": [ 320 ] + }, + "RXDLYSRESET": { + "direction": "input", + "bits": [ 321 ] + }, + "RXGEARBOXSLIP": { + "direction": "input", + "bits": [ 322 ] + }, + "RXLPMEN": { + "direction": "input", + "bits": [ 323 ] + }, + "RXLPMHFHOLD": { + "direction": "input", + "bits": [ 324 ] + }, + "RXLPMHFOVRDEN": { + "direction": "input", + "bits": [ 325 ] + }, + "RXLPMLFHOLD": { + "direction": "input", + "bits": [ 326 ] + }, + "RXLPMLFKLOVRDEN": { + "direction": "input", + "bits": [ 327 ] + }, + "RXMCOMMAALIGNEN": { + "direction": "input", + "bits": [ 328 ] + }, + "RXOOBRESET": { + "direction": "input", + "bits": [ 329 ] + }, + "RXOSCALRESET": { + "direction": "input", + "bits": [ 330 ] + }, + "RXOSHOLD": { + "direction": "input", + "bits": [ 331 ] + }, + "RXOSINTEN": { + "direction": "input", + "bits": [ 332 ] + }, + "RXOSINTHOLD": { + "direction": "input", + "bits": [ 333 ] + }, + "RXOSINTNTRLEN": { + "direction": "input", + "bits": [ 334 ] + }, + "RXOSINTOVRDEN": { + "direction": "input", + "bits": [ 335 ] + }, + "RXOSINTSTROBE": { + "direction": "input", + "bits": [ 336 ] + }, + "RXOSINTTESTOVRDEN": { + "direction": "input", + "bits": [ 337 ] + }, + "RXOSOVRDEN": { + "direction": "input", + "bits": [ 338 ] + }, + "RXPCOMMAALIGNEN": { + "direction": "input", + "bits": [ 339 ] + }, + "RXPCSRESET": { + "direction": "input", + "bits": [ 340 ] + }, + "RXPHALIGN": { + "direction": "input", + "bits": [ 341 ] + }, + "RXPHALIGNEN": { + "direction": "input", + "bits": [ 342 ] + }, + "RXPHDLYPD": { + "direction": "input", + "bits": [ 343 ] + }, + "RXPHDLYRESET": { + "direction": "input", + "bits": [ 344 ] + }, + "RXPHOVRDEN": { + "direction": "input", + "bits": [ 345 ] + }, + "RXPMARESET": { + "direction": "input", + "bits": [ 346 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 347 ] + }, + "RXPRBSCNTRESET": { + "direction": "input", + "bits": [ 348 ] + }, + "RXQPIEN": { + "direction": "input", + "bits": [ 349 ] + }, + "RXRATEMODE": { + "direction": "input", + "bits": [ 350 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 351 ] + }, + "RXSYNCALLIN": { + "direction": "input", + "bits": [ 352 ] + }, + "RXSYNCIN": { + "direction": "input", + "bits": [ 353 ] + }, + "RXSYNCMODE": { + "direction": "input", + "bits": [ 354 ] + }, + "RXUSERRDY": { + "direction": "input", + "bits": [ 355 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 356 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 357 ] + }, + "SETERRSTATUS": { + "direction": "input", + "bits": [ 358 ] + }, + "SIGVALIDCLK": { + "direction": "input", + "bits": [ 359 ] + }, + "TX8B10BEN": { + "direction": "input", + "bits": [ 360 ] + }, + "TXCOMINIT": { + "direction": "input", + "bits": [ 361 ] + }, + "TXCOMSAS": { + "direction": "input", + "bits": [ 362 ] + }, + "TXCOMWAKE": { + "direction": "input", + "bits": [ 363 ] + }, + "TXDEEMPH": { + "direction": "input", + "bits": [ 364 ] + }, + "TXDETECTRX": { + "direction": "input", + "bits": [ 365 ] + }, + "TXDIFFPD": { + "direction": "input", + "bits": [ 366 ] + }, + "TXDLYBYPASS": { + "direction": "input", + "bits": [ 367 ] + }, + "TXDLYEN": { + "direction": "input", + "bits": [ 368 ] + }, + "TXDLYHOLD": { + "direction": "input", + "bits": [ 369 ] + }, + "TXDLYOVRDEN": { + "direction": "input", + "bits": [ 370 ] + }, + "TXDLYSRESET": { + "direction": "input", + "bits": [ 371 ] + }, + "TXDLYUPDOWN": { + "direction": "input", + "bits": [ 372 ] + }, + "TXELECIDLE": { + "direction": "input", + "bits": [ 373 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 374 ] + }, + "TXPCSRESET": { + "direction": "input", + "bits": [ 375 ] + }, + "TXPDELECIDLEMODE": { + "direction": "input", + "bits": [ 376 ] + }, + "TXPHALIGN": { + "direction": "input", + "bits": [ 377 ] + }, + "TXPHALIGNEN": { + "direction": "input", + "bits": [ 378 ] + }, + "TXPHDLYPD": { + "direction": "input", + "bits": [ 379 ] + }, + "TXPHDLYRESET": { + "direction": "input", + "bits": [ 380 ] + }, + "TXPHDLYTSTCLK": { + "direction": "input", + "bits": [ 381 ] + }, + "TXPHINIT": { + "direction": "input", + "bits": [ 382 ] + }, + "TXPHOVRDEN": { + "direction": "input", + "bits": [ 383 ] + }, + "TXPIPPMEN": { + "direction": "input", + "bits": [ 384 ] + }, + "TXPIPPMOVRDEN": { + "direction": "input", + "bits": [ 385 ] + }, + "TXPIPPMPD": { + "direction": "input", + "bits": [ 386 ] + }, + "TXPIPPMSEL": { + "direction": "input", + "bits": [ 387 ] + }, + "TXPISOPD": { + "direction": "input", + "bits": [ 388 ] + }, + "TXPMARESET": { + "direction": "input", + "bits": [ 389 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 390 ] + }, + "TXPOSTCURSORINV": { + "direction": "input", + "bits": [ 391 ] + }, + "TXPRBSFORCEERR": { + "direction": "input", + "bits": [ 392 ] + }, + "TXPRECURSORINV": { + "direction": "input", + "bits": [ 393 ] + }, + "TXQPIBIASEN": { + "direction": "input", + "bits": [ 394 ] + }, + "TXQPISTRONGPDOWN": { + "direction": "input", + "bits": [ 395 ] + }, + "TXQPIWEAKPUP": { + "direction": "input", + "bits": [ 396 ] + }, + "TXRATEMODE": { + "direction": "input", + "bits": [ 397 ] + }, + "TXSTARTSEQ": { + "direction": "input", + "bits": [ 398 ] + }, + "TXSWING": { + "direction": "input", + "bits": [ 399 ] + }, + "TXSYNCALLIN": { + "direction": "input", + "bits": [ 400 ] + }, + "TXSYNCIN": { + "direction": "input", + "bits": [ 401 ] + }, + "TXSYNCMODE": { + "direction": "input", + "bits": [ 402 ] + }, + "TXUSERRDY": { + "direction": "input", + "bits": [ 403 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 404 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 405 ] + }, + "RXADAPTSELTEST": { + "direction": "input", + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435 ] + }, + "GTRSVD": { + "direction": "input", + "bits": [ 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451 ] + }, + "PCSRSVDIN": { + "direction": "input", + "bits": [ 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467 ] + }, + "TSTIN": { + "direction": "input", + "bits": [ 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487 ] + }, + "RXELECIDLEMODE": { + "direction": "input", + "bits": [ 488, 489 ] + }, + "RXMONITORSEL": { + "direction": "input", + "bits": [ 490, 491 ] + }, + "RXPD": { + "direction": "input", + "bits": [ 492, 493 ] + }, + "RXSYSCLKSEL": { + "direction": "input", + "bits": [ 494, 495 ] + }, + "TXPD": { + "direction": "input", + "bits": [ 496, 497 ] + }, + "TXSYSCLKSEL": { + "direction": "input", + "bits": [ 498, 499 ] + }, + "CPLLREFCLKSEL": { + "direction": "input", + "bits": [ 500, 501, 502 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 503, 504, 505 ] + }, + "RXCHBONDLEVEL": { + "direction": "input", + "bits": [ 506, 507, 508 ] + }, + "RXOUTCLKSEL": { + "direction": "input", + "bits": [ 509, 510, 511 ] + }, + "RXPRBSSEL": { + "direction": "input", + "bits": [ 512, 513, 514 ] + }, + "RXRATE": { + "direction": "input", + "bits": [ 515, 516, 517 ] + }, + "TXBUFDIFFCTRL": { + "direction": "input", + "bits": [ 518, 519, 520 ] + }, + "TXHEADER": { + "direction": "input", + "bits": [ 521, 522, 523 ] + }, + "TXMARGIN": { + "direction": "input", + "bits": [ 524, 525, 526 ] + }, + "TXOUTCLKSEL": { + "direction": "input", + "bits": [ 527, 528, 529 ] + }, + "TXPRBSSEL": { + "direction": "input", + "bits": [ 530, 531, 532 ] + }, + "TXRATE": { + "direction": "input", + "bits": [ 533, 534, 535 ] + }, + "RXOSINTCFG": { + "direction": "input", + "bits": [ 536, 537, 538, 539 ] + }, + "RXOSINTID0": { + "direction": "input", + "bits": [ 540, 541, 542, 543 ] + }, + "TXDIFFCTRL": { + "direction": "input", + "bits": [ 544, 545, 546, 547 ] + }, + "PCSRSVDIN2": { + "direction": "input", + "bits": [ 548, 549, 550, 551, 552 ] + }, + "PMARSVDIN": { + "direction": "input", + "bits": [ 553, 554, 555, 556, 557 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 558, 559, 560, 561, 562 ] + }, + "RXDFEAGCTRL": { + "direction": "input", + "bits": [ 563, 564, 565, 566, 567 ] + }, + "RXDFESLIDETAP": { + "direction": "input", + "bits": [ 568, 569, 570, 571, 572 ] + }, + "TXPIPPMSTEPSIZE": { + "direction": "input", + "bits": [ 573, 574, 575, 576, 577 ] + }, + "TXPOSTCURSOR": { + "direction": "input", + "bits": [ 578, 579, 580, 581, 582 ] + }, + "TXPRECURSOR": { + "direction": "input", + "bits": [ 583, 584, 585, 586, 587 ] + }, + "RXDFESLIDETAPID": { + "direction": "input", + "bits": [ 588, 589, 590, 591, 592, 593 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657 ] + }, + "TXMAINCURSOR": { + "direction": "input", + "bits": [ 658, 659, 660, 661, 662, 663, 664 ] + }, + "TXSEQUENCE": { + "direction": "input", + "bits": [ 665, 666, 667, 668, 669, 670, 671 ] + }, + "TX8B10BBYPASS": { + "direction": "input", + "bits": [ 672, 673, 674, 675, 676, 677, 678, 679 ] + }, + "TXCHARDISPMODE": { + "direction": "input", + "bits": [ 680, 681, 682, 683, 684, 685, 686, 687 ] + }, + "TXCHARDISPVAL": { + "direction": "input", + "bits": [ 688, 689, 690, 691, 692, 693, 694, 695 ] + }, + "TXCHARISK": { + "direction": "input", + "bits": [ 696, 697, 698, 699, 700, 701, 702, 703 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 704, 705, 706, 707, 708, 709, 710, 711, 712 ] + } + }, + "cells": { + }, + "netnames": { + "CFGRESET": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13626.11-13626.19" + } + }, + "CLKRSVD0": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "invertible_pin": "IS_CLKRSVD0_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13628.11-13628.19" + } + }, + "CLKRSVD1": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "invertible_pin": "IS_CLKRSVD1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13630.11-13630.19" + } + }, + "CPLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13549.12-13549.25" + } + }, + "CPLLLOCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13550.12-13550.20" + } + }, + "CPLLLOCKDETCLK": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "invertible_pin": "IS_CPLLLOCKDETCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13632.11-13632.25" + } + }, + "CPLLLOCKEN": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13633.11-13633.21" + } + }, + "CPLLPD": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13634.11-13634.17" + } + }, + "CPLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13551.12-13551.26" + } + }, + "CPLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 500, 501, 502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13809.17-13809.30" + } + }, + "CPLLRESET": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13635.11-13635.20" + } + }, + "DMONFIFORESET": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13636.11-13636.24" + } + }, + "DMONITORCLK": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "invertible_pin": "IS_DMONITORCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13638.11-13638.22" + } + }, + "DMONITOROUT": { + "hide_name": 0, + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13606.19-13606.30" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 704, 705, 706, 707, 708, 709, 710, 711, 712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13840.17-13840.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "invertible_pin": "IS_DRPCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13640.11-13640.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13799.18-13799.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13607.19-13607.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13641.11-13641.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13552.12-13552.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13642.11-13642.16" + } + }, + "EYESCANDATAERROR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13553.12-13553.28" + } + }, + "EYESCANMODE": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13643.11-13643.22" + } + }, + "EYESCANRESET": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13644.11-13644.23" + } + }, + "EYESCANTRIGGER": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13645.11-13645.25" + } + }, + "GTGREFCLK": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "invertible_pin": "IS_GTGREFCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13647.11-13647.20" + } + }, + "GTHRXN": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13648.11-13648.17" + } + }, + "GTHRXP": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13649.11-13649.17" + } + }, + "GTHTXN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13554.12-13554.18" + } + }, + "GTHTXP": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13555.12-13555.18" + } + }, + "GTNORTHREFCLK0": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13650.11-13650.25" + } + }, + "GTNORTHREFCLK1": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13651.11-13651.25" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13652.11-13652.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13653.11-13653.20" + } + }, + "GTREFCLKMONITOR": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13556.12-13556.27" + } + }, + "GTRESETSEL": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13654.11-13654.21" + } + }, + "GTRSVD": { + "hide_name": 0, + "bits": [ 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13800.18-13800.24" + } + }, + "GTRXRESET": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13655.11-13655.20" + } + }, + "GTSOUTHREFCLK0": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13656.11-13656.25" + } + }, + "GTSOUTHREFCLK1": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13657.11-13657.25" + } + }, + "GTTXRESET": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13658.11-13658.20" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 503, 504, 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13810.17-13810.25" + } + }, + "PCSRSVDIN": { + "hide_name": 0, + "bits": [ 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13801.18-13801.27" + } + }, + "PCSRSVDIN2": { + "hide_name": 0, + "bits": [ 548, 549, 550, 551, 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13824.17-13824.27" + } + }, + "PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13608.19-13608.29" + } + }, + "PHYSTATUS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13557.12-13557.21" + } + }, + "PMARSVDIN": { + "hide_name": 0, + "bits": [ 553, 554, 555, 556, 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13825.17-13825.26" + } + }, + "QPLLCLK": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13659.11-13659.18" + } + }, + "QPLLREFCLK": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13660.11-13660.21" + } + }, + "RESETOVRD": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13661.11-13661.20" + } + }, + "RSOSINTDONE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13558.12-13558.23" + } + }, + "RX8B10BEN": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13662.11-13662.20" + } + }, + "RXADAPTSELTEST": { + "hide_name": 0, + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13798.18-13798.32" + } + }, + "RXBUFRESET": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13663.11-13663.21" + } + }, + "RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13614.18-13614.29" + } + }, + "RXBYTEISALIGNED": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13559.12-13559.27" + } + }, + "RXBYTEREALIGN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13560.12-13560.25" + } + }, + "RXCDRFREQRESET": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13664.11-13664.25" + } + }, + "RXCDRHOLD": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13665.11-13665.20" + } + }, + "RXCDRLOCK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13561.12-13561.21" + } + }, + "RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13666.11-13666.22" + } + }, + "RXCDRRESET": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13667.11-13667.21" + } + }, + "RXCDRRESETRSV": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13668.11-13668.24" + } + }, + "RXCHANBONDSEQ": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13562.12-13562.25" + } + }, + "RXCHANISALIGNED": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13563.12-13563.27" + } + }, + "RXCHANREALIGN": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13564.12-13564.25" + } + }, + "RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 214, 215, 216, 217, 218, 219, 220, 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13622.18-13622.31" + } + }, + "RXCHARISK": { + "hide_name": 0, + "bits": [ 222, 223, 224, 225, 226, 227, 228, 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13623.18-13623.27" + } + }, + "RXCHBONDEN": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13669.11-13669.21" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 558, 559, 560, 561, 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13826.17-13826.26" + } + }, + "RXCHBONDLEVEL": { + "hide_name": 0, + "bits": [ 506, 507, 508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13811.17-13811.30" + } + }, + "RXCHBONDMASTER": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13670.11-13670.25" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 122, 123, 124, 125, 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13616.18-13616.27" + } + }, + "RXCHBONDSLAVE": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13671.11-13671.24" + } + }, + "RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13609.18-13609.29" + } + }, + "RXCOMINITDET": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13565.12-13565.24" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13566.12-13566.22" + } + }, + "RXCOMMADETEN": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13672.11-13672.23" + } + }, + "RXCOMSASDET": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13567.12-13567.23" + } + }, + "RXCOMWAKEDET": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13568.12-13568.24" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13620.19-13620.25" + } + }, + "RXDATAVALID": { + "hide_name": 0, + "bits": [ 108, 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13610.18-13610.29" + } + }, + "RXDDIEN": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13673.11-13673.18" + } + }, + "RXDFEAGCHOLD": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13674.11-13674.23" + } + }, + "RXDFEAGCOVRDEN": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13675.11-13675.25" + } + }, + "RXDFEAGCTRL": { + "hide_name": 0, + "bits": [ 563, 564, 565, 566, 567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13827.17-13827.28" + } + }, + "RXDFECM1EN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13676.11-13676.21" + } + }, + "RXDFELFHOLD": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13677.11-13677.22" + } + }, + "RXDFELFOVRDEN": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13678.11-13678.24" + } + }, + "RXDFELPMRESET": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13679.11-13679.24" + } + }, + "RXDFESLIDETAP": { + "hide_name": 0, + "bits": [ 568, 569, 570, 571, 572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13828.17-13828.30" + } + }, + "RXDFESLIDETAPADAPTEN": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13680.11-13680.31" + } + }, + "RXDFESLIDETAPHOLD": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13681.11-13681.28" + } + }, + "RXDFESLIDETAPID": { + "hide_name": 0, + "bits": [ 588, 589, 590, 591, 592, 593 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13832.17-13832.32" + } + }, + "RXDFESLIDETAPINITOVRDEN": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13682.11-13682.34" + } + }, + "RXDFESLIDETAPONLYADAPTEN": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13683.11-13683.35" + } + }, + "RXDFESLIDETAPOVRDEN": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13684.11-13684.30" + } + }, + "RXDFESLIDETAPSTARTED": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13569.12-13569.32" + } + }, + "RXDFESLIDETAPSTROBE": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13685.11-13685.30" + } + }, + "RXDFESLIDETAPSTROBEDONE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13570.12-13570.35" + } + }, + "RXDFESLIDETAPSTROBESTARTED": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13571.12-13571.38" + } + }, + "RXDFESTADAPTDONE": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13572.12-13572.28" + } + }, + "RXDFETAP2HOLD": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13686.11-13686.24" + } + }, + "RXDFETAP2OVRDEN": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13687.11-13687.26" + } + }, + "RXDFETAP3HOLD": { + "hide_name": 0, + "bits": [ 302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13688.11-13688.24" + } + }, + "RXDFETAP3OVRDEN": { + "hide_name": 0, + "bits": [ 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13689.11-13689.26" + } + }, + "RXDFETAP4HOLD": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13690.11-13690.24" + } + }, + "RXDFETAP4OVRDEN": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13691.11-13691.26" + } + }, + "RXDFETAP5HOLD": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13692.11-13692.24" + } + }, + "RXDFETAP5OVRDEN": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13693.11-13693.26" + } + }, + "RXDFETAP6HOLD": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13694.11-13694.24" + } + }, + "RXDFETAP6OVRDEN": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13695.11-13695.26" + } + }, + "RXDFETAP7HOLD": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13696.11-13696.24" + } + }, + "RXDFETAP7OVRDEN": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13697.11-13697.26" + } + }, + "RXDFEUTHOLD": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13698.11-13698.22" + } + }, + "RXDFEUTOVRDEN": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13699.11-13699.24" + } + }, + "RXDFEVPHOLD": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13700.11-13700.22" + } + }, + "RXDFEVPOVRDEN": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13701.11-13701.24" + } + }, + "RXDFEVSEN": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13702.11-13702.20" + } + }, + "RXDFEXYDEN": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13703.11-13703.21" + } + }, + "RXDISPERR": { + "hide_name": 0, + "bits": [ 230, 231, 232, 233, 234, 235, 236, 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13624.18-13624.27" + } + }, + "RXDLYBYPASS": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13704.11-13704.22" + } + }, + "RXDLYEN": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13705.11-13705.18" + } + }, + "RXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13706.11-13706.22" + } + }, + "RXDLYSRESET": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13707.11-13707.22" + } + }, + "RXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13573.12-13573.27" + } + }, + "RXELECIDLE": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13574.12-13574.22" + } + }, + "RXELECIDLEMODE": { + "hide_name": 0, + "bits": [ 488, 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13803.17-13803.31" + } + }, + "RXGEARBOXSLIP": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13708.11-13708.24" + } + }, + "RXHEADER": { + "hide_name": 0, + "bits": [ 137, 138, 139, 140, 141, 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13619.18-13619.26" + } + }, + "RXHEADERVALID": { + "hide_name": 0, + "bits": [ 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13611.18-13611.31" + } + }, + "RXLPMEN": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13709.11-13709.18" + } + }, + "RXLPMHFHOLD": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13710.11-13710.22" + } + }, + "RXLPMHFOVRDEN": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13711.11-13711.24" + } + }, + "RXLPMLFHOLD": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13712.11-13712.22" + } + }, + "RXLPMLFKLOVRDEN": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13713.11-13713.26" + } + }, + "RXMCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13714.11-13714.26" + } + }, + "RXMONITOROUT": { + "hide_name": 0, + "bits": [ 207, 208, 209, 210, 211, 212, 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13621.18-13621.30" + } + }, + "RXMONITORSEL": { + "hide_name": 0, + "bits": [ 490, 491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13804.17-13804.29" + } + }, + "RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13625.18-13625.30" + } + }, + "RXOOBRESET": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13715.11-13715.21" + } + }, + "RXOSCALRESET": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13716.11-13716.23" + } + }, + "RXOSHOLD": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13717.11-13717.19" + } + }, + "RXOSINTCFG": { + "hide_name": 0, + "bits": [ 536, 537, 538, 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13821.17-13821.27" + } + }, + "RXOSINTEN": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13718.11-13718.20" + } + }, + "RXOSINTHOLD": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13719.11-13719.22" + } + }, + "RXOSINTID0": { + "hide_name": 0, + "bits": [ 540, 541, 542, 543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13822.17-13822.27" + } + }, + "RXOSINTNTRLEN": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13720.11-13720.24" + } + }, + "RXOSINTOVRDEN": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13721.11-13721.24" + } + }, + "RXOSINTSTARTED": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13575.12-13575.26" + } + }, + "RXOSINTSTROBE": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13722.11-13722.24" + } + }, + "RXOSINTSTROBEDONE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13576.12-13576.29" + } + }, + "RXOSINTSTROBESTARTED": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13577.12-13577.32" + } + }, + "RXOSINTTESTOVRDEN": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13723.11-13723.28" + } + }, + "RXOSOVRDEN": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13724.11-13724.21" + } + }, + "RXOUTCLK": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13578.12-13578.20" + } + }, + "RXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13579.12-13579.26" + } + }, + "RXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13580.12-13580.23" + } + }, + "RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 509, 510, 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13812.17-13812.28" + } + }, + "RXPCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13725.11-13725.26" + } + }, + "RXPCSRESET": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13726.11-13726.21" + } + }, + "RXPD": { + "hide_name": 0, + "bits": [ 492, 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13805.17-13805.21" + } + }, + "RXPHALIGN": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13727.11-13727.20" + } + }, + "RXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13581.12-13581.25" + } + }, + "RXPHALIGNEN": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13728.11-13728.22" + } + }, + "RXPHDLYPD": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13729.11-13729.20" + } + }, + "RXPHDLYRESET": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13730.11-13730.23" + } + }, + "RXPHMONITOR": { + "hide_name": 0, + "bits": [ 127, 128, 129, 130, 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13617.18-13617.29" + } + }, + "RXPHOVRDEN": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13731.11-13731.21" + } + }, + "RXPHSLIPMONITOR": { + "hide_name": 0, + "bits": [ 132, 133, 134, 135, 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13618.18-13618.33" + } + }, + "RXPMARESET": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13732.11-13732.21" + } + }, + "RXPMARESETDONE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13582.12-13582.26" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13733.11-13733.21" + } + }, + "RXPRBSCNTRESET": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13734.11-13734.25" + } + }, + "RXPRBSERR": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13583.12-13583.21" + } + }, + "RXPRBSSEL": { + "hide_name": 0, + "bits": [ 512, 513, 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13813.17-13813.26" + } + }, + "RXQPIEN": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13735.11-13735.18" + } + }, + "RXQPISENN": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13584.12-13584.21" + } + }, + "RXQPISENP": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13585.12-13585.21" + } + }, + "RXRATE": { + "hide_name": 0, + "bits": [ 515, 516, 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13814.17-13814.23" + } + }, + "RXRATEDONE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13586.12-13586.22" + } + }, + "RXRATEMODE": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13736.11-13736.21" + } + }, + "RXRESETDONE": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13587.12-13587.23" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13737.11-13737.18" + } + }, + "RXSTARTOFSEQ": { + "hide_name": 0, + "bits": [ 112, 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13612.18-13612.30" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 119, 120, 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13615.18-13615.26" + } + }, + "RXSYNCALLIN": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13738.11-13738.22" + } + }, + "RXSYNCDONE": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13588.12-13588.22" + } + }, + "RXSYNCIN": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13739.11-13739.19" + } + }, + "RXSYNCMODE": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13740.11-13740.21" + } + }, + "RXSYNCOUT": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13589.12-13589.21" + } + }, + "RXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 494, 495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13806.17-13806.28" + } + }, + "RXUSERRDY": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13741.11-13741.20" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "invertible_pin": "IS_RXUSRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13745.11-13745.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "invertible_pin": "IS_RXUSRCLK2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13743.11-13743.20" + } + }, + "RXVALID": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13590.12-13590.19" + } + }, + "SETERRSTATUS": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13746.11-13746.23" + } + }, + "SIGVALIDCLK": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "invertible_pin": "IS_SIGVALIDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13748.11-13748.22" + } + }, + "TSTIN": { + "hide_name": 0, + "bits": [ 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13802.18-13802.23" + } + }, + "TX8B10BBYPASS": { + "hide_name": 0, + "bits": [ 672, 673, 674, 675, 676, 677, 678, 679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13836.17-13836.30" + } + }, + "TX8B10BEN": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13749.11-13749.20" + } + }, + "TXBUFDIFFCTRL": { + "hide_name": 0, + "bits": [ 518, 519, 520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13815.17-13815.30" + } + }, + "TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 114, 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13613.18-13613.29" + } + }, + "TXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 680, 681, 682, 683, 684, 685, 686, 687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13837.17-13837.31" + } + }, + "TXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 688, 689, 690, 691, 692, 693, 694, 695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13838.17-13838.30" + } + }, + "TXCHARISK": { + "hide_name": 0, + "bits": [ 696, 697, 698, 699, 700, 701, 702, 703 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13839.17-13839.26" + } + }, + "TXCOMFINISH": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13591.12-13591.23" + } + }, + "TXCOMINIT": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13750.11-13750.20" + } + }, + "TXCOMSAS": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13751.11-13751.19" + } + }, + "TXCOMWAKE": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13752.11-13752.20" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13833.18-13833.24" + } + }, + "TXDEEMPH": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13753.11-13753.19" + } + }, + "TXDETECTRX": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13754.11-13754.21" + } + }, + "TXDIFFCTRL": { + "hide_name": 0, + "bits": [ 544, 545, 546, 547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13823.17-13823.27" + } + }, + "TXDIFFPD": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13755.11-13755.19" + } + }, + "TXDLYBYPASS": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13756.11-13756.22" + } + }, + "TXDLYEN": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13757.11-13757.18" + } + }, + "TXDLYHOLD": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13758.11-13758.20" + } + }, + "TXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13759.11-13759.22" + } + }, + "TXDLYSRESET": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13760.11-13760.22" + } + }, + "TXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13592.12-13592.27" + } + }, + "TXDLYUPDOWN": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13761.11-13761.22" + } + }, + "TXELECIDLE": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13762.11-13762.21" + } + }, + "TXGEARBOXREADY": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13593.12-13593.26" + } + }, + "TXHEADER": { + "hide_name": 0, + "bits": [ 521, 522, 523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13816.17-13816.25" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13763.11-13763.20" + } + }, + "TXMAINCURSOR": { + "hide_name": 0, + "bits": [ 658, 659, 660, 661, 662, 663, 664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13834.17-13834.29" + } + }, + "TXMARGIN": { + "hide_name": 0, + "bits": [ 524, 525, 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13817.17-13817.25" + } + }, + "TXOUTCLK": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13594.12-13594.20" + } + }, + "TXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13595.12-13595.26" + } + }, + "TXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13596.12-13596.23" + } + }, + "TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 527, 528, 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13818.17-13818.28" + } + }, + "TXPCSRESET": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13764.11-13764.21" + } + }, + "TXPD": { + "hide_name": 0, + "bits": [ 496, 497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13807.17-13807.21" + } + }, + "TXPDELECIDLEMODE": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13765.11-13765.27" + } + }, + "TXPHALIGN": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13766.11-13766.20" + } + }, + "TXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13597.12-13597.25" + } + }, + "TXPHALIGNEN": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13767.11-13767.22" + } + }, + "TXPHDLYPD": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13768.11-13768.20" + } + }, + "TXPHDLYRESET": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13769.11-13769.23" + } + }, + "TXPHDLYTSTCLK": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "invertible_pin": "IS_TXPHDLYTSTCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13771.11-13771.24" + } + }, + "TXPHINIT": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13772.11-13772.19" + } + }, + "TXPHINITDONE": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13598.12-13598.24" + } + }, + "TXPHOVRDEN": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13773.11-13773.21" + } + }, + "TXPIPPMEN": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13774.11-13774.20" + } + }, + "TXPIPPMOVRDEN": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13775.11-13775.24" + } + }, + "TXPIPPMPD": { + "hide_name": 0, + "bits": [ 386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13776.11-13776.20" + } + }, + "TXPIPPMSEL": { + "hide_name": 0, + "bits": [ 387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13777.11-13777.21" + } + }, + "TXPIPPMSTEPSIZE": { + "hide_name": 0, + "bits": [ 573, 574, 575, 576, 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13829.17-13829.32" + } + }, + "TXPISOPD": { + "hide_name": 0, + "bits": [ 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13778.11-13778.19" + } + }, + "TXPMARESET": { + "hide_name": 0, + "bits": [ 389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13779.11-13779.21" + } + }, + "TXPMARESETDONE": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13599.12-13599.26" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13780.11-13780.21" + } + }, + "TXPOSTCURSOR": { + "hide_name": 0, + "bits": [ 578, 579, 580, 581, 582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13830.17-13830.29" + } + }, + "TXPOSTCURSORINV": { + "hide_name": 0, + "bits": [ 391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13781.11-13781.26" + } + }, + "TXPRBSFORCEERR": { + "hide_name": 0, + "bits": [ 392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13782.11-13782.25" + } + }, + "TXPRBSSEL": { + "hide_name": 0, + "bits": [ 530, 531, 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13819.17-13819.26" + } + }, + "TXPRECURSOR": { + "hide_name": 0, + "bits": [ 583, 584, 585, 586, 587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13831.17-13831.28" + } + }, + "TXPRECURSORINV": { + "hide_name": 0, + "bits": [ 393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13783.11-13783.25" + } + }, + "TXQPIBIASEN": { + "hide_name": 0, + "bits": [ 394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13784.11-13784.22" + } + }, + "TXQPISENN": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13600.12-13600.21" + } + }, + "TXQPISENP": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13601.12-13601.21" + } + }, + "TXQPISTRONGPDOWN": { + "hide_name": 0, + "bits": [ 395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13785.11-13785.27" + } + }, + "TXQPIWEAKPUP": { + "hide_name": 0, + "bits": [ 396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13786.11-13786.23" + } + }, + "TXRATE": { + "hide_name": 0, + "bits": [ 533, 534, 535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13820.17-13820.23" + } + }, + "TXRATEDONE": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13602.12-13602.22" + } + }, + "TXRATEMODE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13787.11-13787.21" + } + }, + "TXRESETDONE": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13603.12-13603.23" + } + }, + "TXSEQUENCE": { + "hide_name": 0, + "bits": [ 665, 666, 667, 668, 669, 670, 671 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13835.17-13835.27" + } + }, + "TXSTARTSEQ": { + "hide_name": 0, + "bits": [ 398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13788.11-13788.21" + } + }, + "TXSWING": { + "hide_name": 0, + "bits": [ 399 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13789.11-13789.18" + } + }, + "TXSYNCALLIN": { + "hide_name": 0, + "bits": [ 400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13790.11-13790.22" + } + }, + "TXSYNCDONE": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13604.12-13604.22" + } + }, + "TXSYNCIN": { + "hide_name": 0, + "bits": [ 401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13791.11-13791.19" + } + }, + "TXSYNCMODE": { + "hide_name": 0, + "bits": [ 402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13792.11-13792.21" + } + }, + "TXSYNCOUT": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13605.12-13605.21" + } + }, + "TXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 498, 499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13808.17-13808.28" + } + }, + "TXUSERRDY": { + "hide_name": 0, + "bits": [ 403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13793.11-13793.20" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "invertible_pin": "IS_TXUSRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13797.11-13797.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 404 ], + "attributes": { + "invertible_pin": "IS_TXUSRCLK2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13795.11-13795.20" + } + } + } + }, + "GTHE2_COMMON": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13843.1-13911.10" + }, + "parameter_default_values": { + "BIAS_CFG": "0000000000000000000001000000000000000000000000000001000000000000", + "COMMON_CFG": "00000000000000000000000000011100", + "IS_DRPCLK_INVERTED": "0", + "IS_GTGREFCLK_INVERTED": "0", + "IS_QPLLLOCKDETCLK_INVERTED": "0", + "QPLL_CFG": "000010010000000000110000001", + "QPLL_CLKOUT_CFG": "0000", + "QPLL_COARSE_FREQ_OVRD": "010000", + "QPLL_COARSE_FREQ_OVRD_EN": "0", + "QPLL_CP": "0000011111", + "QPLL_CP_MONITOR_EN": "0", + "QPLL_DMONITOR_SEL": "0", + "QPLL_FBDIV": "0000000000", + "QPLL_FBDIV_MONITOR_EN": "0", + "QPLL_FBDIV_RATIO": "0", + "QPLL_INIT_CFG": "000000000000000000000110", + "QPLL_LOCK_CFG": "0000000111101000", + "QPLL_LPF": "1111", + "QPLL_REFCLK_DIV": "00000000000000000000000000000010", + "QPLL_RP_COMP": "0", + "QPLL_VTRL_RESET": "00", + "RCAL_CFG": "00", + "RSVD_ATTR0": "0000000000000000", + "RSVD_ATTR1": "0000000000000000", + "SIM_QPLLREFCLK_SEL": "001", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_VERSION": "1.1" + }, + "ports": { + "DRPRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "QPLLFBCLKLOST": { + "direction": "output", + "bits": [ 3 ] + }, + "QPLLLOCK": { + "direction": "output", + "bits": [ 4 ] + }, + "QPLLOUTCLK": { + "direction": "output", + "bits": [ 5 ] + }, + "QPLLOUTREFCLK": { + "direction": "output", + "bits": [ 6 ] + }, + "QPLLREFCLKLOST": { + "direction": "output", + "bits": [ 7 ] + }, + "REFCLKOUTMONITOR": { + "direction": "output", + "bits": [ 8 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24 ] + }, + "PMARSVDOUT": { + "direction": "output", + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ] + }, + "QPLLDMONITOR": { + "direction": "output", + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48 ] + }, + "BGBYPASSB": { + "direction": "input", + "bits": [ 49 ] + }, + "BGMONITORENB": { + "direction": "input", + "bits": [ 50 ] + }, + "BGPDB": { + "direction": "input", + "bits": [ 51 ] + }, + "BGRCALOVRDENB": { + "direction": "input", + "bits": [ 52 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 53 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 54 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 55 ] + }, + "GTGREFCLK": { + "direction": "input", + "bits": [ 56 ] + }, + "GTNORTHREFCLK0": { + "direction": "input", + "bits": [ 57 ] + }, + "GTNORTHREFCLK1": { + "direction": "input", + "bits": [ 58 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 59 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 60 ] + }, + "GTSOUTHREFCLK0": { + "direction": "input", + "bits": [ 61 ] + }, + "GTSOUTHREFCLK1": { + "direction": "input", + "bits": [ 62 ] + }, + "QPLLLOCKDETCLK": { + "direction": "input", + "bits": [ 63 ] + }, + "QPLLLOCKEN": { + "direction": "input", + "bits": [ 64 ] + }, + "QPLLOUTRESET": { + "direction": "input", + "bits": [ 65 ] + }, + "QPLLPD": { + "direction": "input", + "bits": [ 66 ] + }, + "QPLLRESET": { + "direction": "input", + "bits": [ 67 ] + }, + "RCALENB": { + "direction": "input", + "bits": [ 68 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ] + }, + "QPLLRSVD1": { + "direction": "input", + "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100 ] + }, + "QPLLREFCLKSEL": { + "direction": "input", + "bits": [ 101, 102, 103 ] + }, + "BGRCALOVRD": { + "direction": "input", + "bits": [ 104, 105, 106, 107, 108 ] + }, + "QPLLRSVD2": { + "direction": "input", + "bits": [ 109, 110, 111, 112, 113 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121 ] + }, + "PMARSVD": { + "direction": "input", + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129 ] + } + }, + "cells": { + }, + "netnames": { + "BGBYPASSB": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13881.11-13881.20" + } + }, + "BGMONITORENB": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13882.11-13882.23" + } + }, + "BGPDB": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13883.11-13883.16" + } + }, + "BGRCALOVRD": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13907.17-13907.27" + } + }, + "BGRCALOVRDENB": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13884.11-13884.24" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13909.17-13909.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "invertible_pin": "IS_DRPCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13886.11-13886.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13904.18-13904.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13878.19-13878.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13887.11-13887.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13871.12-13871.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13888.11-13888.16" + } + }, + "GTGREFCLK": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "invertible_pin": "IS_GTGREFCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13890.11-13890.20" + } + }, + "GTNORTHREFCLK0": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13891.11-13891.25" + } + }, + "GTNORTHREFCLK1": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13892.11-13892.25" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13893.11-13893.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13894.11-13894.20" + } + }, + "GTSOUTHREFCLK0": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13895.11-13895.25" + } + }, + "GTSOUTHREFCLK1": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13896.11-13896.25" + } + }, + "PMARSVD": { + "hide_name": 0, + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13910.17-13910.24" + } + }, + "PMARSVDOUT": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13879.19-13879.29" + } + }, + "QPLLDMONITOR": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13880.18-13880.30" + } + }, + "QPLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13872.12-13872.25" + } + }, + "QPLLLOCK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13873.12-13873.20" + } + }, + "QPLLLOCKDETCLK": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "invertible_pin": "IS_QPLLLOCKDETCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13898.11-13898.25" + } + }, + "QPLLLOCKEN": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13899.11-13899.21" + } + }, + "QPLLOUTCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13874.12-13874.22" + } + }, + "QPLLOUTREFCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13875.12-13875.25" + } + }, + "QPLLOUTRESET": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13900.11-13900.23" + } + }, + "QPLLPD": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13901.11-13901.17" + } + }, + "QPLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13876.12-13876.26" + } + }, + "QPLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13906.17-13906.30" + } + }, + "QPLLRESET": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13902.11-13902.20" + } + }, + "QPLLRSVD1": { + "hide_name": 0, + "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13905.18-13905.27" + } + }, + "QPLLRSVD2": { + "hide_name": 0, + "bits": [ 109, 110, 111, 112, 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13908.17-13908.26" + } + }, + "RCALENB": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13903.11-13903.18" + } + }, + "REFCLKOUTMONITOR": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13877.12-13877.28" + } + } + } + }, + "GTHE3_CHANNEL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15003.1-15721.10" + }, + "parameter_default_values": { + "ACJTAG_DEBUG_MODE": "0", + "ACJTAG_MODE": "0", + "ACJTAG_RESET": "0", + "ADAPT_CFG0": "1111100000000000", + "ADAPT_CFG1": "0000000000000000", + "ALIGN_COMMA_DOUBLE": "FALSE", + "ALIGN_COMMA_ENABLE": "0001111111", + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "ALIGN_MCOMMA_DET": "TRUE", + "ALIGN_MCOMMA_VALUE": "1010000011", + "ALIGN_PCOMMA_DET": "TRUE", + "ALIGN_PCOMMA_VALUE": "0101111100", + "A_RXOSCALRESET": "0", + "A_RXPROGDIVRESET": "0", + "A_TXPROGDIVRESET": "0", + "CBCC_DATA_SOURCE_SEL": "DECODED", + "CDR_SWAP_MODE_EN": "0", + "CHAN_BOND_KEEP_ALIGN": "FALSE", + "CHAN_BOND_MAX_SKEW": "00000000000000000000000000000111", + "CHAN_BOND_SEQ_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2": "0000000000", + "CHAN_BOND_SEQ_1_3": "0000000000", + "CHAN_BOND_SEQ_1_4": "0000000000", + "CHAN_BOND_SEQ_1_ENABLE": "1111", + "CHAN_BOND_SEQ_2_1": "0100000000", + "CHAN_BOND_SEQ_2_2": "0100000000", + "CHAN_BOND_SEQ_2_3": "0100000000", + "CHAN_BOND_SEQ_2_4": "0100000000", + "CHAN_BOND_SEQ_2_ENABLE": "1111", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000010", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_KEEP_IDLE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE": "TRUE", + "CLK_COR_REPEAT_WAIT": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1": "0100011100", + "CLK_COR_SEQ_1_2": "0000000000", + "CLK_COR_SEQ_1_3": "0000000000", + "CLK_COR_SEQ_1_4": "0000000000", + "CLK_COR_SEQ_1_ENABLE": "1111", + "CLK_COR_SEQ_2_1": "0100000000", + "CLK_COR_SEQ_2_2": "0100000000", + "CLK_COR_SEQ_2_3": "0100000000", + "CLK_COR_SEQ_2_4": "0100000000", + "CLK_COR_SEQ_2_ENABLE": "1111", + "CLK_COR_SEQ_2_USE": "FALSE", + "CLK_COR_SEQ_LEN": "00000000000000000000000000000010", + "CPLL_CFG0": "0010000011111000", + "CPLL_CFG1": "1010010010010100", + "CPLL_CFG2": "1111000000000001", + "CPLL_CFG3": "000000", + "CPLL_FBDIV": "00000000000000000000000000000100", + "CPLL_FBDIV_45": "00000000000000000000000000000100", + "CPLL_INIT_CFG0": "0000000000011110", + "CPLL_INIT_CFG1": "00000000", + "CPLL_LOCK_CFG": "0000000111101000", + "CPLL_REFCLK_DIV": "00000000000000000000000000000001", + "DDI_CTRL": "00", + "DDI_REALIGN_WAIT": "00000000000000000000000000001111", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DFE_D_X_REL_POS": "0", + "DFE_VCM_COMP_EN": "0", + "DMONITOR_CFG0": "0000000000", + "DMONITOR_CFG1": "00000000", + "ES_CLK_PHASE_SEL": "0", + "ES_CONTROL": "000000", + "ES_ERRDET_EN": "FALSE", + "ES_EYE_SCAN_EN": "FALSE", + "ES_HORZ_OFFSET": "000000000000", + "ES_PMA_CFG": "0000000000", + "ES_PRESCALE": "00000", + "ES_QUALIFIER0": "0000000000000000", + "ES_QUALIFIER1": "0000000000000000", + "ES_QUALIFIER2": "0000000000000000", + "ES_QUALIFIER3": "0000000000000000", + "ES_QUALIFIER4": "0000000000000000", + "ES_QUAL_MASK0": "0000000000000000", + "ES_QUAL_MASK1": "0000000000000000", + "ES_QUAL_MASK2": "0000000000000000", + "ES_QUAL_MASK3": "0000000000000000", + "ES_QUAL_MASK4": "0000000000000000", + "ES_SDATA_MASK0": "0000000000000000", + "ES_SDATA_MASK1": "0000000000000000", + "ES_SDATA_MASK2": "0000000000000000", + "ES_SDATA_MASK3": "0000000000000000", + "ES_SDATA_MASK4": "0000000000000000", + "EVODD_PHI_CFG": "00000000000", + "EYE_SCAN_SWAP_EN": "0", + "FTS_DESKEW_SEQ_ENABLE": "1111", + "FTS_LANE_DESKEW_CFG": "1111", + "FTS_LANE_DESKEW_EN": "FALSE", + "GEARBOX_MODE": "00000", + "GM_BIAS_SELECT": "0", + "LOCAL_MASTER": "0", + "OOBDIVCTL": "00", + "OOB_PWRUP": "0", + "PCI3_AUTO_REALIGN": "FRST_SMPL", + "PCI3_PIPE_RX_ELECIDLE": "1", + "PCI3_RX_ASYNC_EBUF_BYPASS": "00", + "PCI3_RX_ELECIDLE_EI2_ENABLE": "0", + "PCI3_RX_ELECIDLE_H2L_COUNT": "000000", + "PCI3_RX_ELECIDLE_H2L_DISABLE": "000", + "PCI3_RX_ELECIDLE_HI_COUNT": "000000", + "PCI3_RX_ELECIDLE_LP4_DISABLE": "0", + "PCI3_RX_FIFO_DISABLE": "0", + "PCIE_BUFG_DIV_CTRL": "0000000000000000", + "PCIE_RXPCS_CFG_GEN3": "0000000000000000", + "PCIE_RXPMA_CFG": "0000000000000000", + "PCIE_TXPCS_CFG_GEN3": "0000000000000000", + "PCIE_TXPMA_CFG": "0000000000000000", + "PCS_PCIE_EN": "FALSE", + "PCS_RSVD0": "0000000000000000", + "PCS_RSVD1": "000", + "PD_TRANS_TIME_FROM_P2": "000000111100", + "PD_TRANS_TIME_NONE_P2": "00011001", + "PD_TRANS_TIME_TO_P2": "01100100", + "PLL_SEL_MODE_GEN12": "00", + "PLL_SEL_MODE_GEN3": "00", + "PMA_RSV1": "0000000000000000", + "PROCESS_PAR": "010", + "RATE_SW_USE_DRP": "0", + "RESET_POWERSAVE_DISABLE": "0", + "RXBUFRESET_TIME": "00001", + "RXBUF_ADDR_MODE": "FULL", + "RXBUF_EIDLE_HI_CNT": "1000", + "RXBUF_EIDLE_LO_CNT": "0000", + "RXBUF_EN": "TRUE", + "RXBUF_RESET_ON_CB_CHANGE": "TRUE", + "RXBUF_RESET_ON_COMMAALIGN": "FALSE", + "RXBUF_RESET_ON_EIDLE": "FALSE", + "RXBUF_RESET_ON_RATE_CHANGE": "TRUE", + "RXBUF_THRESH_OVFLW": "00000000000000000000000000000000", + "RXBUF_THRESH_OVRD": "FALSE", + "RXBUF_THRESH_UNDFLW": "00000000000000000000000000000100", + "RXCDRFREQRESET_TIME": "00001", + "RXCDRPHRESET_TIME": "00001", + "RXCDR_CFG0": "0000000000000000", + "RXCDR_CFG0_GEN3": "0000000000000000", + "RXCDR_CFG1": "0000000010000000", + "RXCDR_CFG1_GEN3": "0000000000000000", + "RXCDR_CFG2": "0000011111100110", + "RXCDR_CFG2_GEN3": "0000000000000000", + "RXCDR_CFG3": "0000000000000000", + "RXCDR_CFG3_GEN3": "0000000000000000", + "RXCDR_CFG4": "0000000000000000", + "RXCDR_CFG4_GEN3": "0000000000000000", + "RXCDR_CFG5": "0000000000000000", + "RXCDR_CFG5_GEN3": "0000000000000000", + "RXCDR_FR_RESET_ON_EIDLE": "0", + "RXCDR_HOLD_DURING_EIDLE": "0", + "RXCDR_LOCK_CFG0": "0101000010000000", + "RXCDR_LOCK_CFG1": "0000011111100000", + "RXCDR_LOCK_CFG2": "0111110001000010", + "RXCDR_PH_RESET_ON_EIDLE": "0", + "RXCFOK_CFG0": "0100000000000000", + "RXCFOK_CFG1": "0000000001100000", + "RXCFOK_CFG2": "0000000000001110", + "RXDFELPMRESET_TIME": "0001111", + "RXDFELPM_KL_CFG0": "0000000000000000", + "RXDFELPM_KL_CFG1": "0000000000110010", + "RXDFELPM_KL_CFG2": "0000000000000000", + "RXDFE_CFG0": "0000101000000000", + "RXDFE_CFG1": "0000000000000000", + "RXDFE_GC_CFG0": "0000000000000000", + "RXDFE_GC_CFG1": "0111100001000000", + "RXDFE_GC_CFG2": "0000000000000000", + "RXDFE_H2_CFG0": "0000000000000000", + "RXDFE_H2_CFG1": "0000000000000000", + "RXDFE_H3_CFG0": "0100000000000000", + "RXDFE_H3_CFG1": "0000000000000000", + "RXDFE_H4_CFG0": "0010000000000000", + "RXDFE_H4_CFG1": "0000000000000011", + "RXDFE_H5_CFG0": "0010000000000000", + "RXDFE_H5_CFG1": "0000000000000011", + "RXDFE_H6_CFG0": "0010000000000000", + "RXDFE_H6_CFG1": "0000000000000000", + "RXDFE_H7_CFG0": "0010000000000000", + "RXDFE_H7_CFG1": "0000000000000000", + "RXDFE_H8_CFG0": "0010000000000000", + "RXDFE_H8_CFG1": "0000000000000000", + "RXDFE_H9_CFG0": "0010000000000000", + "RXDFE_H9_CFG1": "0000000000000000", + "RXDFE_HA_CFG0": "0010000000000000", + "RXDFE_HA_CFG1": "0000000000000000", + "RXDFE_HB_CFG0": "0010000000000000", + "RXDFE_HB_CFG1": "0000000000000000", + "RXDFE_HC_CFG0": "0000000000000000", + "RXDFE_HC_CFG1": "0000000000000000", + "RXDFE_HD_CFG0": "0000000000000000", + "RXDFE_HD_CFG1": "0000000000000000", + "RXDFE_HE_CFG0": "0000000000000000", + "RXDFE_HE_CFG1": "0000000000000000", + "RXDFE_HF_CFG0": "0000000000000000", + "RXDFE_HF_CFG1": "0000000000000000", + "RXDFE_OS_CFG0": "1000000000000000", + "RXDFE_OS_CFG1": "0000000000000000", + "RXDFE_UT_CFG0": "1000000000000000", + "RXDFE_UT_CFG1": "0000000000000011", + "RXDFE_VP_CFG0": "1010101000000000", + "RXDFE_VP_CFG1": "0000000000110011", + "RXDLY_CFG": "0000000000011111", + "RXDLY_LCFG": "0000000000110000", + "RXELECIDLE_CFG": "Sigcfg_4", + "RXGBOX_FIFO_INIT_RD_ADDR": "00000000000000000000000000000100", + "RXGEARBOX_EN": "FALSE", + "RXISCANRESET_TIME": "00001", + "RXLPM_CFG": "0000000000000000", + "RXLPM_GC_CFG": "0000000000000000", + "RXLPM_KH_CFG0": "0000000000000000", + "RXLPM_KH_CFG1": "0000000000000010", + "RXLPM_OS_CFG0": "1000000000000000", + "RXLPM_OS_CFG1": "0000000000000010", + "RXOOB_CFG": "000000110", + "RXOOB_CLK_CFG": "PMA", + "RXOSCALRESET_TIME": "00011", + "RXOUT_DIV": "00000000000000000000000000000100", + "RXPCSRESET_TIME": "00001", + "RXPHBEACON_CFG": "0000000000000000", + "RXPHDLY_CFG": "0010000000100000", + "RXPHSAMP_CFG": "0010000100000000", + "RXPHSLIP_CFG": "0110011000100010", + "RXPH_MONITOR_SEL": "00000", + "RXPI_CFG0": "00", + "RXPI_CFG1": "00", + "RXPI_CFG2": "00", + "RXPI_CFG3": "00", + "RXPI_CFG4": "0", + "RXPI_CFG5": "1", + "RXPI_CFG6": "000", + "RXPI_LPM": "0", + "RXPI_VREFSEL": "0", + "RXPMACLK_SEL": "DATA", + "RXPMARESET_TIME": "00001", + "RXPRBS_ERR_LOOPBACK": "0", + "RXPRBS_LINKACQ_CNT": "00000000000000000000000000001111", + "RXSLIDE_AUTO_WAIT": "00000000000000000000000000000111", + "RXSLIDE_MODE": "OFF", + "RXSYNC_MULTILANE": "0", + "RXSYNC_OVRD": "0", + "RXSYNC_SKIP_DA": "0", + "RX_AFE_CM_EN": "0", + "RX_BIAS_CFG0": "0000101011010100", + "RX_BUFFER_CFG": "000000", + "RX_CAPFF_SARC_ENB": "0", + "RX_CLK25_DIV": "00000000000000000000000000001000", + "RX_CLKMUX_EN": "1", + "RX_CLK_SLIP_OVRD": "00000", + "RX_CM_BUF_CFG": "1010", + "RX_CM_BUF_PD": "0", + "RX_CM_SEL": "11", + "RX_CM_TRIM": "0100", + "RX_CTLE3_LPF": "00000000", + "RX_DATA_WIDTH": "00000000000000000000000000010100", + "RX_DDI_SEL": "000000", + "RX_DEFER_RESET_BUF_EN": "TRUE", + "RX_DFELPM_CFG0": "0110", + "RX_DFELPM_CFG1": "0", + "RX_DFELPM_KLKH_AGC_STUP_EN": "1", + "RX_DFE_AGC_CFG0": "00", + "RX_DFE_AGC_CFG1": "100", + "RX_DFE_KL_LPM_KH_CFG0": "01", + "RX_DFE_KL_LPM_KH_CFG1": "010", + "RX_DFE_KL_LPM_KL_CFG0": "01", + "RX_DFE_KL_LPM_KL_CFG1": "010", + "RX_DFE_LPM_HOLD_DURING_EIDLE": "0", + "RX_DISPERR_SEQ_MATCH": "TRUE", + "RX_DIVRESET_TIME": "00001", + "RX_EN_HI_LR": "0", + "RX_EYESCAN_VS_CODE": "0000000", + "RX_EYESCAN_VS_NEG_DIR": "0", + "RX_EYESCAN_VS_RANGE": "00", + "RX_EYESCAN_VS_UT_SIGN": "0", + "RX_FABINT_USRCLK_FLOP": "0", + "RX_INT_DATAWIDTH": "00000000000000000000000000000001", + "RX_PMA_POWER_SAVE": "0", + "RX_SAMPLE_PERIOD": "101", + "RX_SIG_VALID_DLY": "00000000000000000000000000001011", + "RX_SUM_DFETAPREP_EN": "0", + "RX_SUM_IREF_TUNE": "0000", + "RX_SUM_RES_CTRL": "00", + "RX_SUM_VCMTUNE": "0000", + "RX_SUM_VCM_OVWR": "0", + "RX_SUM_VREF_TUNE": "000", + "RX_TUNE_AFE_OS": "00", + "RX_WIDEMODE_CDR": "0", + "RX_XCLK_SEL": "RXDES", + "SAS_MAX_COM": "00000000000000000000000001000000", + "SAS_MIN_COM": "00000000000000000000000000100100", + "SATA_BURST_SEQ_LEN": "1111", + "SATA_BURST_VAL": "100", + "SATA_CPLL_CFG": "VCO_3000MHZ", + "SATA_EIDLE_VAL": "100", + "SATA_MAX_BURST": "00000000000000000000000000001000", + "SATA_MAX_INIT": "00000000000000000000000000010101", + "SATA_MAX_WAKE": "00000000000000000000000000000111", + "SATA_MIN_BURST": "00000000000000000000000000000100", + "SATA_MIN_INIT": "00000000000000000000000000001100", + "SATA_MIN_WAKE": "00000000000000000000000000000100", + "SHOW_REALIGN_COMMA": "TRUE", + "SIM_MODE": "FAST", + "SIM_RECEIVER_DETECT_PASS": "TRUE", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_TX_EIDLE_DRIVE_LEVEL": "0", + "SIM_VERSION": "00000000000000000000000000000010", + "TAPDLY_SET_TX": "00", + "TEMPERATUR_PAR": "0010", + "TERM_RCAL_CFG": "100001000010000", + "TERM_RCAL_OVRD": "000", + "TRANS_TIME_RATE": "00001110", + "TST_RSV0": "00000000", + "TST_RSV1": "00000000", + "TXBUF_EN": "TRUE", + "TXBUF_RESET_ON_RATE_CHANGE": "FALSE", + "TXDLY_CFG": "0000000000011111", + "TXDLY_LCFG": "0000000000110000", + "TXDRVBIAS_N": "1010", + "TXDRVBIAS_P": "1100", + "TXFIFO_ADDR_CFG": "LOW", + "TXGBOX_FIFO_INIT_RD_ADDR": "00000000000000000000000000000100", + "TXGEARBOX_EN": "FALSE", + "TXOUT_DIV": "00000000000000000000000000000100", + "TXPCSRESET_TIME": "00001", + "TXPHDLY_CFG0": "0010000000100000", + "TXPHDLY_CFG1": "0000000000000001", + "TXPH_CFG": "0000100110000000", + "TXPH_MONITOR_SEL": "00000", + "TXPI_CFG0": "00", + "TXPI_CFG1": "00", + "TXPI_CFG2": "00", + "TXPI_CFG3": "0", + "TXPI_CFG4": "1", + "TXPI_CFG5": "000", + "TXPI_GRAY_SEL": "0", + "TXPI_INVSTROBE_SEL": "0", + "TXPI_LPM": "0", + "TXPI_PPMCLK_SEL": "TXUSRCLK2", + "TXPI_PPM_CFG": "00000000", + "TXPI_SYNFREQ_PPM": "000", + "TXPI_VREFSEL": "0", + "TXPMARESET_TIME": "00001", + "TXSYNC_MULTILANE": "0", + "TXSYNC_OVRD": "0", + "TXSYNC_SKIP_DA": "0", + "TX_CLK25_DIV": "00000000000000000000000000001000", + "TX_CLKMUX_EN": "1", + "TX_DATA_WIDTH": "00000000000000000000000000010100", + "TX_DCD_CFG": "000010", + "TX_DCD_EN": "0", + "TX_DEEMPH0": "000000", + "TX_DEEMPH1": "000000", + "TX_DIVRESET_TIME": "00001", + "TX_DRIVE_MODE": "DIRECT", + "TX_EIDLE_ASSERT_DELAY": "110", + "TX_EIDLE_DEASSERT_DELAY": "100", + "TX_EML_PHI_TUNE": "0", + "TX_FABINT_USRCLK_FLOP": "0", + "TX_IDLE_DATA_ZERO": "0", + "TX_INT_DATAWIDTH": "00000000000000000000000000000001", + "TX_LOOPBACK_DRIVE_HIZ": "FALSE", + "TX_MAINCURSOR_SEL": "0", + "TX_MARGIN_FULL_0": "1001110", + "TX_MARGIN_FULL_1": "1001001", + "TX_MARGIN_FULL_2": "1000101", + "TX_MARGIN_FULL_3": "1000010", + "TX_MARGIN_FULL_4": "1000000", + "TX_MARGIN_LOW_0": "1000110", + "TX_MARGIN_LOW_1": "1000100", + "TX_MARGIN_LOW_2": "1000010", + "TX_MARGIN_LOW_3": "1000000", + "TX_MARGIN_LOW_4": "1000000", + "TX_MODE_SEL": "000", + "TX_PMADATA_OPT": "0", + "TX_PMA_POWER_SAVE": "0", + "TX_PROGCLK_SEL": "POSTPI", + "TX_QPI_STATUS_EN": "0", + "TX_RXDETECT_CFG": "00000000110010", + "TX_RXDETECT_REF": "100", + "TX_SAMPLE_PERIOD": "101", + "TX_SARC_LPBK_ENB": "0", + "TX_XCLK_SEL": "TXOUT", + "USE_PCS_CLK_PHASE_SEL": "0", + "WB_MODE": "00" + }, + "ports": { + "BUFGTCE": { + "direction": "output", + "bits": [ 2, 3, 4 ] + }, + "BUFGTCEMASK": { + "direction": "output", + "bits": [ 5, 6, 7 ] + }, + "BUFGTDIV": { + "direction": "output", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "BUFGTRESET": { + "direction": "output", + "bits": [ 17, 18, 19 ] + }, + "BUFGTRSTMASK": { + "direction": "output", + "bits": [ 20, 21, 22 ] + }, + "CPLLFBCLKLOST": { + "direction": "output", + "bits": [ 23 ] + }, + "CPLLLOCK": { + "direction": "output", + "bits": [ 24 ] + }, + "CPLLREFCLKLOST": { + "direction": "output", + "bits": [ 25 ] + }, + "DMONITOROUT": { + "direction": "output", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 59 ] + }, + "EYESCANDATAERROR": { + "direction": "output", + "bits": [ 60 ] + }, + "GTHTXN": { + "direction": "output", + "bits": [ 61 ] + }, + "GTHTXP": { + "direction": "output", + "bits": [ 62 ] + }, + "GTPOWERGOOD": { + "direction": "output", + "bits": [ 63 ] + }, + "GTREFCLKMONITOR": { + "direction": "output", + "bits": [ 64 ] + }, + "PCIERATEGEN3": { + "direction": "output", + "bits": [ 65 ] + }, + "PCIERATEIDLE": { + "direction": "output", + "bits": [ 66 ] + }, + "PCIERATEQPLLPD": { + "direction": "output", + "bits": [ 67, 68 ] + }, + "PCIERATEQPLLRESET": { + "direction": "output", + "bits": [ 69, 70 ] + }, + "PCIESYNCTXSYNCDONE": { + "direction": "output", + "bits": [ 71 ] + }, + "PCIEUSERGEN3RDY": { + "direction": "output", + "bits": [ 72 ] + }, + "PCIEUSERPHYSTATUSRST": { + "direction": "output", + "bits": [ 73 ] + }, + "PCIEUSERRATESTART": { + "direction": "output", + "bits": [ 74 ] + }, + "PCSRSVDOUT": { + "direction": "output", + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ] + }, + "PHYSTATUS": { + "direction": "output", + "bits": [ 87 ] + }, + "PINRSRVDAS": { + "direction": "output", + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95 ] + }, + "RESETEXCEPTION": { + "direction": "output", + "bits": [ 96 ] + }, + "RXBUFSTATUS": { + "direction": "output", + "bits": [ 97, 98, 99 ] + }, + "RXBYTEISALIGNED": { + "direction": "output", + "bits": [ 100 ] + }, + "RXBYTEREALIGN": { + "direction": "output", + "bits": [ 101 ] + }, + "RXCDRLOCK": { + "direction": "output", + "bits": [ 102 ] + }, + "RXCDRPHDONE": { + "direction": "output", + "bits": [ 103 ] + }, + "RXCHANBONDSEQ": { + "direction": "output", + "bits": [ 104 ] + }, + "RXCHANISALIGNED": { + "direction": "output", + "bits": [ 105 ] + }, + "RXCHANREALIGN": { + "direction": "output", + "bits": [ 106 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111 ] + }, + "RXCLKCORCNT": { + "direction": "output", + "bits": [ 112, 113 ] + }, + "RXCOMINITDET": { + "direction": "output", + "bits": [ 114 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 115 ] + }, + "RXCOMSASDET": { + "direction": "output", + "bits": [ 116 ] + }, + "RXCOMWAKEDET": { + "direction": "output", + "bits": [ 117 ] + }, + "RXCTRL0": { + "direction": "output", + "bits": [ 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133 ] + }, + "RXCTRL1": { + "direction": "output", + "bits": [ 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149 ] + }, + "RXCTRL2": { + "direction": "output", + "bits": [ 150, 151, 152, 153, 154, 155, 156, 157 ] + }, + "RXCTRL3": { + "direction": "output", + "bits": [ 158, 159, 160, 161, 162, 163, 164, 165 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293 ] + }, + "RXDATAEXTENDRSVD": { + "direction": "output", + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301 ] + }, + "RXDATAVALID": { + "direction": "output", + "bits": [ 302, 303 ] + }, + "RXDLYSRESETDONE": { + "direction": "output", + "bits": [ 304 ] + }, + "RXELECIDLE": { + "direction": "output", + "bits": [ 305 ] + }, + "RXHEADER": { + "direction": "output", + "bits": [ 306, 307, 308, 309, 310, 311 ] + }, + "RXHEADERVALID": { + "direction": "output", + "bits": [ 312, 313 ] + }, + "RXMONITOROUT": { + "direction": "output", + "bits": [ 314, 315, 316, 317, 318, 319, 320 ] + }, + "RXOSINTDONE": { + "direction": "output", + "bits": [ 321 ] + }, + "RXOSINTSTARTED": { + "direction": "output", + "bits": [ 322 ] + }, + "RXOSINTSTROBEDONE": { + "direction": "output", + "bits": [ 323 ] + }, + "RXOSINTSTROBESTARTED": { + "direction": "output", + "bits": [ 324 ] + }, + "RXOUTCLK": { + "direction": "output", + "bits": [ 325 ] + }, + "RXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 326 ] + }, + "RXOUTCLKPCS": { + "direction": "output", + "bits": [ 327 ] + }, + "RXPHALIGNDONE": { + "direction": "output", + "bits": [ 328 ] + }, + "RXPHALIGNERR": { + "direction": "output", + "bits": [ 329 ] + }, + "RXPMARESETDONE": { + "direction": "output", + "bits": [ 330 ] + }, + "RXPRBSERR": { + "direction": "output", + "bits": [ 331 ] + }, + "RXPRBSLOCKED": { + "direction": "output", + "bits": [ 332 ] + }, + "RXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 333 ] + }, + "RXQPISENN": { + "direction": "output", + "bits": [ 334 ] + }, + "RXQPISENP": { + "direction": "output", + "bits": [ 335 ] + }, + "RXRATEDONE": { + "direction": "output", + "bits": [ 336 ] + }, + "RXRECCLKOUT": { + "direction": "output", + "bits": [ 337 ] + }, + "RXRESETDONE": { + "direction": "output", + "bits": [ 338 ] + }, + "RXSLIDERDY": { + "direction": "output", + "bits": [ 339 ] + }, + "RXSLIPDONE": { + "direction": "output", + "bits": [ 340 ] + }, + "RXSLIPOUTCLKRDY": { + "direction": "output", + "bits": [ 341 ] + }, + "RXSLIPPMARDY": { + "direction": "output", + "bits": [ 342 ] + }, + "RXSTARTOFSEQ": { + "direction": "output", + "bits": [ 343, 344 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 345, 346, 347 ] + }, + "RXSYNCDONE": { + "direction": "output", + "bits": [ 348 ] + }, + "RXSYNCOUT": { + "direction": "output", + "bits": [ 349 ] + }, + "RXVALID": { + "direction": "output", + "bits": [ 350 ] + }, + "TXBUFSTATUS": { + "direction": "output", + "bits": [ 351, 352 ] + }, + "TXCOMFINISH": { + "direction": "output", + "bits": [ 353 ] + }, + "TXDLYSRESETDONE": { + "direction": "output", + "bits": [ 354 ] + }, + "TXOUTCLK": { + "direction": "output", + "bits": [ 355 ] + }, + "TXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 356 ] + }, + "TXOUTCLKPCS": { + "direction": "output", + "bits": [ 357 ] + }, + "TXPHALIGNDONE": { + "direction": "output", + "bits": [ 358 ] + }, + "TXPHINITDONE": { + "direction": "output", + "bits": [ 359 ] + }, + "TXPMARESETDONE": { + "direction": "output", + "bits": [ 360 ] + }, + "TXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 361 ] + }, + "TXQPISENN": { + "direction": "output", + "bits": [ 362 ] + }, + "TXQPISENP": { + "direction": "output", + "bits": [ 363 ] + }, + "TXRATEDONE": { + "direction": "output", + "bits": [ 364 ] + }, + "TXRESETDONE": { + "direction": "output", + "bits": [ 365 ] + }, + "TXSYNCDONE": { + "direction": "output", + "bits": [ 366 ] + }, + "TXSYNCOUT": { + "direction": "output", + "bits": [ 367 ] + }, + "CFGRESET": { + "direction": "input", + "bits": [ 368 ] + }, + "CLKRSVD0": { + "direction": "input", + "bits": [ 369 ] + }, + "CLKRSVD1": { + "direction": "input", + "bits": [ 370 ] + }, + "CPLLLOCKDETCLK": { + "direction": "input", + "bits": [ 371 ] + }, + "CPLLLOCKEN": { + "direction": "input", + "bits": [ 372 ] + }, + "CPLLPD": { + "direction": "input", + "bits": [ 373 ] + }, + "CPLLREFCLKSEL": { + "direction": "input", + "bits": [ 374, 375, 376 ] + }, + "CPLLRESET": { + "direction": "input", + "bits": [ 377 ] + }, + "DMONFIFORESET": { + "direction": "input", + "bits": [ 378 ] + }, + "DMONITORCLK": { + "direction": "input", + "bits": [ 379 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 380, 381, 382, 383, 384, 385, 386, 387, 388 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 389 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 406 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 407 ] + }, + "EVODDPHICALDONE": { + "direction": "input", + "bits": [ 408 ] + }, + "EVODDPHICALSTART": { + "direction": "input", + "bits": [ 409 ] + }, + "EVODDPHIDRDEN": { + "direction": "input", + "bits": [ 410 ] + }, + "EVODDPHIDWREN": { + "direction": "input", + "bits": [ 411 ] + }, + "EVODDPHIXRDEN": { + "direction": "input", + "bits": [ 412 ] + }, + "EVODDPHIXWREN": { + "direction": "input", + "bits": [ 413 ] + }, + "EYESCANMODE": { + "direction": "input", + "bits": [ 414 ] + }, + "EYESCANRESET": { + "direction": "input", + "bits": [ 415 ] + }, + "EYESCANTRIGGER": { + "direction": "input", + "bits": [ 416 ] + }, + "GTGREFCLK": { + "direction": "input", + "bits": [ 417 ] + }, + "GTHRXN": { + "direction": "input", + "bits": [ 418 ] + }, + "GTHRXP": { + "direction": "input", + "bits": [ 419 ] + }, + "GTNORTHREFCLK0": { + "direction": "input", + "bits": [ 420 ] + }, + "GTNORTHREFCLK1": { + "direction": "input", + "bits": [ 421 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 422 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 423 ] + }, + "GTRESETSEL": { + "direction": "input", + "bits": [ 424 ] + }, + "GTRSVD": { + "direction": "input", + "bits": [ 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440 ] + }, + "GTRXRESET": { + "direction": "input", + "bits": [ 441 ] + }, + "GTSOUTHREFCLK0": { + "direction": "input", + "bits": [ 442 ] + }, + "GTSOUTHREFCLK1": { + "direction": "input", + "bits": [ 443 ] + }, + "GTTXRESET": { + "direction": "input", + "bits": [ 444 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 445, 446, 447 ] + }, + "LPBKRXTXSEREN": { + "direction": "input", + "bits": [ 448 ] + }, + "LPBKTXRXSEREN": { + "direction": "input", + "bits": [ 449 ] + }, + "PCIEEQRXEQADAPTDONE": { + "direction": "input", + "bits": [ 450 ] + }, + "PCIERSTIDLE": { + "direction": "input", + "bits": [ 451 ] + }, + "PCIERSTTXSYNCSTART": { + "direction": "input", + "bits": [ 452 ] + }, + "PCIEUSERRATEDONE": { + "direction": "input", + "bits": [ 453 ] + }, + "PCSRSVDIN": { + "direction": "input", + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469 ] + }, + "PCSRSVDIN2": { + "direction": "input", + "bits": [ 470, 471, 472, 473, 474 ] + }, + "PMARSVDIN": { + "direction": "input", + "bits": [ 475, 476, 477, 478, 479 ] + }, + "QPLL0CLK": { + "direction": "input", + "bits": [ 480 ] + }, + "QPLL0REFCLK": { + "direction": "input", + "bits": [ 481 ] + }, + "QPLL1CLK": { + "direction": "input", + "bits": [ 482 ] + }, + "QPLL1REFCLK": { + "direction": "input", + "bits": [ 483 ] + }, + "RESETOVRD": { + "direction": "input", + "bits": [ 484 ] + }, + "RSTCLKENTX": { + "direction": "input", + "bits": [ 485 ] + }, + "RX8B10BEN": { + "direction": "input", + "bits": [ 486 ] + }, + "RXBUFRESET": { + "direction": "input", + "bits": [ 487 ] + }, + "RXCDRFREQRESET": { + "direction": "input", + "bits": [ 488 ] + }, + "RXCDRHOLD": { + "direction": "input", + "bits": [ 489 ] + }, + "RXCDROVRDEN": { + "direction": "input", + "bits": [ 490 ] + }, + "RXCDRRESET": { + "direction": "input", + "bits": [ 491 ] + }, + "RXCDRRESETRSV": { + "direction": "input", + "bits": [ 492 ] + }, + "RXCHBONDEN": { + "direction": "input", + "bits": [ 493 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 494, 495, 496, 497, 498 ] + }, + "RXCHBONDLEVEL": { + "direction": "input", + "bits": [ 499, 500, 501 ] + }, + "RXCHBONDMASTER": { + "direction": "input", + "bits": [ 502 ] + }, + "RXCHBONDSLAVE": { + "direction": "input", + "bits": [ 503 ] + }, + "RXCOMMADETEN": { + "direction": "input", + "bits": [ 504 ] + }, + "RXDFEAGCCTRL": { + "direction": "input", + "bits": [ 505, 506 ] + }, + "RXDFEAGCHOLD": { + "direction": "input", + "bits": [ 507 ] + }, + "RXDFEAGCOVRDEN": { + "direction": "input", + "bits": [ 508 ] + }, + "RXDFELFHOLD": { + "direction": "input", + "bits": [ 509 ] + }, + "RXDFELFOVRDEN": { + "direction": "input", + "bits": [ 510 ] + }, + "RXDFELPMRESET": { + "direction": "input", + "bits": [ 511 ] + }, + "RXDFETAP10HOLD": { + "direction": "input", + "bits": [ 512 ] + }, + "RXDFETAP10OVRDEN": { + "direction": "input", + "bits": [ 513 ] + }, + "RXDFETAP11HOLD": { + "direction": "input", + "bits": [ 514 ] + }, + "RXDFETAP11OVRDEN": { + "direction": "input", + "bits": [ 515 ] + }, + "RXDFETAP12HOLD": { + "direction": "input", + "bits": [ 516 ] + }, + "RXDFETAP12OVRDEN": { + "direction": "input", + "bits": [ 517 ] + }, + "RXDFETAP13HOLD": { + "direction": "input", + "bits": [ 518 ] + }, + "RXDFETAP13OVRDEN": { + "direction": "input", + "bits": [ 519 ] + }, + "RXDFETAP14HOLD": { + "direction": "input", + "bits": [ 520 ] + }, + "RXDFETAP14OVRDEN": { + "direction": "input", + "bits": [ 521 ] + }, + "RXDFETAP15HOLD": { + "direction": "input", + "bits": [ 522 ] + }, + "RXDFETAP15OVRDEN": { + "direction": "input", + "bits": [ 523 ] + }, + "RXDFETAP2HOLD": { + "direction": "input", + "bits": [ 524 ] + }, + "RXDFETAP2OVRDEN": { + "direction": "input", + "bits": [ 525 ] + }, + "RXDFETAP3HOLD": { + "direction": "input", + "bits": [ 526 ] + }, + "RXDFETAP3OVRDEN": { + "direction": "input", + "bits": [ 527 ] + }, + "RXDFETAP4HOLD": { + "direction": "input", + "bits": [ 528 ] + }, + "RXDFETAP4OVRDEN": { + "direction": "input", + "bits": [ 529 ] + }, + "RXDFETAP5HOLD": { + "direction": "input", + "bits": [ 530 ] + }, + "RXDFETAP5OVRDEN": { + "direction": "input", + "bits": [ 531 ] + }, + "RXDFETAP6HOLD": { + "direction": "input", + "bits": [ 532 ] + }, + "RXDFETAP6OVRDEN": { + "direction": "input", + "bits": [ 533 ] + }, + "RXDFETAP7HOLD": { + "direction": "input", + "bits": [ 534 ] + }, + "RXDFETAP7OVRDEN": { + "direction": "input", + "bits": [ 535 ] + }, + "RXDFETAP8HOLD": { + "direction": "input", + "bits": [ 536 ] + }, + "RXDFETAP8OVRDEN": { + "direction": "input", + "bits": [ 537 ] + }, + "RXDFETAP9HOLD": { + "direction": "input", + "bits": [ 538 ] + }, + "RXDFETAP9OVRDEN": { + "direction": "input", + "bits": [ 539 ] + }, + "RXDFEUTHOLD": { + "direction": "input", + "bits": [ 540 ] + }, + "RXDFEUTOVRDEN": { + "direction": "input", + "bits": [ 541 ] + }, + "RXDFEVPHOLD": { + "direction": "input", + "bits": [ 542 ] + }, + "RXDFEVPOVRDEN": { + "direction": "input", + "bits": [ 543 ] + }, + "RXDFEVSEN": { + "direction": "input", + "bits": [ 544 ] + }, + "RXDFEXYDEN": { + "direction": "input", + "bits": [ 545 ] + }, + "RXDLYBYPASS": { + "direction": "input", + "bits": [ 546 ] + }, + "RXDLYEN": { + "direction": "input", + "bits": [ 547 ] + }, + "RXDLYOVRDEN": { + "direction": "input", + "bits": [ 548 ] + }, + "RXDLYSRESET": { + "direction": "input", + "bits": [ 549 ] + }, + "RXELECIDLEMODE": { + "direction": "input", + "bits": [ 550, 551 ] + }, + "RXGEARBOXSLIP": { + "direction": "input", + "bits": [ 552 ] + }, + "RXLATCLK": { + "direction": "input", + "bits": [ 553 ] + }, + "RXLPMEN": { + "direction": "input", + "bits": [ 554 ] + }, + "RXLPMGCHOLD": { + "direction": "input", + "bits": [ 555 ] + }, + "RXLPMGCOVRDEN": { + "direction": "input", + "bits": [ 556 ] + }, + "RXLPMHFHOLD": { + "direction": "input", + "bits": [ 557 ] + }, + "RXLPMHFOVRDEN": { + "direction": "input", + "bits": [ 558 ] + }, + "RXLPMLFHOLD": { + "direction": "input", + "bits": [ 559 ] + }, + "RXLPMLFKLOVRDEN": { + "direction": "input", + "bits": [ 560 ] + }, + "RXLPMOSHOLD": { + "direction": "input", + "bits": [ 561 ] + }, + "RXLPMOSOVRDEN": { + "direction": "input", + "bits": [ 562 ] + }, + "RXMCOMMAALIGNEN": { + "direction": "input", + "bits": [ 563 ] + }, + "RXMONITORSEL": { + "direction": "input", + "bits": [ 564, 565 ] + }, + "RXOOBRESET": { + "direction": "input", + "bits": [ 566 ] + }, + "RXOSCALRESET": { + "direction": "input", + "bits": [ 567 ] + }, + "RXOSHOLD": { + "direction": "input", + "bits": [ 568 ] + }, + "RXOSINTCFG": { + "direction": "input", + "bits": [ 569, 570, 571, 572 ] + }, + "RXOSINTEN": { + "direction": "input", + "bits": [ 573 ] + }, + "RXOSINTHOLD": { + "direction": "input", + "bits": [ 574 ] + }, + "RXOSINTOVRDEN": { + "direction": "input", + "bits": [ 575 ] + }, + "RXOSINTSTROBE": { + "direction": "input", + "bits": [ 576 ] + }, + "RXOSINTTESTOVRDEN": { + "direction": "input", + "bits": [ 577 ] + }, + "RXOSOVRDEN": { + "direction": "input", + "bits": [ 578 ] + }, + "RXOUTCLKSEL": { + "direction": "input", + "bits": [ 579, 580, 581 ] + }, + "RXPCOMMAALIGNEN": { + "direction": "input", + "bits": [ 582 ] + }, + "RXPCSRESET": { + "direction": "input", + "bits": [ 583 ] + }, + "RXPD": { + "direction": "input", + "bits": [ 584, 585 ] + }, + "RXPHALIGN": { + "direction": "input", + "bits": [ 586 ] + }, + "RXPHALIGNEN": { + "direction": "input", + "bits": [ 587 ] + }, + "RXPHDLYPD": { + "direction": "input", + "bits": [ 588 ] + }, + "RXPHDLYRESET": { + "direction": "input", + "bits": [ 589 ] + }, + "RXPHOVRDEN": { + "direction": "input", + "bits": [ 590 ] + }, + "RXPLLCLKSEL": { + "direction": "input", + "bits": [ 591, 592 ] + }, + "RXPMARESET": { + "direction": "input", + "bits": [ 593 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 594 ] + }, + "RXPRBSCNTRESET": { + "direction": "input", + "bits": [ 595 ] + }, + "RXPRBSSEL": { + "direction": "input", + "bits": [ 596, 597, 598, 599 ] + }, + "RXPROGDIVRESET": { + "direction": "input", + "bits": [ 600 ] + }, + "RXQPIEN": { + "direction": "input", + "bits": [ 601 ] + }, + "RXRATE": { + "direction": "input", + "bits": [ 602, 603, 604 ] + }, + "RXRATEMODE": { + "direction": "input", + "bits": [ 605 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 606 ] + }, + "RXSLIPOUTCLK": { + "direction": "input", + "bits": [ 607 ] + }, + "RXSLIPPMA": { + "direction": "input", + "bits": [ 608 ] + }, + "RXSYNCALLIN": { + "direction": "input", + "bits": [ 609 ] + }, + "RXSYNCIN": { + "direction": "input", + "bits": [ 610 ] + }, + "RXSYNCMODE": { + "direction": "input", + "bits": [ 611 ] + }, + "RXSYSCLKSEL": { + "direction": "input", + "bits": [ 612, 613 ] + }, + "RXUSERRDY": { + "direction": "input", + "bits": [ 614 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 615 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 616 ] + }, + "SIGVALIDCLK": { + "direction": "input", + "bits": [ 617 ] + }, + "TSTIN": { + "direction": "input", + "bits": [ 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637 ] + }, + "TX8B10BBYPASS": { + "direction": "input", + "bits": [ 638, 639, 640, 641, 642, 643, 644, 645 ] + }, + "TX8B10BEN": { + "direction": "input", + "bits": [ 646 ] + }, + "TXBUFDIFFCTRL": { + "direction": "input", + "bits": [ 647, 648, 649 ] + }, + "TXCOMINIT": { + "direction": "input", + "bits": [ 650 ] + }, + "TXCOMSAS": { + "direction": "input", + "bits": [ 651 ] + }, + "TXCOMWAKE": { + "direction": "input", + "bits": [ 652 ] + }, + "TXCTRL0": { + "direction": "input", + "bits": [ 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668 ] + }, + "TXCTRL1": { + "direction": "input", + "bits": [ 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684 ] + }, + "TXCTRL2": { + "direction": "input", + "bits": [ 685, 686, 687, 688, 689, 690, 691, 692 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820 ] + }, + "TXDATAEXTENDRSVD": { + "direction": "input", + "bits": [ 821, 822, 823, 824, 825, 826, 827, 828 ] + }, + "TXDEEMPH": { + "direction": "input", + "bits": [ 829 ] + }, + "TXDETECTRX": { + "direction": "input", + "bits": [ 830 ] + }, + "TXDIFFCTRL": { + "direction": "input", + "bits": [ 831, 832, 833, 834 ] + }, + "TXDIFFPD": { + "direction": "input", + "bits": [ 835 ] + }, + "TXDLYBYPASS": { + "direction": "input", + "bits": [ 836 ] + }, + "TXDLYEN": { + "direction": "input", + "bits": [ 837 ] + }, + "TXDLYHOLD": { + "direction": "input", + "bits": [ 838 ] + }, + "TXDLYOVRDEN": { + "direction": "input", + "bits": [ 839 ] + }, + "TXDLYSRESET": { + "direction": "input", + "bits": [ 840 ] + }, + "TXDLYUPDOWN": { + "direction": "input", + "bits": [ 841 ] + }, + "TXELECIDLE": { + "direction": "input", + "bits": [ 842 ] + }, + "TXHEADER": { + "direction": "input", + "bits": [ 843, 844, 845, 846, 847, 848 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 849 ] + }, + "TXLATCLK": { + "direction": "input", + "bits": [ 850 ] + }, + "TXMAINCURSOR": { + "direction": "input", + "bits": [ 851, 852, 853, 854, 855, 856, 857 ] + }, + "TXMARGIN": { + "direction": "input", + "bits": [ 858, 859, 860 ] + }, + "TXOUTCLKSEL": { + "direction": "input", + "bits": [ 861, 862, 863 ] + }, + "TXPCSRESET": { + "direction": "input", + "bits": [ 864 ] + }, + "TXPD": { + "direction": "input", + "bits": [ 865, 866 ] + }, + "TXPDELECIDLEMODE": { + "direction": "input", + "bits": [ 867 ] + }, + "TXPHALIGN": { + "direction": "input", + "bits": [ 868 ] + }, + "TXPHALIGNEN": { + "direction": "input", + "bits": [ 869 ] + }, + "TXPHDLYPD": { + "direction": "input", + "bits": [ 870 ] + }, + "TXPHDLYRESET": { + "direction": "input", + "bits": [ 871 ] + }, + "TXPHDLYTSTCLK": { + "direction": "input", + "bits": [ 872 ] + }, + "TXPHINIT": { + "direction": "input", + "bits": [ 873 ] + }, + "TXPHOVRDEN": { + "direction": "input", + "bits": [ 874 ] + }, + "TXPIPPMEN": { + "direction": "input", + "bits": [ 875 ] + }, + "TXPIPPMOVRDEN": { + "direction": "input", + "bits": [ 876 ] + }, + "TXPIPPMPD": { + "direction": "input", + "bits": [ 877 ] + }, + "TXPIPPMSEL": { + "direction": "input", + "bits": [ 878 ] + }, + "TXPIPPMSTEPSIZE": { + "direction": "input", + "bits": [ 879, 880, 881, 882, 883 ] + }, + "TXPISOPD": { + "direction": "input", + "bits": [ 884 ] + }, + "TXPLLCLKSEL": { + "direction": "input", + "bits": [ 885, 886 ] + }, + "TXPMARESET": { + "direction": "input", + "bits": [ 887 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 888 ] + }, + "TXPOSTCURSOR": { + "direction": "input", + "bits": [ 889, 890, 891, 892, 893 ] + }, + "TXPOSTCURSORINV": { + "direction": "input", + "bits": [ 894 ] + }, + "TXPRBSFORCEERR": { + "direction": "input", + "bits": [ 895 ] + }, + "TXPRBSSEL": { + "direction": "input", + "bits": [ 896, 897, 898, 899 ] + }, + "TXPRECURSOR": { + "direction": "input", + "bits": [ 900, 901, 902, 903, 904 ] + }, + "TXPRECURSORINV": { + "direction": "input", + "bits": [ 905 ] + }, + "TXPROGDIVRESET": { + "direction": "input", + "bits": [ 906 ] + }, + "TXQPIBIASEN": { + "direction": "input", + "bits": [ 907 ] + }, + "TXQPISTRONGPDOWN": { + "direction": "input", + "bits": [ 908 ] + }, + "TXQPIWEAKPUP": { + "direction": "input", + "bits": [ 909 ] + }, + "TXRATE": { + "direction": "input", + "bits": [ 910, 911, 912 ] + }, + "TXRATEMODE": { + "direction": "input", + "bits": [ 913 ] + }, + "TXSEQUENCE": { + "direction": "input", + "bits": [ 914, 915, 916, 917, 918, 919, 920 ] + }, + "TXSWING": { + "direction": "input", + "bits": [ 921 ] + }, + "TXSYNCALLIN": { + "direction": "input", + "bits": [ 922 ] + }, + "TXSYNCIN": { + "direction": "input", + "bits": [ 923 ] + }, + "TXSYNCMODE": { + "direction": "input", + "bits": [ 924 ] + }, + "TXSYSCLKSEL": { + "direction": "input", + "bits": [ 925, 926 ] + }, + "TXUSERRDY": { + "direction": "input", + "bits": [ 927 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 928 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 929 ] + } + }, + "cells": { + }, + "netnames": { + "BUFGTCE": { + "hide_name": 0, + "bits": [ 2, 3, 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15392.18-15392.25" + } + }, + "BUFGTCEMASK": { + "hide_name": 0, + "bits": [ 5, 6, 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15393.18-15393.29" + } + }, + "BUFGTDIV": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15394.18-15394.26" + } + }, + "BUFGTRESET": { + "hide_name": 0, + "bits": [ 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15395.18-15395.28" + } + }, + "BUFGTRSTMASK": { + "hide_name": 0, + "bits": [ 20, 21, 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15396.18-15396.30" + } + }, + "CFGRESET": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15489.11-15489.19" + } + }, + "CLKRSVD0": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15490.11-15490.19" + } + }, + "CLKRSVD1": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15491.11-15491.19" + } + }, + "CPLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15397.12-15397.25" + } + }, + "CPLLLOCK": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15398.12-15398.20" + } + }, + "CPLLLOCKDETCLK": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15492.11-15492.25" + } + }, + "CPLLLOCKEN": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15493.11-15493.21" + } + }, + "CPLLPD": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15494.11-15494.17" + } + }, + "CPLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15399.12-15399.26" + } + }, + "CPLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 374, 375, 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15495.17-15495.30" + } + }, + "CPLLRESET": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15496.11-15496.20" + } + }, + "DMONFIFORESET": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15497.11-15497.24" + } + }, + "DMONITORCLK": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15498.11-15498.22" + } + }, + "DMONITOROUT": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15400.19-15400.30" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 380, 381, 382, 383, 384, 385, 386, 387, 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15499.17-15499.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15500.11-15500.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15501.18-15501.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15401.19-15401.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15502.11-15502.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15402.12-15402.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15503.11-15503.16" + } + }, + "EVODDPHICALDONE": { + "hide_name": 0, + "bits": [ 408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15504.11-15504.26" + } + }, + "EVODDPHICALSTART": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15505.11-15505.27" + } + }, + "EVODDPHIDRDEN": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15506.11-15506.24" + } + }, + "EVODDPHIDWREN": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15507.11-15507.24" + } + }, + "EVODDPHIXRDEN": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15508.11-15508.24" + } + }, + "EVODDPHIXWREN": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15509.11-15509.24" + } + }, + "EYESCANDATAERROR": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15403.12-15403.28" + } + }, + "EYESCANMODE": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15510.11-15510.22" + } + }, + "EYESCANRESET": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15511.11-15511.23" + } + }, + "EYESCANTRIGGER": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15512.11-15512.25" + } + }, + "GTGREFCLK": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15513.11-15513.20" + } + }, + "GTHRXN": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15514.11-15514.17" + } + }, + "GTHRXP": { + "hide_name": 0, + "bits": [ 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15515.11-15515.17" + } + }, + "GTHTXN": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15404.12-15404.18" + } + }, + "GTHTXP": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15405.12-15405.18" + } + }, + "GTNORTHREFCLK0": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15516.11-15516.25" + } + }, + "GTNORTHREFCLK1": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15517.11-15517.25" + } + }, + "GTPOWERGOOD": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15406.12-15406.23" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15518.11-15518.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15519.11-15519.20" + } + }, + "GTREFCLKMONITOR": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15407.12-15407.27" + } + }, + "GTRESETSEL": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15520.11-15520.21" + } + }, + "GTRSVD": { + "hide_name": 0, + "bits": [ 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15521.18-15521.24" + } + }, + "GTRXRESET": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15522.11-15522.20" + } + }, + "GTSOUTHREFCLK0": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15523.11-15523.25" + } + }, + "GTSOUTHREFCLK1": { + "hide_name": 0, + "bits": [ 443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15524.11-15524.25" + } + }, + "GTTXRESET": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15525.11-15525.20" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 445, 446, 447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15526.17-15526.25" + } + }, + "LPBKRXTXSEREN": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15527.11-15527.24" + } + }, + "LPBKTXRXSEREN": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15528.11-15528.24" + } + }, + "PCIEEQRXEQADAPTDONE": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15529.11-15529.30" + } + }, + "PCIERATEGEN3": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15408.12-15408.24" + } + }, + "PCIERATEIDLE": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15409.12-15409.24" + } + }, + "PCIERATEQPLLPD": { + "hide_name": 0, + "bits": [ 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15410.18-15410.32" + } + }, + "PCIERATEQPLLRESET": { + "hide_name": 0, + "bits": [ 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15411.18-15411.35" + } + }, + "PCIERSTIDLE": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15530.11-15530.22" + } + }, + "PCIERSTTXSYNCSTART": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15531.11-15531.29" + } + }, + "PCIESYNCTXSYNCDONE": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15412.12-15412.30" + } + }, + "PCIEUSERGEN3RDY": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15413.12-15413.27" + } + }, + "PCIEUSERPHYSTATUSRST": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15414.12-15414.32" + } + }, + "PCIEUSERRATEDONE": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15532.11-15532.27" + } + }, + "PCIEUSERRATESTART": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15415.12-15415.29" + } + }, + "PCSRSVDIN": { + "hide_name": 0, + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15533.18-15533.27" + } + }, + "PCSRSVDIN2": { + "hide_name": 0, + "bits": [ 470, 471, 472, 473, 474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15534.17-15534.27" + } + }, + "PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15416.19-15416.29" + } + }, + "PHYSTATUS": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15417.12-15417.21" + } + }, + "PINRSRVDAS": { + "hide_name": 0, + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15418.18-15418.28" + } + }, + "PMARSVDIN": { + "hide_name": 0, + "bits": [ 475, 476, 477, 478, 479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15535.17-15535.26" + } + }, + "QPLL0CLK": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15536.11-15536.19" + } + }, + "QPLL0REFCLK": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15537.11-15537.22" + } + }, + "QPLL1CLK": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15538.11-15538.19" + } + }, + "QPLL1REFCLK": { + "hide_name": 0, + "bits": [ 483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15539.11-15539.22" + } + }, + "RESETEXCEPTION": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15419.12-15419.26" + } + }, + "RESETOVRD": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15540.11-15540.20" + } + }, + "RSTCLKENTX": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15541.11-15541.21" + } + }, + "RX8B10BEN": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15542.11-15542.20" + } + }, + "RXBUFRESET": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15543.11-15543.21" + } + }, + "RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15420.18-15420.29" + } + }, + "RXBYTEISALIGNED": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15421.12-15421.27" + } + }, + "RXBYTEREALIGN": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15422.12-15422.25" + } + }, + "RXCDRFREQRESET": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15544.11-15544.25" + } + }, + "RXCDRHOLD": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15545.11-15545.20" + } + }, + "RXCDRLOCK": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15423.12-15423.21" + } + }, + "RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15546.11-15546.22" + } + }, + "RXCDRPHDONE": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15424.12-15424.23" + } + }, + "RXCDRRESET": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15547.11-15547.21" + } + }, + "RXCDRRESETRSV": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15548.11-15548.24" + } + }, + "RXCHANBONDSEQ": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15425.12-15425.25" + } + }, + "RXCHANISALIGNED": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15426.12-15426.27" + } + }, + "RXCHANREALIGN": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15427.12-15427.25" + } + }, + "RXCHBONDEN": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15549.11-15549.21" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 494, 495, 496, 497, 498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15550.17-15550.26" + } + }, + "RXCHBONDLEVEL": { + "hide_name": 0, + "bits": [ 499, 500, 501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15551.17-15551.30" + } + }, + "RXCHBONDMASTER": { + "hide_name": 0, + "bits": [ 502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15552.11-15552.25" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15428.18-15428.27" + } + }, + "RXCHBONDSLAVE": { + "hide_name": 0, + "bits": [ 503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15553.11-15553.24" + } + }, + "RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 112, 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15429.18-15429.29" + } + }, + "RXCOMINITDET": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15430.12-15430.24" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15431.12-15431.22" + } + }, + "RXCOMMADETEN": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15554.11-15554.23" + } + }, + "RXCOMSASDET": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15432.12-15432.23" + } + }, + "RXCOMWAKEDET": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15433.12-15433.24" + } + }, + "RXCTRL0": { + "hide_name": 0, + "bits": [ 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15434.19-15434.26" + } + }, + "RXCTRL1": { + "hide_name": 0, + "bits": [ 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15435.19-15435.26" + } + }, + "RXCTRL2": { + "hide_name": 0, + "bits": [ 150, 151, 152, 153, 154, 155, 156, 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15436.18-15436.25" + } + }, + "RXCTRL3": { + "hide_name": 0, + "bits": [ 158, 159, 160, 161, 162, 163, 164, 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15437.18-15437.25" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15438.20-15438.26" + } + }, + "RXDATAEXTENDRSVD": { + "hide_name": 0, + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15439.18-15439.34" + } + }, + "RXDATAVALID": { + "hide_name": 0, + "bits": [ 302, 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15440.18-15440.29" + } + }, + "RXDFEAGCCTRL": { + "hide_name": 0, + "bits": [ 505, 506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15555.17-15555.29" + } + }, + "RXDFEAGCHOLD": { + "hide_name": 0, + "bits": [ 507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15556.11-15556.23" + } + }, + "RXDFEAGCOVRDEN": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15557.11-15557.25" + } + }, + "RXDFELFHOLD": { + "hide_name": 0, + "bits": [ 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15558.11-15558.22" + } + }, + "RXDFELFOVRDEN": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15559.11-15559.24" + } + }, + "RXDFELPMRESET": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15560.11-15560.24" + } + }, + "RXDFETAP10HOLD": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15561.11-15561.25" + } + }, + "RXDFETAP10OVRDEN": { + "hide_name": 0, + "bits": [ 513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15562.11-15562.27" + } + }, + "RXDFETAP11HOLD": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15563.11-15563.25" + } + }, + "RXDFETAP11OVRDEN": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15564.11-15564.27" + } + }, + "RXDFETAP12HOLD": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15565.11-15565.25" + } + }, + "RXDFETAP12OVRDEN": { + "hide_name": 0, + "bits": [ 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15566.11-15566.27" + } + }, + "RXDFETAP13HOLD": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15567.11-15567.25" + } + }, + "RXDFETAP13OVRDEN": { + "hide_name": 0, + "bits": [ 519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15568.11-15568.27" + } + }, + "RXDFETAP14HOLD": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15569.11-15569.25" + } + }, + "RXDFETAP14OVRDEN": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15570.11-15570.27" + } + }, + "RXDFETAP15HOLD": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15571.11-15571.25" + } + }, + "RXDFETAP15OVRDEN": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15572.11-15572.27" + } + }, + "RXDFETAP2HOLD": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15573.11-15573.24" + } + }, + "RXDFETAP2OVRDEN": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15574.11-15574.26" + } + }, + "RXDFETAP3HOLD": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15575.11-15575.24" + } + }, + "RXDFETAP3OVRDEN": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15576.11-15576.26" + } + }, + "RXDFETAP4HOLD": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15577.11-15577.24" + } + }, + "RXDFETAP4OVRDEN": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15578.11-15578.26" + } + }, + "RXDFETAP5HOLD": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15579.11-15579.24" + } + }, + "RXDFETAP5OVRDEN": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15580.11-15580.26" + } + }, + "RXDFETAP6HOLD": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15581.11-15581.24" + } + }, + "RXDFETAP6OVRDEN": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15582.11-15582.26" + } + }, + "RXDFETAP7HOLD": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15583.11-15583.24" + } + }, + "RXDFETAP7OVRDEN": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15584.11-15584.26" + } + }, + "RXDFETAP8HOLD": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15585.11-15585.24" + } + }, + "RXDFETAP8OVRDEN": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15586.11-15586.26" + } + }, + "RXDFETAP9HOLD": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15587.11-15587.24" + } + }, + "RXDFETAP9OVRDEN": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15588.11-15588.26" + } + }, + "RXDFEUTHOLD": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15589.11-15589.22" + } + }, + "RXDFEUTOVRDEN": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15590.11-15590.24" + } + }, + "RXDFEVPHOLD": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15591.11-15591.22" + } + }, + "RXDFEVPOVRDEN": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15592.11-15592.24" + } + }, + "RXDFEVSEN": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15593.11-15593.20" + } + }, + "RXDFEXYDEN": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15594.11-15594.21" + } + }, + "RXDLYBYPASS": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15595.11-15595.22" + } + }, + "RXDLYEN": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15596.11-15596.18" + } + }, + "RXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15597.11-15597.22" + } + }, + "RXDLYSRESET": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15598.11-15598.22" + } + }, + "RXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15441.12-15441.27" + } + }, + "RXELECIDLE": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15442.12-15442.22" + } + }, + "RXELECIDLEMODE": { + "hide_name": 0, + "bits": [ 550, 551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15599.17-15599.31" + } + }, + "RXGEARBOXSLIP": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15600.11-15600.24" + } + }, + "RXHEADER": { + "hide_name": 0, + "bits": [ 306, 307, 308, 309, 310, 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15443.18-15443.26" + } + }, + "RXHEADERVALID": { + "hide_name": 0, + "bits": [ 312, 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15444.18-15444.31" + } + }, + "RXLATCLK": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15601.11-15601.19" + } + }, + "RXLPMEN": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15602.11-15602.18" + } + }, + "RXLPMGCHOLD": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15603.11-15603.22" + } + }, + "RXLPMGCOVRDEN": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15604.11-15604.24" + } + }, + "RXLPMHFHOLD": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15605.11-15605.22" + } + }, + "RXLPMHFOVRDEN": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15606.11-15606.24" + } + }, + "RXLPMLFHOLD": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15607.11-15607.22" + } + }, + "RXLPMLFKLOVRDEN": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15608.11-15608.26" + } + }, + "RXLPMOSHOLD": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15609.11-15609.22" + } + }, + "RXLPMOSOVRDEN": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15610.11-15610.24" + } + }, + "RXMCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15611.11-15611.26" + } + }, + "RXMONITOROUT": { + "hide_name": 0, + "bits": [ 314, 315, 316, 317, 318, 319, 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15445.18-15445.30" + } + }, + "RXMONITORSEL": { + "hide_name": 0, + "bits": [ 564, 565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15612.17-15612.29" + } + }, + "RXOOBRESET": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15613.11-15613.21" + } + }, + "RXOSCALRESET": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15614.11-15614.23" + } + }, + "RXOSHOLD": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15615.11-15615.19" + } + }, + "RXOSINTCFG": { + "hide_name": 0, + "bits": [ 569, 570, 571, 572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15616.17-15616.27" + } + }, + "RXOSINTDONE": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15446.12-15446.23" + } + }, + "RXOSINTEN": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15617.11-15617.20" + } + }, + "RXOSINTHOLD": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15618.11-15618.22" + } + }, + "RXOSINTOVRDEN": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15619.11-15619.24" + } + }, + "RXOSINTSTARTED": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15447.12-15447.26" + } + }, + "RXOSINTSTROBE": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15620.11-15620.24" + } + }, + "RXOSINTSTROBEDONE": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15448.12-15448.29" + } + }, + "RXOSINTSTROBESTARTED": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15449.12-15449.32" + } + }, + "RXOSINTTESTOVRDEN": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15621.11-15621.28" + } + }, + "RXOSOVRDEN": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15622.11-15622.21" + } + }, + "RXOUTCLK": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15450.12-15450.20" + } + }, + "RXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15451.12-15451.26" + } + }, + "RXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15452.12-15452.23" + } + }, + "RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 579, 580, 581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15623.17-15623.28" + } + }, + "RXPCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15624.11-15624.26" + } + }, + "RXPCSRESET": { + "hide_name": 0, + "bits": [ 583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15625.11-15625.21" + } + }, + "RXPD": { + "hide_name": 0, + "bits": [ 584, 585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15626.17-15626.21" + } + }, + "RXPHALIGN": { + "hide_name": 0, + "bits": [ 586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15627.11-15627.20" + } + }, + "RXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15453.12-15453.25" + } + }, + "RXPHALIGNEN": { + "hide_name": 0, + "bits": [ 587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15628.11-15628.22" + } + }, + "RXPHALIGNERR": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15454.12-15454.24" + } + }, + "RXPHDLYPD": { + "hide_name": 0, + "bits": [ 588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15629.11-15629.20" + } + }, + "RXPHDLYRESET": { + "hide_name": 0, + "bits": [ 589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15630.11-15630.23" + } + }, + "RXPHOVRDEN": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15631.11-15631.21" + } + }, + "RXPLLCLKSEL": { + "hide_name": 0, + "bits": [ 591, 592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15632.17-15632.28" + } + }, + "RXPMARESET": { + "hide_name": 0, + "bits": [ 593 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15633.11-15633.21" + } + }, + "RXPMARESETDONE": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15455.12-15455.26" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15634.11-15634.21" + } + }, + "RXPRBSCNTRESET": { + "hide_name": 0, + "bits": [ 595 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15635.11-15635.25" + } + }, + "RXPRBSERR": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15456.12-15456.21" + } + }, + "RXPRBSLOCKED": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15457.12-15457.24" + } + }, + "RXPRBSSEL": { + "hide_name": 0, + "bits": [ 596, 597, 598, 599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15636.17-15636.26" + } + }, + "RXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15458.12-15458.29" + } + }, + "RXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15637.11-15637.25" + } + }, + "RXQPIEN": { + "hide_name": 0, + "bits": [ 601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15638.11-15638.18" + } + }, + "RXQPISENN": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15459.12-15459.21" + } + }, + "RXQPISENP": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15460.12-15460.21" + } + }, + "RXRATE": { + "hide_name": 0, + "bits": [ 602, 603, 604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15639.17-15639.23" + } + }, + "RXRATEDONE": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15461.12-15461.22" + } + }, + "RXRATEMODE": { + "hide_name": 0, + "bits": [ 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15640.11-15640.21" + } + }, + "RXRECCLKOUT": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15462.12-15462.23" + } + }, + "RXRESETDONE": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15463.12-15463.23" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15641.11-15641.18" + } + }, + "RXSLIDERDY": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15464.12-15464.22" + } + }, + "RXSLIPDONE": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15465.12-15465.22" + } + }, + "RXSLIPOUTCLK": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15642.11-15642.23" + } + }, + "RXSLIPOUTCLKRDY": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15466.12-15466.27" + } + }, + "RXSLIPPMA": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15643.11-15643.20" + } + }, + "RXSLIPPMARDY": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15467.12-15467.24" + } + }, + "RXSTARTOFSEQ": { + "hide_name": 0, + "bits": [ 343, 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15468.18-15468.30" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 345, 346, 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15469.18-15469.26" + } + }, + "RXSYNCALLIN": { + "hide_name": 0, + "bits": [ 609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15644.11-15644.22" + } + }, + "RXSYNCDONE": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15470.12-15470.22" + } + }, + "RXSYNCIN": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15645.11-15645.19" + } + }, + "RXSYNCMODE": { + "hide_name": 0, + "bits": [ 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15646.11-15646.21" + } + }, + "RXSYNCOUT": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15471.12-15471.21" + } + }, + "RXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 612, 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15647.17-15647.28" + } + }, + "RXUSERRDY": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15648.11-15648.20" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15649.11-15649.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15650.11-15650.20" + } + }, + "RXVALID": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15472.12-15472.19" + } + }, + "SIGVALIDCLK": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15651.11-15651.22" + } + }, + "TSTIN": { + "hide_name": 0, + "bits": [ 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15652.18-15652.23" + } + }, + "TX8B10BBYPASS": { + "hide_name": 0, + "bits": [ 638, 639, 640, 641, 642, 643, 644, 645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15653.17-15653.30" + } + }, + "TX8B10BEN": { + "hide_name": 0, + "bits": [ 646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15654.11-15654.20" + } + }, + "TXBUFDIFFCTRL": { + "hide_name": 0, + "bits": [ 647, 648, 649 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15655.17-15655.30" + } + }, + "TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 351, 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15473.18-15473.29" + } + }, + "TXCOMFINISH": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15474.12-15474.23" + } + }, + "TXCOMINIT": { + "hide_name": 0, + "bits": [ 650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15656.11-15656.20" + } + }, + "TXCOMSAS": { + "hide_name": 0, + "bits": [ 651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15657.11-15657.19" + } + }, + "TXCOMWAKE": { + "hide_name": 0, + "bits": [ 652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15658.11-15658.20" + } + }, + "TXCTRL0": { + "hide_name": 0, + "bits": [ 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15659.18-15659.25" + } + }, + "TXCTRL1": { + "hide_name": 0, + "bits": [ 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15660.18-15660.25" + } + }, + "TXCTRL2": { + "hide_name": 0, + "bits": [ 685, 686, 687, 688, 689, 690, 691, 692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15661.17-15661.24" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15662.19-15662.25" + } + }, + "TXDATAEXTENDRSVD": { + "hide_name": 0, + "bits": [ 821, 822, 823, 824, 825, 826, 827, 828 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15663.17-15663.33" + } + }, + "TXDEEMPH": { + "hide_name": 0, + "bits": [ 829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15664.11-15664.19" + } + }, + "TXDETECTRX": { + "hide_name": 0, + "bits": [ 830 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15665.11-15665.21" + } + }, + "TXDIFFCTRL": { + "hide_name": 0, + "bits": [ 831, 832, 833, 834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15666.17-15666.27" + } + }, + "TXDIFFPD": { + "hide_name": 0, + "bits": [ 835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15667.11-15667.19" + } + }, + "TXDLYBYPASS": { + "hide_name": 0, + "bits": [ 836 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15668.11-15668.22" + } + }, + "TXDLYEN": { + "hide_name": 0, + "bits": [ 837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15669.11-15669.18" + } + }, + "TXDLYHOLD": { + "hide_name": 0, + "bits": [ 838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15670.11-15670.20" + } + }, + "TXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15671.11-15671.22" + } + }, + "TXDLYSRESET": { + "hide_name": 0, + "bits": [ 840 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15672.11-15672.22" + } + }, + "TXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15475.12-15475.27" + } + }, + "TXDLYUPDOWN": { + "hide_name": 0, + "bits": [ 841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15673.11-15673.22" + } + }, + "TXELECIDLE": { + "hide_name": 0, + "bits": [ 842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15674.11-15674.21" + } + }, + "TXHEADER": { + "hide_name": 0, + "bits": [ 843, 844, 845, 846, 847, 848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15675.17-15675.25" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15676.11-15676.20" + } + }, + "TXLATCLK": { + "hide_name": 0, + "bits": [ 850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15677.11-15677.19" + } + }, + "TXMAINCURSOR": { + "hide_name": 0, + "bits": [ 851, 852, 853, 854, 855, 856, 857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15678.17-15678.29" + } + }, + "TXMARGIN": { + "hide_name": 0, + "bits": [ 858, 859, 860 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15679.17-15679.25" + } + }, + "TXOUTCLK": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15476.12-15476.20" + } + }, + "TXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15477.12-15477.26" + } + }, + "TXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15478.12-15478.23" + } + }, + "TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 861, 862, 863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15680.17-15680.28" + } + }, + "TXPCSRESET": { + "hide_name": 0, + "bits": [ 864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15681.11-15681.21" + } + }, + "TXPD": { + "hide_name": 0, + "bits": [ 865, 866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15682.17-15682.21" + } + }, + "TXPDELECIDLEMODE": { + "hide_name": 0, + "bits": [ 867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15683.11-15683.27" + } + }, + "TXPHALIGN": { + "hide_name": 0, + "bits": [ 868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15684.11-15684.20" + } + }, + "TXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15479.12-15479.25" + } + }, + "TXPHALIGNEN": { + "hide_name": 0, + "bits": [ 869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15685.11-15685.22" + } + }, + "TXPHDLYPD": { + "hide_name": 0, + "bits": [ 870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15686.11-15686.20" + } + }, + "TXPHDLYRESET": { + "hide_name": 0, + "bits": [ 871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15687.11-15687.23" + } + }, + "TXPHDLYTSTCLK": { + "hide_name": 0, + "bits": [ 872 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15688.11-15688.24" + } + }, + "TXPHINIT": { + "hide_name": 0, + "bits": [ 873 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15689.11-15689.19" + } + }, + "TXPHINITDONE": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15480.12-15480.24" + } + }, + "TXPHOVRDEN": { + "hide_name": 0, + "bits": [ 874 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15690.11-15690.21" + } + }, + "TXPIPPMEN": { + "hide_name": 0, + "bits": [ 875 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15691.11-15691.20" + } + }, + "TXPIPPMOVRDEN": { + "hide_name": 0, + "bits": [ 876 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15692.11-15692.24" + } + }, + "TXPIPPMPD": { + "hide_name": 0, + "bits": [ 877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15693.11-15693.20" + } + }, + "TXPIPPMSEL": { + "hide_name": 0, + "bits": [ 878 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15694.11-15694.21" + } + }, + "TXPIPPMSTEPSIZE": { + "hide_name": 0, + "bits": [ 879, 880, 881, 882, 883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15695.17-15695.32" + } + }, + "TXPISOPD": { + "hide_name": 0, + "bits": [ 884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15696.11-15696.19" + } + }, + "TXPLLCLKSEL": { + "hide_name": 0, + "bits": [ 885, 886 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15697.17-15697.28" + } + }, + "TXPMARESET": { + "hide_name": 0, + "bits": [ 887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15698.11-15698.21" + } + }, + "TXPMARESETDONE": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15481.12-15481.26" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 888 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15699.11-15699.21" + } + }, + "TXPOSTCURSOR": { + "hide_name": 0, + "bits": [ 889, 890, 891, 892, 893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15700.17-15700.29" + } + }, + "TXPOSTCURSORINV": { + "hide_name": 0, + "bits": [ 894 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15701.11-15701.26" + } + }, + "TXPRBSFORCEERR": { + "hide_name": 0, + "bits": [ 895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15702.11-15702.25" + } + }, + "TXPRBSSEL": { + "hide_name": 0, + "bits": [ 896, 897, 898, 899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15703.17-15703.26" + } + }, + "TXPRECURSOR": { + "hide_name": 0, + "bits": [ 900, 901, 902, 903, 904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15704.17-15704.28" + } + }, + "TXPRECURSORINV": { + "hide_name": 0, + "bits": [ 905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15705.11-15705.25" + } + }, + "TXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15482.12-15482.29" + } + }, + "TXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15706.11-15706.25" + } + }, + "TXQPIBIASEN": { + "hide_name": 0, + "bits": [ 907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15707.11-15707.22" + } + }, + "TXQPISENN": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15483.12-15483.21" + } + }, + "TXQPISENP": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15484.12-15484.21" + } + }, + "TXQPISTRONGPDOWN": { + "hide_name": 0, + "bits": [ 908 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15708.11-15708.27" + } + }, + "TXQPIWEAKPUP": { + "hide_name": 0, + "bits": [ 909 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15709.11-15709.23" + } + }, + "TXRATE": { + "hide_name": 0, + "bits": [ 910, 911, 912 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15710.17-15710.23" + } + }, + "TXRATEDONE": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15485.12-15485.22" + } + }, + "TXRATEMODE": { + "hide_name": 0, + "bits": [ 913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15711.11-15711.21" + } + }, + "TXRESETDONE": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15486.12-15486.23" + } + }, + "TXSEQUENCE": { + "hide_name": 0, + "bits": [ 914, 915, 916, 917, 918, 919, 920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15712.17-15712.27" + } + }, + "TXSWING": { + "hide_name": 0, + "bits": [ 921 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15713.11-15713.18" + } + }, + "TXSYNCALLIN": { + "hide_name": 0, + "bits": [ 922 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15714.11-15714.22" + } + }, + "TXSYNCDONE": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15487.12-15487.22" + } + }, + "TXSYNCIN": { + "hide_name": 0, + "bits": [ 923 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15715.11-15715.19" + } + }, + "TXSYNCMODE": { + "hide_name": 0, + "bits": [ 924 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15716.11-15716.21" + } + }, + "TXSYNCOUT": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15488.12-15488.21" + } + }, + "TXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 925, 926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15717.17-15717.28" + } + }, + "TXUSERRDY": { + "hide_name": 0, + "bits": [ 927 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15718.11-15718.20" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15719.11-15719.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 929 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15720.11-15720.20" + } + } + } + }, + "GTHE3_COMMON": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15723.1-15863.10" + }, + "parameter_default_values": { + "BIAS_CFG0": "0000000000000000", + "BIAS_CFG1": "0000000000000000", + "BIAS_CFG2": "0000000000000000", + "BIAS_CFG3": "0000000000000000", + "BIAS_CFG4": "0000000000000000", + "BIAS_CFG_RSVD": "0000000000", + "COMMON_CFG0": "0000000000000000", + "COMMON_CFG1": "0000000000000000", + "POR_CFG": "0000000000000100", + "QPLL0_CFG0": "0011000000011000", + "QPLL0_CFG1": "0000000000000000", + "QPLL0_CFG1_G3": "0000000000100000", + "QPLL0_CFG2": "0000000000000000", + "QPLL0_CFG2_G3": "0000000000000000", + "QPLL0_CFG3": "0000000100100000", + "QPLL0_CFG4": "0000000000001001", + "QPLL0_CP": "0000011111", + "QPLL0_CP_G3": "0000011111", + "QPLL0_FBDIV": "00000000000000000000000001000010", + "QPLL0_FBDIV_G3": "00000000000000000000000001010000", + "QPLL0_INIT_CFG0": "0000000000000000", + "QPLL0_INIT_CFG1": "00000000", + "QPLL0_LOCK_CFG": "0000000111101000", + "QPLL0_LOCK_CFG_G3": "0000000111101000", + "QPLL0_LPF": "1111111111", + "QPLL0_LPF_G3": "1111111111", + "QPLL0_REFCLK_DIV": "00000000000000000000000000000010", + "QPLL0_SDM_CFG0": "0000000000000000", + "QPLL0_SDM_CFG1": "0000000000000000", + "QPLL0_SDM_CFG2": "0000000000000000", + "QPLL1_CFG0": "0011000000011000", + "QPLL1_CFG1": "0000000000000000", + "QPLL1_CFG1_G3": "0000000000100000", + "QPLL1_CFG2": "0000000000000000", + "QPLL1_CFG2_G3": "0000000000000000", + "QPLL1_CFG3": "0000000100100000", + "QPLL1_CFG4": "0000000000001001", + "QPLL1_CP": "0000011111", + "QPLL1_CP_G3": "0000011111", + "QPLL1_FBDIV": "00000000000000000000000001000010", + "QPLL1_FBDIV_G3": "00000000000000000000000001010000", + "QPLL1_INIT_CFG0": "0000000000000000", + "QPLL1_INIT_CFG1": "00000000", + "QPLL1_LOCK_CFG": "0000000111101000", + "QPLL1_LOCK_CFG_G3": "0010000111101000", + "QPLL1_LPF": "1111111111", + "QPLL1_LPF_G3": "1111111111", + "QPLL1_REFCLK_DIV": "00000000000000000000000000000010", + "QPLL1_SDM_CFG0": "0000000000000000", + "QPLL1_SDM_CFG1": "0000000000000000", + "QPLL1_SDM_CFG2": "0000000000000000", + "RSVD_ATTR0": "0000000000000000", + "RSVD_ATTR1": "0000000000000000", + "RSVD_ATTR2": "0000000000000000", + "RSVD_ATTR3": "0000000000000000", + "RXRECCLKOUT0_SEL": "00", + "RXRECCLKOUT1_SEL": "00", + "SARC_EN": "1", + "SARC_SEL": "0", + "SDM0DATA1_0": "0000000000000000", + "SDM0DATA1_1": "000000000", + "SDM0INITSEED0_0": "0000000000000000", + "SDM0INITSEED0_1": "000000000", + "SDM0_DATA_PIN_SEL": "0", + "SDM0_WIDTH_PIN_SEL": "0", + "SDM1DATA1_0": "0000000000000000", + "SDM1DATA1_1": "000000000", + "SDM1INITSEED0_0": "0000000000000000", + "SDM1INITSEED0_1": "000000000", + "SDM1_DATA_PIN_SEL": "0", + "SDM1_WIDTH_PIN_SEL": "0", + "SIM_MODE": "FAST", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_VERSION": "00000000000000000000000000000010" + }, + "ports": { + "DRPDO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 18 ] + }, + "PMARSVDOUT0": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ] + }, + "PMARSVDOUT1": { + "direction": "output", + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "QPLL0FBCLKLOST": { + "direction": "output", + "bits": [ 35 ] + }, + "QPLL0LOCK": { + "direction": "output", + "bits": [ 36 ] + }, + "QPLL0OUTCLK": { + "direction": "output", + "bits": [ 37 ] + }, + "QPLL0OUTREFCLK": { + "direction": "output", + "bits": [ 38 ] + }, + "QPLL0REFCLKLOST": { + "direction": "output", + "bits": [ 39 ] + }, + "QPLL1FBCLKLOST": { + "direction": "output", + "bits": [ 40 ] + }, + "QPLL1LOCK": { + "direction": "output", + "bits": [ 41 ] + }, + "QPLL1OUTCLK": { + "direction": "output", + "bits": [ 42 ] + }, + "QPLL1OUTREFCLK": { + "direction": "output", + "bits": [ 43 ] + }, + "QPLL1REFCLKLOST": { + "direction": "output", + "bits": [ 44 ] + }, + "QPLLDMONITOR0": { + "direction": "output", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "QPLLDMONITOR1": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60 ] + }, + "REFCLKOUTMONITOR0": { + "direction": "output", + "bits": [ 61 ] + }, + "REFCLKOUTMONITOR1": { + "direction": "output", + "bits": [ 62 ] + }, + "RXRECCLK0_SEL": { + "direction": "output", + "bits": [ 63, 64 ] + }, + "RXRECCLK1_SEL": { + "direction": "output", + "bits": [ 65, 66 ] + }, + "BGBYPASSB": { + "direction": "input", + "bits": [ 67 ] + }, + "BGMONITORENB": { + "direction": "input", + "bits": [ 68 ] + }, + "BGPDB": { + "direction": "input", + "bits": [ 69 ] + }, + "BGRCALOVRD": { + "direction": "input", + "bits": [ 70, 71, 72, 73, 74 ] + }, + "BGRCALOVRDENB": { + "direction": "input", + "bits": [ 75 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83, 84 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 85 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 102 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 103 ] + }, + "GTGREFCLK0": { + "direction": "input", + "bits": [ 104 ] + }, + "GTGREFCLK1": { + "direction": "input", + "bits": [ 105 ] + }, + "GTNORTHREFCLK00": { + "direction": "input", + "bits": [ 106 ] + }, + "GTNORTHREFCLK01": { + "direction": "input", + "bits": [ 107 ] + }, + "GTNORTHREFCLK10": { + "direction": "input", + "bits": [ 108 ] + }, + "GTNORTHREFCLK11": { + "direction": "input", + "bits": [ 109 ] + }, + "GTREFCLK00": { + "direction": "input", + "bits": [ 110 ] + }, + "GTREFCLK01": { + "direction": "input", + "bits": [ 111 ] + }, + "GTREFCLK10": { + "direction": "input", + "bits": [ 112 ] + }, + "GTREFCLK11": { + "direction": "input", + "bits": [ 113 ] + }, + "GTSOUTHREFCLK00": { + "direction": "input", + "bits": [ 114 ] + }, + "GTSOUTHREFCLK01": { + "direction": "input", + "bits": [ 115 ] + }, + "GTSOUTHREFCLK10": { + "direction": "input", + "bits": [ 116 ] + }, + "GTSOUTHREFCLK11": { + "direction": "input", + "bits": [ 117 ] + }, + "PMARSVD0": { + "direction": "input", + "bits": [ 118, 119, 120, 121, 122, 123, 124, 125 ] + }, + "PMARSVD1": { + "direction": "input", + "bits": [ 126, 127, 128, 129, 130, 131, 132, 133 ] + }, + "QPLL0CLKRSVD0": { + "direction": "input", + "bits": [ 134 ] + }, + "QPLL0CLKRSVD1": { + "direction": "input", + "bits": [ 135 ] + }, + "QPLL0LOCKDETCLK": { + "direction": "input", + "bits": [ 136 ] + }, + "QPLL0LOCKEN": { + "direction": "input", + "bits": [ 137 ] + }, + "QPLL0PD": { + "direction": "input", + "bits": [ 138 ] + }, + "QPLL0REFCLKSEL": { + "direction": "input", + "bits": [ 139, 140, 141 ] + }, + "QPLL0RESET": { + "direction": "input", + "bits": [ 142 ] + }, + "QPLL1CLKRSVD0": { + "direction": "input", + "bits": [ 143 ] + }, + "QPLL1CLKRSVD1": { + "direction": "input", + "bits": [ 144 ] + }, + "QPLL1LOCKDETCLK": { + "direction": "input", + "bits": [ 145 ] + }, + "QPLL1LOCKEN": { + "direction": "input", + "bits": [ 146 ] + }, + "QPLL1PD": { + "direction": "input", + "bits": [ 147 ] + }, + "QPLL1REFCLKSEL": { + "direction": "input", + "bits": [ 148, 149, 150 ] + }, + "QPLL1RESET": { + "direction": "input", + "bits": [ 151 ] + }, + "QPLLRSVD1": { + "direction": "input", + "bits": [ 152, 153, 154, 155, 156, 157, 158, 159 ] + }, + "QPLLRSVD2": { + "direction": "input", + "bits": [ 160, 161, 162, 163, 164 ] + }, + "QPLLRSVD3": { + "direction": "input", + "bits": [ 165, 166, 167, 168, 169 ] + }, + "QPLLRSVD4": { + "direction": "input", + "bits": [ 170, 171, 172, 173, 174, 175, 176, 177 ] + }, + "RCALENB": { + "direction": "input", + "bits": [ 178 ] + } + }, + "cells": { + }, + "netnames": { + "BGBYPASSB": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15818.11-15818.20" + } + }, + "BGMONITORENB": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15819.11-15819.23" + } + }, + "BGPDB": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15820.11-15820.16" + } + }, + "BGRCALOVRD": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73, 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15821.17-15821.27" + } + }, + "BGRCALOVRDENB": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15822.11-15822.24" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15823.17-15823.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15824.11-15824.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15825.18-15825.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15798.19-15798.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15826.11-15826.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15799.12-15799.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15827.11-15827.16" + } + }, + "GTGREFCLK0": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15828.11-15828.21" + } + }, + "GTGREFCLK1": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15829.11-15829.21" + } + }, + "GTNORTHREFCLK00": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15830.11-15830.26" + } + }, + "GTNORTHREFCLK01": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15831.11-15831.26" + } + }, + "GTNORTHREFCLK10": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15832.11-15832.26" + } + }, + "GTNORTHREFCLK11": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15833.11-15833.26" + } + }, + "GTREFCLK00": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15834.11-15834.21" + } + }, + "GTREFCLK01": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15835.11-15835.21" + } + }, + "GTREFCLK10": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15836.11-15836.21" + } + }, + "GTREFCLK11": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15837.11-15837.21" + } + }, + "GTSOUTHREFCLK00": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15838.11-15838.26" + } + }, + "GTSOUTHREFCLK01": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15839.11-15839.26" + } + }, + "GTSOUTHREFCLK10": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15840.11-15840.26" + } + }, + "GTSOUTHREFCLK11": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15841.11-15841.26" + } + }, + "PMARSVD0": { + "hide_name": 0, + "bits": [ 118, 119, 120, 121, 122, 123, 124, 125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15842.17-15842.25" + } + }, + "PMARSVD1": { + "hide_name": 0, + "bits": [ 126, 127, 128, 129, 130, 131, 132, 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15843.17-15843.25" + } + }, + "PMARSVDOUT0": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15800.18-15800.29" + } + }, + "PMARSVDOUT1": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15801.18-15801.29" + } + }, + "QPLL0CLKRSVD0": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15844.11-15844.24" + } + }, + "QPLL0CLKRSVD1": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15845.11-15845.24" + } + }, + "QPLL0FBCLKLOST": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15802.12-15802.26" + } + }, + "QPLL0LOCK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15803.12-15803.21" + } + }, + "QPLL0LOCKDETCLK": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15846.11-15846.26" + } + }, + "QPLL0LOCKEN": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15847.11-15847.22" + } + }, + "QPLL0OUTCLK": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15804.12-15804.23" + } + }, + "QPLL0OUTREFCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15805.12-15805.26" + } + }, + "QPLL0PD": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15848.11-15848.18" + } + }, + "QPLL0REFCLKLOST": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15806.12-15806.27" + } + }, + "QPLL0REFCLKSEL": { + "hide_name": 0, + "bits": [ 139, 140, 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15849.17-15849.31" + } + }, + "QPLL0RESET": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15850.11-15850.21" + } + }, + "QPLL1CLKRSVD0": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15851.11-15851.24" + } + }, + "QPLL1CLKRSVD1": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15852.11-15852.24" + } + }, + "QPLL1FBCLKLOST": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15807.12-15807.26" + } + }, + "QPLL1LOCK": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15808.12-15808.21" + } + }, + "QPLL1LOCKDETCLK": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15853.11-15853.26" + } + }, + "QPLL1LOCKEN": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15854.11-15854.22" + } + }, + "QPLL1OUTCLK": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15809.12-15809.23" + } + }, + "QPLL1OUTREFCLK": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15810.12-15810.26" + } + }, + "QPLL1PD": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15855.11-15855.18" + } + }, + "QPLL1REFCLKLOST": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15811.12-15811.27" + } + }, + "QPLL1REFCLKSEL": { + "hide_name": 0, + "bits": [ 148, 149, 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15856.17-15856.31" + } + }, + "QPLL1RESET": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15857.11-15857.21" + } + }, + "QPLLDMONITOR0": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15812.18-15812.31" + } + }, + "QPLLDMONITOR1": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15813.18-15813.31" + } + }, + "QPLLRSVD1": { + "hide_name": 0, + "bits": [ 152, 153, 154, 155, 156, 157, 158, 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15858.17-15858.26" + } + }, + "QPLLRSVD2": { + "hide_name": 0, + "bits": [ 160, 161, 162, 163, 164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15859.17-15859.26" + } + }, + "QPLLRSVD3": { + "hide_name": 0, + "bits": [ 165, 166, 167, 168, 169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15860.17-15860.26" + } + }, + "QPLLRSVD4": { + "hide_name": 0, + "bits": [ 170, 171, 172, 173, 174, 175, 176, 177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15861.17-15861.26" + } + }, + "RCALENB": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15862.11-15862.18" + } + }, + "REFCLKOUTMONITOR0": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15814.12-15814.29" + } + }, + "REFCLKOUTMONITOR1": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15815.12-15815.29" + } + }, + "RXRECCLK0_SEL": { + "hide_name": 0, + "bits": [ 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15816.18-15816.31" + } + }, + "RXRECCLK1_SEL": { + "hide_name": 0, + "bits": [ 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15817.18-15817.31" + } + } + } + }, + "GTHE4_CHANNEL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16850.1-17696.10" + }, + "parameter_default_values": { + "ACJTAG_DEBUG_MODE": "0", + "ACJTAG_MODE": "0", + "ACJTAG_RESET": "0", + "ADAPT_CFG0": "1001001000000000", + "ADAPT_CFG1": "1000000000011100", + "ADAPT_CFG2": "0000000000000000", + "ALIGN_COMMA_DOUBLE": "FALSE", + "ALIGN_COMMA_ENABLE": "0001111111", + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "ALIGN_MCOMMA_DET": "TRUE", + "ALIGN_MCOMMA_VALUE": "1010000011", + "ALIGN_PCOMMA_DET": "TRUE", + "ALIGN_PCOMMA_VALUE": "0101111100", + "A_RXOSCALRESET": "0", + "A_RXPROGDIVRESET": "0", + "A_RXTERMINATION": "1", + "A_TXDIFFCTRL": "01100", + "A_TXPROGDIVRESET": "0", + "CAPBYPASS_FORCE": "0", + "CBCC_DATA_SOURCE_SEL": "DECODED", + "CDR_SWAP_MODE_EN": "0", + "CFOK_PWRSVE_EN": "1", + "CHAN_BOND_KEEP_ALIGN": "FALSE", + "CHAN_BOND_MAX_SKEW": "00000000000000000000000000000111", + "CHAN_BOND_SEQ_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2": "0000000000", + "CHAN_BOND_SEQ_1_3": "0000000000", + "CHAN_BOND_SEQ_1_4": "0000000000", + "CHAN_BOND_SEQ_1_ENABLE": "1111", + "CHAN_BOND_SEQ_2_1": "0100000000", + "CHAN_BOND_SEQ_2_2": "0100000000", + "CHAN_BOND_SEQ_2_3": "0100000000", + "CHAN_BOND_SEQ_2_4": "0100000000", + "CHAN_BOND_SEQ_2_ENABLE": "1111", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000010", + "CH_HSPMUX": "0010010000100100", + "CKCAL1_CFG_0": "0000000000000000", + "CKCAL1_CFG_1": "0000000000000000", + "CKCAL1_CFG_2": "0000000000000000", + "CKCAL1_CFG_3": "0000000000000000", + "CKCAL2_CFG_0": "0000000000000000", + "CKCAL2_CFG_1": "0000000000000000", + "CKCAL2_CFG_2": "0000000000000000", + "CKCAL2_CFG_3": "0000000000000000", + "CKCAL2_CFG_4": "0000000000000000", + "CKCAL_RSVD0": "0100000000000000", + "CKCAL_RSVD1": "0000000000000000", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_KEEP_IDLE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE": "TRUE", + "CLK_COR_REPEAT_WAIT": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1": "0100011100", + "CLK_COR_SEQ_1_2": "0000000000", + "CLK_COR_SEQ_1_3": "0000000000", + "CLK_COR_SEQ_1_4": "0000000000", + "CLK_COR_SEQ_1_ENABLE": "1111", + "CLK_COR_SEQ_2_1": "0100000000", + "CLK_COR_SEQ_2_2": "0100000000", + "CLK_COR_SEQ_2_3": "0100000000", + "CLK_COR_SEQ_2_4": "0100000000", + "CLK_COR_SEQ_2_ENABLE": "1111", + "CLK_COR_SEQ_2_USE": "FALSE", + "CLK_COR_SEQ_LEN": "00000000000000000000000000000010", + "CPLL_CFG0": "0000000111111010", + "CPLL_CFG1": "0010010010101001", + "CPLL_CFG2": "0110100000000111", + "CPLL_CFG3": "0000000000000000", + "CPLL_FBDIV": "00000000000000000000000000000100", + "CPLL_FBDIV_45": "00000000000000000000000000000100", + "CPLL_INIT_CFG0": "0000000000011110", + "CPLL_LOCK_CFG": "0000000111101000", + "CPLL_REFCLK_DIV": "00000000000000000000000000000001", + "CTLE3_OCAP_EXT_CTRL": "000", + "CTLE3_OCAP_EXT_EN": "0", + "DDI_CTRL": "00", + "DDI_REALIGN_WAIT": "00000000000000000000000000001111", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DELAY_ELEC": "0", + "DMONITOR_CFG0": "0000000000", + "DMONITOR_CFG1": "00000000", + "ES_CLK_PHASE_SEL": "0", + "ES_CONTROL": "000000", + "ES_ERRDET_EN": "FALSE", + "ES_EYE_SCAN_EN": "FALSE", + "ES_HORZ_OFFSET": "100000000000", + "ES_PRESCALE": "00000", + "ES_QUALIFIER0": "0000000000000000", + "ES_QUALIFIER1": "0000000000000000", + "ES_QUALIFIER2": "0000000000000000", + "ES_QUALIFIER3": "0000000000000000", + "ES_QUALIFIER4": "0000000000000000", + "ES_QUALIFIER5": "0000000000000000", + "ES_QUALIFIER6": "0000000000000000", + "ES_QUALIFIER7": "0000000000000000", + "ES_QUALIFIER8": "0000000000000000", + "ES_QUALIFIER9": "0000000000000000", + "ES_QUAL_MASK0": "0000000000000000", + "ES_QUAL_MASK1": "0000000000000000", + "ES_QUAL_MASK2": "0000000000000000", + "ES_QUAL_MASK3": "0000000000000000", + "ES_QUAL_MASK4": "0000000000000000", + "ES_QUAL_MASK5": "0000000000000000", + "ES_QUAL_MASK6": "0000000000000000", + "ES_QUAL_MASK7": "0000000000000000", + "ES_QUAL_MASK8": "0000000000000000", + "ES_QUAL_MASK9": "0000000000000000", + "ES_SDATA_MASK0": "0000000000000000", + "ES_SDATA_MASK1": "0000000000000000", + "ES_SDATA_MASK2": "0000000000000000", + "ES_SDATA_MASK3": "0000000000000000", + "ES_SDATA_MASK4": "0000000000000000", + "ES_SDATA_MASK5": "0000000000000000", + "ES_SDATA_MASK6": "0000000000000000", + "ES_SDATA_MASK7": "0000000000000000", + "ES_SDATA_MASK8": "0000000000000000", + "ES_SDATA_MASK9": "0000000000000000", + "EYE_SCAN_SWAP_EN": "0", + "FTS_DESKEW_SEQ_ENABLE": "1111", + "FTS_LANE_DESKEW_CFG": "1111", + "FTS_LANE_DESKEW_EN": "FALSE", + "GEARBOX_MODE": "00000", + "ISCAN_CK_PH_SEL2": "0", + "LOCAL_MASTER": "0", + "LPBK_BIAS_CTRL": "000", + "LPBK_EN_RCAL_B": "0", + "LPBK_EXT_RCAL": "0000", + "LPBK_IND_CTRL0": "000", + "LPBK_IND_CTRL1": "000", + "LPBK_IND_CTRL2": "000", + "LPBK_RG_CTRL": "0000", + "OOBDIVCTL": "00", + "OOB_PWRUP": "0", + "PCI3_AUTO_REALIGN": "FRST_SMPL", + "PCI3_PIPE_RX_ELECIDLE": "1", + "PCI3_RX_ASYNC_EBUF_BYPASS": "00", + "PCI3_RX_ELECIDLE_EI2_ENABLE": "0", + "PCI3_RX_ELECIDLE_H2L_COUNT": "000000", + "PCI3_RX_ELECIDLE_H2L_DISABLE": "000", + "PCI3_RX_ELECIDLE_HI_COUNT": "000000", + "PCI3_RX_ELECIDLE_LP4_DISABLE": "0", + "PCI3_RX_FIFO_DISABLE": "0", + "PCIE3_CLK_COR_EMPTY_THRSH": "00000", + "PCIE3_CLK_COR_FULL_THRSH": "010000", + "PCIE3_CLK_COR_MAX_LAT": "01000", + "PCIE3_CLK_COR_MIN_LAT": "00100", + "PCIE3_CLK_COR_THRSH_TIMER": "001000", + "PCIE_BUFG_DIV_CTRL": "0000000000000000", + "PCIE_PLL_SEL_MODE_GEN12": "00", + "PCIE_PLL_SEL_MODE_GEN3": "00", + "PCIE_PLL_SEL_MODE_GEN4": "00", + "PCIE_RXPCS_CFG_GEN3": "0000000000000000", + "PCIE_RXPMA_CFG": "0000000000000000", + "PCIE_TXPCS_CFG_GEN3": "0000000000000000", + "PCIE_TXPMA_CFG": "0000000000000000", + "PCS_PCIE_EN": "FALSE", + "PCS_RSVD0": "0000000000000000", + "PD_TRANS_TIME_FROM_P2": "000000111100", + "PD_TRANS_TIME_NONE_P2": "00011001", + "PD_TRANS_TIME_TO_P2": "01100100", + "PREIQ_FREQ_BST": "00000000000000000000000000000000", + "PROCESS_PAR": "010", + "RATE_SW_USE_DRP": "0", + "RCLK_SIPO_DLY_ENB": "0", + "RCLK_SIPO_INV_EN": "0", + "RESET_POWERSAVE_DISABLE": "0", + "RTX_BUF_CML_CTRL": "010", + "RTX_BUF_TERM_CTRL": "00", + "RXBUFRESET_TIME": "00001", + "RXBUF_ADDR_MODE": "FULL", + "RXBUF_EIDLE_HI_CNT": "1000", + "RXBUF_EIDLE_LO_CNT": "0000", + "RXBUF_EN": "TRUE", + "RXBUF_RESET_ON_CB_CHANGE": "TRUE", + "RXBUF_RESET_ON_COMMAALIGN": "FALSE", + "RXBUF_RESET_ON_EIDLE": "FALSE", + "RXBUF_RESET_ON_RATE_CHANGE": "TRUE", + "RXBUF_THRESH_OVFLW": "00000000000000000000000000000000", + "RXBUF_THRESH_OVRD": "FALSE", + "RXBUF_THRESH_UNDFLW": "00000000000000000000000000000100", + "RXCDRFREQRESET_TIME": "00001", + "RXCDRPHRESET_TIME": "00001", + "RXCDR_CFG0": "0000000000000011", + "RXCDR_CFG0_GEN3": "0000000000000011", + "RXCDR_CFG1": "0000000000000000", + "RXCDR_CFG1_GEN3": "0000000000000000", + "RXCDR_CFG2": "0000000101100100", + "RXCDR_CFG2_GEN2": "0101100100", + "RXCDR_CFG2_GEN3": "0000000000110100", + "RXCDR_CFG2_GEN4": "0000000000110100", + "RXCDR_CFG3": "0000000000100100", + "RXCDR_CFG3_GEN2": "100100", + "RXCDR_CFG3_GEN3": "0000000000100100", + "RXCDR_CFG3_GEN4": "0000000000100100", + "RXCDR_CFG4": "0101110011110110", + "RXCDR_CFG4_GEN3": "0101110011110110", + "RXCDR_CFG5": "1011010001101011", + "RXCDR_CFG5_GEN3": "0001010001101011", + "RXCDR_FR_RESET_ON_EIDLE": "0", + "RXCDR_HOLD_DURING_EIDLE": "0", + "RXCDR_LOCK_CFG0": "0000000001000000", + "RXCDR_LOCK_CFG1": "1000000000000000", + "RXCDR_LOCK_CFG2": "0000000000000000", + "RXCDR_LOCK_CFG3": "0000000000000000", + "RXCDR_LOCK_CFG4": "0000000000000000", + "RXCDR_PH_RESET_ON_EIDLE": "0", + "RXCFOK_CFG0": "0000000000000000", + "RXCFOK_CFG1": "0000000000000010", + "RXCFOK_CFG2": "0000000000101101", + "RXCKCAL1_IQ_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL1_I_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL1_Q_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL2_DX_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL2_D_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL2_S_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL2_X_LOOP_RST_CFG": "0000000000000000", + "RXDFELPMRESET_TIME": "0001111", + "RXDFELPM_KL_CFG0": "0000000000000000", + "RXDFELPM_KL_CFG1": "0000000000100010", + "RXDFELPM_KL_CFG2": "0000000100000000", + "RXDFE_CFG0": "0100000000000000", + "RXDFE_CFG1": "0000000000000000", + "RXDFE_GC_CFG0": "0000000000000000", + "RXDFE_GC_CFG1": "0000000000000000", + "RXDFE_GC_CFG2": "0000000000000000", + "RXDFE_H2_CFG0": "0000000000000000", + "RXDFE_H2_CFG1": "0000000000000010", + "RXDFE_H3_CFG0": "0000000000000000", + "RXDFE_H3_CFG1": "0000000000000010", + "RXDFE_H4_CFG0": "0000000000000000", + "RXDFE_H4_CFG1": "0000000000000011", + "RXDFE_H5_CFG0": "0000000000000000", + "RXDFE_H5_CFG1": "0000000000000010", + "RXDFE_H6_CFG0": "0000000000000000", + "RXDFE_H6_CFG1": "0000000000000010", + "RXDFE_H7_CFG0": "0000000000000000", + "RXDFE_H7_CFG1": "0000000000000010", + "RXDFE_H8_CFG0": "0000000000000000", + "RXDFE_H8_CFG1": "0000000000000010", + "RXDFE_H9_CFG0": "0000000000000000", + "RXDFE_H9_CFG1": "0000000000000010", + "RXDFE_HA_CFG0": "0000000000000000", + "RXDFE_HA_CFG1": "0000000000000010", + "RXDFE_HB_CFG0": "0000000000000000", + "RXDFE_HB_CFG1": "0000000000000010", + "RXDFE_HC_CFG0": "0000000000000000", + "RXDFE_HC_CFG1": "0000000000000010", + "RXDFE_HD_CFG0": "0000000000000000", + "RXDFE_HD_CFG1": "0000000000000010", + "RXDFE_HE_CFG0": "0000000000000000", + "RXDFE_HE_CFG1": "0000000000000010", + "RXDFE_HF_CFG0": "0000000000000000", + "RXDFE_HF_CFG1": "0000000000000010", + "RXDFE_KH_CFG0": "0000000000000000", + "RXDFE_KH_CFG1": "0000000000000000", + "RXDFE_KH_CFG2": "0000000000000000", + "RXDFE_KH_CFG3": "0000000000000000", + "RXDFE_OS_CFG0": "0000000000000000", + "RXDFE_OS_CFG1": "0000000000000010", + "RXDFE_PWR_SAVING": "0", + "RXDFE_UT_CFG0": "0000000000000000", + "RXDFE_UT_CFG1": "0000000000000010", + "RXDFE_UT_CFG2": "0000000000000000", + "RXDFE_VP_CFG0": "0000000000000000", + "RXDFE_VP_CFG1": "0000000000100010", + "RXDLY_CFG": "0000000000010000", + "RXDLY_LCFG": "0000000000110000", + "RXELECIDLE_CFG": "SIGCFG_4", + "RXGBOX_FIFO_INIT_RD_ADDR": "00000000000000000000000000000100", + "RXGEARBOX_EN": "FALSE", + "RXISCANRESET_TIME": "00001", + "RXLPM_CFG": "0000000000000000", + "RXLPM_GC_CFG": "0001000000000000", + "RXLPM_KH_CFG0": "0000000000000000", + "RXLPM_KH_CFG1": "0000000000000010", + "RXLPM_OS_CFG0": "0000000000000000", + "RXLPM_OS_CFG1": "0000000000000000", + "RXOOB_CFG": "000110000", + "RXOOB_CLK_CFG": "PMA", + "RXOSCALRESET_TIME": "00011", + "RXOUT_DIV": "00000000000000000000000000000100", + "RXPCSRESET_TIME": "00001", + "RXPHBEACON_CFG": "0000000000000000", + "RXPHDLY_CFG": "0010000000100000", + "RXPHSAMP_CFG": "0010000100000000", + "RXPHSLIP_CFG": "1001100100110011", + "RXPH_MONITOR_SEL": "00000", + "RXPI_AUTO_BW_SEL_BYPASS": "0", + "RXPI_CFG0": "0000000000000010", + "RXPI_CFG1": "0000000000000000", + "RXPI_LPM": "0", + "RXPI_SEL_LC": "00", + "RXPI_STARTCODE": "00", + "RXPI_VREFSEL": "0", + "RXPMACLK_SEL": "DATA", + "RXPMARESET_TIME": "00001", + "RXPRBS_ERR_LOOPBACK": "0", + "RXPRBS_LINKACQ_CNT": "00000000000000000000000000001111", + "RXREFCLKDIV2_SEL": "0", + "RXSLIDE_AUTO_WAIT": "00000000000000000000000000000111", + "RXSLIDE_MODE": "OFF", + "RXSYNC_MULTILANE": "0", + "RXSYNC_OVRD": "0", + "RXSYNC_SKIP_DA": "0", + "RX_AFE_CM_EN": "0", + "RX_BIAS_CFG0": "0001001010110000", + "RX_BUFFER_CFG": "000000", + "RX_CAPFF_SARC_ENB": "0", + "RX_CLK25_DIV": "00000000000000000000000000001000", + "RX_CLKMUX_EN": "1", + "RX_CLK_SLIP_OVRD": "00000", + "RX_CM_BUF_CFG": "1010", + "RX_CM_BUF_PD": "0", + "RX_CM_SEL": "00000000000000000000000000000011", + "RX_CM_TRIM": "00000000000000000000000000001100", + "RX_CTLE3_LPF": "00000000", + "RX_DATA_WIDTH": "00000000000000000000000000010100", + "RX_DDI_SEL": "000000", + "RX_DEFER_RESET_BUF_EN": "TRUE", + "RX_DEGEN_CTRL": "011", + "RX_DFELPM_CFG0": "00000000000000000000000000000000", + "RX_DFELPM_CFG1": "1", + "RX_DFELPM_KLKH_AGC_STUP_EN": "1", + "RX_DFE_AGC_CFG0": "00", + "RX_DFE_AGC_CFG1": "00000000000000000000000000000100", + "RX_DFE_KL_LPM_KH_CFG0": "00000000000000000000000000000001", + "RX_DFE_KL_LPM_KH_CFG1": "00000000000000000000000000000100", + "RX_DFE_KL_LPM_KL_CFG0": "01", + "RX_DFE_KL_LPM_KL_CFG1": "00000000000000000000000000000100", + "RX_DFE_LPM_HOLD_DURING_EIDLE": "0", + "RX_DISPERR_SEQ_MATCH": "TRUE", + "RX_DIV2_MODE_B": "0", + "RX_DIVRESET_TIME": "00001", + "RX_EN_CTLE_RCAL_B": "0", + "RX_EN_HI_LR": "1", + "RX_EXT_RL_CTRL": "000000000", + "RX_EYESCAN_VS_CODE": "0000000", + "RX_EYESCAN_VS_NEG_DIR": "0", + "RX_EYESCAN_VS_RANGE": "00", + "RX_EYESCAN_VS_UT_SIGN": "0", + "RX_FABINT_USRCLK_FLOP": "0", + "RX_INT_DATAWIDTH": "00000000000000000000000000000001", + "RX_PMA_POWER_SAVE": "0", + "RX_PMA_RSV0": "0000000000000000", + "RX_PROGDIV_RATE": "0000000000000001", + "RX_RESLOAD_CTRL": "0000", + "RX_RESLOAD_OVRD": "0", + "RX_SAMPLE_PERIOD": "101", + "RX_SIG_VALID_DLY": "00000000000000000000000000001011", + "RX_SUM_DFETAPREP_EN": "0", + "RX_SUM_IREF_TUNE": "1001", + "RX_SUM_RESLOAD_CTRL": "0000", + "RX_SUM_VCMTUNE": "1010", + "RX_SUM_VCM_OVWR": "0", + "RX_SUM_VREF_TUNE": "100", + "RX_TUNE_AFE_OS": "00", + "RX_VREG_CTRL": "101", + "RX_VREG_PDB": "1", + "RX_WIDEMODE_CDR": "01", + "RX_WIDEMODE_CDR_GEN3": "01", + "RX_WIDEMODE_CDR_GEN4": "01", + "RX_XCLK_SEL": "RXDES", + "RX_XMODE_SEL": "0", + "SAMPLE_CLK_PHASE": "0", + "SAS_12G_MODE": "0", + "SATA_BURST_SEQ_LEN": "1111", + "SATA_BURST_VAL": "100", + "SATA_CPLL_CFG": "VCO_3000MHZ", + "SATA_EIDLE_VAL": "100", + "SHOW_REALIGN_COMMA": "TRUE", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "SIM_MODE": "FAST", + "SIM_RECEIVER_DETECT_PASS": "TRUE", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_TX_EIDLE_DRIVE_LEVEL": "Z", + "SRSTMODE": "0", + "TAPDLY_SET_TX": "00", + "TEMPERATURE_PAR": "0010", + "TERM_RCAL_CFG": "100001000010000", + "TERM_RCAL_OVRD": "000", + "TRANS_TIME_RATE": "00001110", + "TST_RSV0": "00000000", + "TST_RSV1": "00000000", + "TXBUF_EN": "TRUE", + "TXBUF_RESET_ON_RATE_CHANGE": "FALSE", + "TXDLY_CFG": "0000000000010000", + "TXDLY_LCFG": "0000000000110000", + "TXDRVBIAS_N": "1010", + "TXFIFO_ADDR_CFG": "LOW", + "TXGBOX_FIFO_INIT_RD_ADDR": "00000000000000000000000000000100", + "TXGEARBOX_EN": "FALSE", + "TXOUT_DIV": "00000000000000000000000000000100", + "TXPCSRESET_TIME": "00001", + "TXPHDLY_CFG0": "0110000000100000", + "TXPHDLY_CFG1": "0000000000000010", + "TXPH_CFG": "0000000100100011", + "TXPH_CFG2": "0000000000000000", + "TXPH_MONITOR_SEL": "00000", + "TXPI_CFG": "0000000000000000", + "TXPI_CFG0": "00", + "TXPI_CFG1": "00", + "TXPI_CFG2": "00", + "TXPI_CFG3": "0", + "TXPI_CFG4": "1", + "TXPI_CFG5": "000", + "TXPI_GRAY_SEL": "0", + "TXPI_INVSTROBE_SEL": "0", + "TXPI_LPM": "0", + "TXPI_PPM": "0", + "TXPI_PPMCLK_SEL": "TXUSRCLK2", + "TXPI_PPM_CFG": "00000000", + "TXPI_SYNFREQ_PPM": "000", + "TXPI_VREFSEL": "0", + "TXPMARESET_TIME": "00001", + "TXREFCLKDIV2_SEL": "0", + "TXSYNC_MULTILANE": "0", + "TXSYNC_OVRD": "0", + "TXSYNC_SKIP_DA": "0", + "TX_CLK25_DIV": "00000000000000000000000000001000", + "TX_CLKMUX_EN": "1", + "TX_DATA_WIDTH": "00000000000000000000000000010100", + "TX_DCC_LOOP_RST_CFG": "0000000000000000", + "TX_DEEMPH0": "000000", + "TX_DEEMPH1": "000000", + "TX_DEEMPH2": "000000", + "TX_DEEMPH3": "000000", + "TX_DIVRESET_TIME": "00001", + "TX_DRIVE_MODE": "DIRECT", + "TX_DRVMUX_CTRL": "00000000000000000000000000000010", + "TX_EIDLE_ASSERT_DELAY": "110", + "TX_EIDLE_DEASSERT_DELAY": "100", + "TX_FABINT_USRCLK_FLOP": "0", + "TX_FIFO_BYP_EN": "0", + "TX_IDLE_DATA_ZERO": "0", + "TX_INT_DATAWIDTH": "00000000000000000000000000000001", + "TX_LOOPBACK_DRIVE_HIZ": "FALSE", + "TX_MAINCURSOR_SEL": "0", + "TX_MARGIN_FULL_0": "1001110", + "TX_MARGIN_FULL_1": "1001001", + "TX_MARGIN_FULL_2": "1000101", + "TX_MARGIN_FULL_3": "1000010", + "TX_MARGIN_FULL_4": "1000000", + "TX_MARGIN_LOW_0": "1000110", + "TX_MARGIN_LOW_1": "1000100", + "TX_MARGIN_LOW_2": "1000010", + "TX_MARGIN_LOW_3": "1000000", + "TX_MARGIN_LOW_4": "1000000", + "TX_PHICAL_CFG0": "0000000000000000", + "TX_PHICAL_CFG1": "0000000000111111", + "TX_PHICAL_CFG2": "0000000000000000", + "TX_PI_BIASSET": "00000000000000000000000000000000", + "TX_PI_IBIAS_MID": "00", + "TX_PMADATA_OPT": "0", + "TX_PMA_POWER_SAVE": "0", + "TX_PMA_RSV0": "0000000000001000", + "TX_PREDRV_CTRL": "00000000000000000000000000000010", + "TX_PROGCLK_SEL": "POSTPI", + "TX_PROGDIV_RATE": "0000000000000001", + "TX_QPI_STATUS_EN": "0", + "TX_RXDETECT_CFG": "00000000110010", + "TX_RXDETECT_REF": "00000000000000000000000000000011", + "TX_SAMPLE_PERIOD": "101", + "TX_SARC_LPBK_ENB": "0", + "TX_SW_MEAS": "00", + "TX_VREG_CTRL": "000", + "TX_VREG_PDB": "0", + "TX_VREG_VREFSEL": "00", + "TX_XCLK_SEL": "TXOUT", + "USB_BOTH_BURST_IDLE": "0", + "USB_BURSTMAX_U3WAKE": "1111111", + "USB_BURSTMIN_U3WAKE": "1100011", + "USB_CLK_COR_EQ_EN": "0", + "USB_EXT_CNTL": "1", + "USB_IDLEMAX_POLLING": "1010111011", + "USB_IDLEMIN_POLLING": "0100101011", + "USB_LFPSPING_BURST": "000000101", + "USB_LFPSPOLLING_BURST": "000110001", + "USB_LFPSPOLLING_IDLE_MS": "000000100", + "USB_LFPSU1EXIT_BURST": "000011101", + "USB_LFPSU2LPEXIT_BURST_MS": "001100011", + "USB_LFPSU3WAKE_BURST_MS": "111110011", + "USB_LFPS_TPERIOD": "0011", + "USB_LFPS_TPERIOD_ACCURATE": "1", + "USB_MODE": "0", + "USB_PCIE_ERR_REP_DIS": "0", + "USB_PING_SATA_MAX_INIT": "00000000000000000000000000010101", + "USB_PING_SATA_MIN_INIT": "00000000000000000000000000001100", + "USB_POLL_SATA_MAX_BURST": "00000000000000000000000000001000", + "USB_POLL_SATA_MIN_BURST": "00000000000000000000000000000100", + "USB_RAW_ELEC": "0", + "USB_RXIDLE_P0_CTRL": "1", + "USB_TXIDLE_TUNE_ENABLE": "1", + "USB_U1_SATA_MAX_WAKE": "00000000000000000000000000000111", + "USB_U1_SATA_MIN_WAKE": "00000000000000000000000000000100", + "USB_U2_SAS_MAX_COM": "00000000000000000000000001000000", + "USB_U2_SAS_MIN_COM": "00000000000000000000000000100100", + "USE_PCS_CLK_PHASE_SEL": "0", + "Y_ALL_MODE": "0" + }, + "ports": { + "BUFGTCE": { + "direction": "output", + "bits": [ 2 ] + }, + "BUFGTCEMASK": { + "direction": "output", + "bits": [ 3, 4, 5 ] + }, + "BUFGTDIV": { + "direction": "output", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "BUFGTRESET": { + "direction": "output", + "bits": [ 15 ] + }, + "BUFGTRSTMASK": { + "direction": "output", + "bits": [ 16, 17, 18 ] + }, + "CPLLFBCLKLOST": { + "direction": "output", + "bits": [ 19 ] + }, + "CPLLLOCK": { + "direction": "output", + "bits": [ 20 ] + }, + "CPLLREFCLKLOST": { + "direction": "output", + "bits": [ 21 ] + }, + "DMONITOROUT": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DMONITOROUTCLK": { + "direction": "output", + "bits": [ 38 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 55 ] + }, + "EYESCANDATAERROR": { + "direction": "output", + "bits": [ 56 ] + }, + "GTHTXN": { + "direction": "output", + "bits": [ 57 ] + }, + "GTHTXP": { + "direction": "output", + "bits": [ 58 ] + }, + "GTPOWERGOOD": { + "direction": "output", + "bits": [ 59 ] + }, + "GTREFCLKMONITOR": { + "direction": "output", + "bits": [ 60 ] + }, + "PCIERATEGEN3": { + "direction": "output", + "bits": [ 61 ] + }, + "PCIERATEIDLE": { + "direction": "output", + "bits": [ 62 ] + }, + "PCIERATEQPLLPD": { + "direction": "output", + "bits": [ 63, 64 ] + }, + "PCIERATEQPLLRESET": { + "direction": "output", + "bits": [ 65, 66 ] + }, + "PCIESYNCTXSYNCDONE": { + "direction": "output", + "bits": [ 67 ] + }, + "PCIEUSERGEN3RDY": { + "direction": "output", + "bits": [ 68 ] + }, + "PCIEUSERPHYSTATUSRST": { + "direction": "output", + "bits": [ 69 ] + }, + "PCIEUSERRATESTART": { + "direction": "output", + "bits": [ 70 ] + }, + "PCSRSVDOUT": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ] + }, + "PHYSTATUS": { + "direction": "output", + "bits": [ 87 ] + }, + "PINRSRVDAS": { + "direction": "output", + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "POWERPRESENT": { + "direction": "output", + "bits": [ 104 ] + }, + "RESETEXCEPTION": { + "direction": "output", + "bits": [ 105 ] + }, + "RXBUFSTATUS": { + "direction": "output", + "bits": [ 106, 107, 108 ] + }, + "RXBYTEISALIGNED": { + "direction": "output", + "bits": [ 109 ] + }, + "RXBYTEREALIGN": { + "direction": "output", + "bits": [ 110 ] + }, + "RXCDRLOCK": { + "direction": "output", + "bits": [ 111 ] + }, + "RXCDRPHDONE": { + "direction": "output", + "bits": [ 112 ] + }, + "RXCHANBONDSEQ": { + "direction": "output", + "bits": [ 113 ] + }, + "RXCHANISALIGNED": { + "direction": "output", + "bits": [ 114 ] + }, + "RXCHANREALIGN": { + "direction": "output", + "bits": [ 115 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 116, 117, 118, 119, 120 ] + }, + "RXCKCALDONE": { + "direction": "output", + "bits": [ 121 ] + }, + "RXCLKCORCNT": { + "direction": "output", + "bits": [ 122, 123 ] + }, + "RXCOMINITDET": { + "direction": "output", + "bits": [ 124 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 125 ] + }, + "RXCOMSASDET": { + "direction": "output", + "bits": [ 126 ] + }, + "RXCOMWAKEDET": { + "direction": "output", + "bits": [ 127 ] + }, + "RXCTRL0": { + "direction": "output", + "bits": [ 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ] + }, + "RXCTRL1": { + "direction": "output", + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159 ] + }, + "RXCTRL2": { + "direction": "output", + "bits": [ 160, 161, 162, 163, 164, 165, 166, 167 ] + }, + "RXCTRL3": { + "direction": "output", + "bits": [ 168, 169, 170, 171, 172, 173, 174, 175 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "RXDATAEXTENDRSVD": { + "direction": "output", + "bits": [ 304, 305, 306, 307, 308, 309, 310, 311 ] + }, + "RXDATAVALID": { + "direction": "output", + "bits": [ 312, 313 ] + }, + "RXDLYSRESETDONE": { + "direction": "output", + "bits": [ 314 ] + }, + "RXELECIDLE": { + "direction": "output", + "bits": [ 315 ] + }, + "RXHEADER": { + "direction": "output", + "bits": [ 316, 317, 318, 319, 320, 321 ] + }, + "RXHEADERVALID": { + "direction": "output", + "bits": [ 322, 323 ] + }, + "RXLFPSTRESETDET": { + "direction": "output", + "bits": [ 324 ] + }, + "RXLFPSU2LPEXITDET": { + "direction": "output", + "bits": [ 325 ] + }, + "RXLFPSU3WAKEDET": { + "direction": "output", + "bits": [ 326 ] + }, + "RXMONITOROUT": { + "direction": "output", + "bits": [ 327, 328, 329, 330, 331, 332, 333, 334 ] + }, + "RXOSINTDONE": { + "direction": "output", + "bits": [ 335 ] + }, + "RXOSINTSTARTED": { + "direction": "output", + "bits": [ 336 ] + }, + "RXOSINTSTROBEDONE": { + "direction": "output", + "bits": [ 337 ] + }, + "RXOSINTSTROBESTARTED": { + "direction": "output", + "bits": [ 338 ] + }, + "RXOUTCLK": { + "direction": "output", + "bits": [ 339 ] + }, + "RXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 340 ] + }, + "RXOUTCLKPCS": { + "direction": "output", + "bits": [ 341 ] + }, + "RXPHALIGNDONE": { + "direction": "output", + "bits": [ 342 ] + }, + "RXPHALIGNERR": { + "direction": "output", + "bits": [ 343 ] + }, + "RXPMARESETDONE": { + "direction": "output", + "bits": [ 344 ] + }, + "RXPRBSERR": { + "direction": "output", + "bits": [ 345 ] + }, + "RXPRBSLOCKED": { + "direction": "output", + "bits": [ 346 ] + }, + "RXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 347 ] + }, + "RXQPISENN": { + "direction": "output", + "bits": [ 348 ] + }, + "RXQPISENP": { + "direction": "output", + "bits": [ 349 ] + }, + "RXRATEDONE": { + "direction": "output", + "bits": [ 350 ] + }, + "RXRECCLKOUT": { + "direction": "output", + "bits": [ 351 ] + }, + "RXRESETDONE": { + "direction": "output", + "bits": [ 352 ] + }, + "RXSLIDERDY": { + "direction": "output", + "bits": [ 353 ] + }, + "RXSLIPDONE": { + "direction": "output", + "bits": [ 354 ] + }, + "RXSLIPOUTCLKRDY": { + "direction": "output", + "bits": [ 355 ] + }, + "RXSLIPPMARDY": { + "direction": "output", + "bits": [ 356 ] + }, + "RXSTARTOFSEQ": { + "direction": "output", + "bits": [ 357, 358 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 359, 360, 361 ] + }, + "RXSYNCDONE": { + "direction": "output", + "bits": [ 362 ] + }, + "RXSYNCOUT": { + "direction": "output", + "bits": [ 363 ] + }, + "RXVALID": { + "direction": "output", + "bits": [ 364 ] + }, + "TXBUFSTATUS": { + "direction": "output", + "bits": [ 365, 366 ] + }, + "TXCOMFINISH": { + "direction": "output", + "bits": [ 367 ] + }, + "TXDCCDONE": { + "direction": "output", + "bits": [ 368 ] + }, + "TXDLYSRESETDONE": { + "direction": "output", + "bits": [ 369 ] + }, + "TXOUTCLK": { + "direction": "output", + "bits": [ 370 ] + }, + "TXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 371 ] + }, + "TXOUTCLKPCS": { + "direction": "output", + "bits": [ 372 ] + }, + "TXPHALIGNDONE": { + "direction": "output", + "bits": [ 373 ] + }, + "TXPHINITDONE": { + "direction": "output", + "bits": [ 374 ] + }, + "TXPMARESETDONE": { + "direction": "output", + "bits": [ 375 ] + }, + "TXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 376 ] + }, + "TXQPISENN": { + "direction": "output", + "bits": [ 377 ] + }, + "TXQPISENP": { + "direction": "output", + "bits": [ 378 ] + }, + "TXRATEDONE": { + "direction": "output", + "bits": [ 379 ] + }, + "TXRESETDONE": { + "direction": "output", + "bits": [ 380 ] + }, + "TXSYNCDONE": { + "direction": "output", + "bits": [ 381 ] + }, + "TXSYNCOUT": { + "direction": "output", + "bits": [ 382 ] + }, + "CDRSTEPDIR": { + "direction": "input", + "bits": [ 383 ] + }, + "CDRSTEPSQ": { + "direction": "input", + "bits": [ 384 ] + }, + "CDRSTEPSX": { + "direction": "input", + "bits": [ 385 ] + }, + "CFGRESET": { + "direction": "input", + "bits": [ 386 ] + }, + "CLKRSVD0": { + "direction": "input", + "bits": [ 387 ] + }, + "CLKRSVD1": { + "direction": "input", + "bits": [ 388 ] + }, + "CPLLFREQLOCK": { + "direction": "input", + "bits": [ 389 ] + }, + "CPLLLOCKDETCLK": { + "direction": "input", + "bits": [ 390 ] + }, + "CPLLLOCKEN": { + "direction": "input", + "bits": [ 391 ] + }, + "CPLLPD": { + "direction": "input", + "bits": [ 392 ] + }, + "CPLLREFCLKSEL": { + "direction": "input", + "bits": [ 393, 394, 395 ] + }, + "CPLLRESET": { + "direction": "input", + "bits": [ 396 ] + }, + "DMONFIFORESET": { + "direction": "input", + "bits": [ 397 ] + }, + "DMONITORCLK": { + "direction": "input", + "bits": [ 398 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 409 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 426 ] + }, + "DRPRST": { + "direction": "input", + "bits": [ 427 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 428 ] + }, + "EYESCANRESET": { + "direction": "input", + "bits": [ 429 ] + }, + "EYESCANTRIGGER": { + "direction": "input", + "bits": [ 430 ] + }, + "FREQOS": { + "direction": "input", + "bits": [ 431 ] + }, + "GTGREFCLK": { + "direction": "input", + "bits": [ 432 ] + }, + "GTHRXN": { + "direction": "input", + "bits": [ 433 ] + }, + "GTHRXP": { + "direction": "input", + "bits": [ 434 ] + }, + "GTNORTHREFCLK0": { + "direction": "input", + "bits": [ 435 ] + }, + "GTNORTHREFCLK1": { + "direction": "input", + "bits": [ 436 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 437 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 438 ] + }, + "GTRSVD": { + "direction": "input", + "bits": [ 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454 ] + }, + "GTRXRESET": { + "direction": "input", + "bits": [ 455 ] + }, + "GTRXRESETSEL": { + "direction": "input", + "bits": [ 456 ] + }, + "GTSOUTHREFCLK0": { + "direction": "input", + "bits": [ 457 ] + }, + "GTSOUTHREFCLK1": { + "direction": "input", + "bits": [ 458 ] + }, + "GTTXRESET": { + "direction": "input", + "bits": [ 459 ] + }, + "GTTXRESETSEL": { + "direction": "input", + "bits": [ 460 ] + }, + "INCPCTRL": { + "direction": "input", + "bits": [ 461 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 462, 463, 464 ] + }, + "PCIEEQRXEQADAPTDONE": { + "direction": "input", + "bits": [ 465 ] + }, + "PCIERSTIDLE": { + "direction": "input", + "bits": [ 466 ] + }, + "PCIERSTTXSYNCSTART": { + "direction": "input", + "bits": [ 467 ] + }, + "PCIEUSERRATEDONE": { + "direction": "input", + "bits": [ 468 ] + }, + "PCSRSVDIN": { + "direction": "input", + "bits": [ 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484 ] + }, + "QPLL0CLK": { + "direction": "input", + "bits": [ 485 ] + }, + "QPLL0FREQLOCK": { + "direction": "input", + "bits": [ 486 ] + }, + "QPLL0REFCLK": { + "direction": "input", + "bits": [ 487 ] + }, + "QPLL1CLK": { + "direction": "input", + "bits": [ 488 ] + }, + "QPLL1FREQLOCK": { + "direction": "input", + "bits": [ 489 ] + }, + "QPLL1REFCLK": { + "direction": "input", + "bits": [ 490 ] + }, + "RESETOVRD": { + "direction": "input", + "bits": [ 491 ] + }, + "RX8B10BEN": { + "direction": "input", + "bits": [ 492 ] + }, + "RXAFECFOKEN": { + "direction": "input", + "bits": [ 493 ] + }, + "RXBUFRESET": { + "direction": "input", + "bits": [ 494 ] + }, + "RXCDRFREQRESET": { + "direction": "input", + "bits": [ 495 ] + }, + "RXCDRHOLD": { + "direction": "input", + "bits": [ 496 ] + }, + "RXCDROVRDEN": { + "direction": "input", + "bits": [ 497 ] + }, + "RXCDRRESET": { + "direction": "input", + "bits": [ 498 ] + }, + "RXCHBONDEN": { + "direction": "input", + "bits": [ 499 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 500, 501, 502, 503, 504 ] + }, + "RXCHBONDLEVEL": { + "direction": "input", + "bits": [ 505, 506, 507 ] + }, + "RXCHBONDMASTER": { + "direction": "input", + "bits": [ 508 ] + }, + "RXCHBONDSLAVE": { + "direction": "input", + "bits": [ 509 ] + }, + "RXCKCALRESET": { + "direction": "input", + "bits": [ 510 ] + }, + "RXCKCALSTART": { + "direction": "input", + "bits": [ 511, 512, 513, 514, 515, 516, 517 ] + }, + "RXCOMMADETEN": { + "direction": "input", + "bits": [ 518 ] + }, + "RXDFEAGCCTRL": { + "direction": "input", + "bits": [ 519, 520 ] + }, + "RXDFEAGCHOLD": { + "direction": "input", + "bits": [ 521 ] + }, + "RXDFEAGCOVRDEN": { + "direction": "input", + "bits": [ 522 ] + }, + "RXDFECFOKFCNUM": { + "direction": "input", + "bits": [ 523, 524, 525, 526 ] + }, + "RXDFECFOKFEN": { + "direction": "input", + "bits": [ 527 ] + }, + "RXDFECFOKFPULSE": { + "direction": "input", + "bits": [ 528 ] + }, + "RXDFECFOKHOLD": { + "direction": "input", + "bits": [ 529 ] + }, + "RXDFECFOKOVREN": { + "direction": "input", + "bits": [ 530 ] + }, + "RXDFEKHHOLD": { + "direction": "input", + "bits": [ 531 ] + }, + "RXDFEKHOVRDEN": { + "direction": "input", + "bits": [ 532 ] + }, + "RXDFELFHOLD": { + "direction": "input", + "bits": [ 533 ] + }, + "RXDFELFOVRDEN": { + "direction": "input", + "bits": [ 534 ] + }, + "RXDFELPMRESET": { + "direction": "input", + "bits": [ 535 ] + }, + "RXDFETAP10HOLD": { + "direction": "input", + "bits": [ 536 ] + }, + "RXDFETAP10OVRDEN": { + "direction": "input", + "bits": [ 537 ] + }, + "RXDFETAP11HOLD": { + "direction": "input", + "bits": [ 538 ] + }, + "RXDFETAP11OVRDEN": { + "direction": "input", + "bits": [ 539 ] + }, + "RXDFETAP12HOLD": { + "direction": "input", + "bits": [ 540 ] + }, + "RXDFETAP12OVRDEN": { + "direction": "input", + "bits": [ 541 ] + }, + "RXDFETAP13HOLD": { + "direction": "input", + "bits": [ 542 ] + }, + "RXDFETAP13OVRDEN": { + "direction": "input", + "bits": [ 543 ] + }, + "RXDFETAP14HOLD": { + "direction": "input", + "bits": [ 544 ] + }, + "RXDFETAP14OVRDEN": { + "direction": "input", + "bits": [ 545 ] + }, + "RXDFETAP15HOLD": { + "direction": "input", + "bits": [ 546 ] + }, + "RXDFETAP15OVRDEN": { + "direction": "input", + "bits": [ 547 ] + }, + "RXDFETAP2HOLD": { + "direction": "input", + "bits": [ 548 ] + }, + "RXDFETAP2OVRDEN": { + "direction": "input", + "bits": [ 549 ] + }, + "RXDFETAP3HOLD": { + "direction": "input", + "bits": [ 550 ] + }, + "RXDFETAP3OVRDEN": { + "direction": "input", + "bits": [ 551 ] + }, + "RXDFETAP4HOLD": { + "direction": "input", + "bits": [ 552 ] + }, + "RXDFETAP4OVRDEN": { + "direction": "input", + "bits": [ 553 ] + }, + "RXDFETAP5HOLD": { + "direction": "input", + "bits": [ 554 ] + }, + "RXDFETAP5OVRDEN": { + "direction": "input", + "bits": [ 555 ] + }, + "RXDFETAP6HOLD": { + "direction": "input", + "bits": [ 556 ] + }, + "RXDFETAP6OVRDEN": { + "direction": "input", + "bits": [ 557 ] + }, + "RXDFETAP7HOLD": { + "direction": "input", + "bits": [ 558 ] + }, + "RXDFETAP7OVRDEN": { + "direction": "input", + "bits": [ 559 ] + }, + "RXDFETAP8HOLD": { + "direction": "input", + "bits": [ 560 ] + }, + "RXDFETAP8OVRDEN": { + "direction": "input", + "bits": [ 561 ] + }, + "RXDFETAP9HOLD": { + "direction": "input", + "bits": [ 562 ] + }, + "RXDFETAP9OVRDEN": { + "direction": "input", + "bits": [ 563 ] + }, + "RXDFEUTHOLD": { + "direction": "input", + "bits": [ 564 ] + }, + "RXDFEUTOVRDEN": { + "direction": "input", + "bits": [ 565 ] + }, + "RXDFEVPHOLD": { + "direction": "input", + "bits": [ 566 ] + }, + "RXDFEVPOVRDEN": { + "direction": "input", + "bits": [ 567 ] + }, + "RXDFEXYDEN": { + "direction": "input", + "bits": [ 568 ] + }, + "RXDLYBYPASS": { + "direction": "input", + "bits": [ 569 ] + }, + "RXDLYEN": { + "direction": "input", + "bits": [ 570 ] + }, + "RXDLYOVRDEN": { + "direction": "input", + "bits": [ 571 ] + }, + "RXDLYSRESET": { + "direction": "input", + "bits": [ 572 ] + }, + "RXELECIDLEMODE": { + "direction": "input", + "bits": [ 573, 574 ] + }, + "RXEQTRAINING": { + "direction": "input", + "bits": [ 575 ] + }, + "RXGEARBOXSLIP": { + "direction": "input", + "bits": [ 576 ] + }, + "RXLATCLK": { + "direction": "input", + "bits": [ 577 ] + }, + "RXLPMEN": { + "direction": "input", + "bits": [ 578 ] + }, + "RXLPMGCHOLD": { + "direction": "input", + "bits": [ 579 ] + }, + "RXLPMGCOVRDEN": { + "direction": "input", + "bits": [ 580 ] + }, + "RXLPMHFHOLD": { + "direction": "input", + "bits": [ 581 ] + }, + "RXLPMHFOVRDEN": { + "direction": "input", + "bits": [ 582 ] + }, + "RXLPMLFHOLD": { + "direction": "input", + "bits": [ 583 ] + }, + "RXLPMLFKLOVRDEN": { + "direction": "input", + "bits": [ 584 ] + }, + "RXLPMOSHOLD": { + "direction": "input", + "bits": [ 585 ] + }, + "RXLPMOSOVRDEN": { + "direction": "input", + "bits": [ 586 ] + }, + "RXMCOMMAALIGNEN": { + "direction": "input", + "bits": [ 587 ] + }, + "RXMONITORSEL": { + "direction": "input", + "bits": [ 588, 589 ] + }, + "RXOOBRESET": { + "direction": "input", + "bits": [ 590 ] + }, + "RXOSCALRESET": { + "direction": "input", + "bits": [ 591 ] + }, + "RXOSHOLD": { + "direction": "input", + "bits": [ 592 ] + }, + "RXOSOVRDEN": { + "direction": "input", + "bits": [ 593 ] + }, + "RXOUTCLKSEL": { + "direction": "input", + "bits": [ 594, 595, 596 ] + }, + "RXPCOMMAALIGNEN": { + "direction": "input", + "bits": [ 597 ] + }, + "RXPCSRESET": { + "direction": "input", + "bits": [ 598 ] + }, + "RXPD": { + "direction": "input", + "bits": [ 599, 600 ] + }, + "RXPHALIGN": { + "direction": "input", + "bits": [ 601 ] + }, + "RXPHALIGNEN": { + "direction": "input", + "bits": [ 602 ] + }, + "RXPHDLYPD": { + "direction": "input", + "bits": [ 603 ] + }, + "RXPHDLYRESET": { + "direction": "input", + "bits": [ 604 ] + }, + "RXPHOVRDEN": { + "direction": "input", + "bits": [ 605 ] + }, + "RXPLLCLKSEL": { + "direction": "input", + "bits": [ 606, 607 ] + }, + "RXPMARESET": { + "direction": "input", + "bits": [ 608 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 609 ] + }, + "RXPRBSCNTRESET": { + "direction": "input", + "bits": [ 610 ] + }, + "RXPRBSSEL": { + "direction": "input", + "bits": [ 611, 612, 613, 614 ] + }, + "RXPROGDIVRESET": { + "direction": "input", + "bits": [ 615 ] + }, + "RXQPIEN": { + "direction": "input", + "bits": [ 616 ] + }, + "RXRATE": { + "direction": "input", + "bits": [ 617, 618, 619 ] + }, + "RXRATEMODE": { + "direction": "input", + "bits": [ 620 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 621 ] + }, + "RXSLIPOUTCLK": { + "direction": "input", + "bits": [ 622 ] + }, + "RXSLIPPMA": { + "direction": "input", + "bits": [ 623 ] + }, + "RXSYNCALLIN": { + "direction": "input", + "bits": [ 624 ] + }, + "RXSYNCIN": { + "direction": "input", + "bits": [ 625 ] + }, + "RXSYNCMODE": { + "direction": "input", + "bits": [ 626 ] + }, + "RXSYSCLKSEL": { + "direction": "input", + "bits": [ 627, 628 ] + }, + "RXTERMINATION": { + "direction": "input", + "bits": [ 629 ] + }, + "RXUSERRDY": { + "direction": "input", + "bits": [ 630 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 631 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 632 ] + }, + "SIGVALIDCLK": { + "direction": "input", + "bits": [ 633 ] + }, + "TSTIN": { + "direction": "input", + "bits": [ 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653 ] + }, + "TX8B10BBYPASS": { + "direction": "input", + "bits": [ 654, 655, 656, 657, 658, 659, 660, 661 ] + }, + "TX8B10BEN": { + "direction": "input", + "bits": [ 662 ] + }, + "TXCOMINIT": { + "direction": "input", + "bits": [ 663 ] + }, + "TXCOMSAS": { + "direction": "input", + "bits": [ 664 ] + }, + "TXCOMWAKE": { + "direction": "input", + "bits": [ 665 ] + }, + "TXCTRL0": { + "direction": "input", + "bits": [ 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681 ] + }, + "TXCTRL1": { + "direction": "input", + "bits": [ 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697 ] + }, + "TXCTRL2": { + "direction": "input", + "bits": [ 698, 699, 700, 701, 702, 703, 704, 705 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833 ] + }, + "TXDATAEXTENDRSVD": { + "direction": "input", + "bits": [ 834, 835, 836, 837, 838, 839, 840, 841 ] + }, + "TXDCCFORCESTART": { + "direction": "input", + "bits": [ 842 ] + }, + "TXDCCRESET": { + "direction": "input", + "bits": [ 843 ] + }, + "TXDEEMPH": { + "direction": "input", + "bits": [ 844, 845 ] + }, + "TXDETECTRX": { + "direction": "input", + "bits": [ 846 ] + }, + "TXDIFFCTRL": { + "direction": "input", + "bits": [ 847, 848, 849, 850, 851 ] + }, + "TXDLYBYPASS": { + "direction": "input", + "bits": [ 852 ] + }, + "TXDLYEN": { + "direction": "input", + "bits": [ 853 ] + }, + "TXDLYHOLD": { + "direction": "input", + "bits": [ 854 ] + }, + "TXDLYOVRDEN": { + "direction": "input", + "bits": [ 855 ] + }, + "TXDLYSRESET": { + "direction": "input", + "bits": [ 856 ] + }, + "TXDLYUPDOWN": { + "direction": "input", + "bits": [ 857 ] + }, + "TXELECIDLE": { + "direction": "input", + "bits": [ 858 ] + }, + "TXHEADER": { + "direction": "input", + "bits": [ 859, 860, 861, 862, 863, 864 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 865 ] + }, + "TXLATCLK": { + "direction": "input", + "bits": [ 866 ] + }, + "TXLFPSTRESET": { + "direction": "input", + "bits": [ 867 ] + }, + "TXLFPSU2LPEXIT": { + "direction": "input", + "bits": [ 868 ] + }, + "TXLFPSU3WAKE": { + "direction": "input", + "bits": [ 869 ] + }, + "TXMAINCURSOR": { + "direction": "input", + "bits": [ 870, 871, 872, 873, 874, 875, 876 ] + }, + "TXMARGIN": { + "direction": "input", + "bits": [ 877, 878, 879 ] + }, + "TXMUXDCDEXHOLD": { + "direction": "input", + "bits": [ 880 ] + }, + "TXMUXDCDORWREN": { + "direction": "input", + "bits": [ 881 ] + }, + "TXONESZEROS": { + "direction": "input", + "bits": [ 882 ] + }, + "TXOUTCLKSEL": { + "direction": "input", + "bits": [ 883, 884, 885 ] + }, + "TXPCSRESET": { + "direction": "input", + "bits": [ 886 ] + }, + "TXPD": { + "direction": "input", + "bits": [ 887, 888 ] + }, + "TXPDELECIDLEMODE": { + "direction": "input", + "bits": [ 889 ] + }, + "TXPHALIGN": { + "direction": "input", + "bits": [ 890 ] + }, + "TXPHALIGNEN": { + "direction": "input", + "bits": [ 891 ] + }, + "TXPHDLYPD": { + "direction": "input", + "bits": [ 892 ] + }, + "TXPHDLYRESET": { + "direction": "input", + "bits": [ 893 ] + }, + "TXPHDLYTSTCLK": { + "direction": "input", + "bits": [ 894 ] + }, + "TXPHINIT": { + "direction": "input", + "bits": [ 895 ] + }, + "TXPHOVRDEN": { + "direction": "input", + "bits": [ 896 ] + }, + "TXPIPPMEN": { + "direction": "input", + "bits": [ 897 ] + }, + "TXPIPPMOVRDEN": { + "direction": "input", + "bits": [ 898 ] + }, + "TXPIPPMPD": { + "direction": "input", + "bits": [ 899 ] + }, + "TXPIPPMSEL": { + "direction": "input", + "bits": [ 900 ] + }, + "TXPIPPMSTEPSIZE": { + "direction": "input", + "bits": [ 901, 902, 903, 904, 905 ] + }, + "TXPISOPD": { + "direction": "input", + "bits": [ 906 ] + }, + "TXPLLCLKSEL": { + "direction": "input", + "bits": [ 907, 908 ] + }, + "TXPMARESET": { + "direction": "input", + "bits": [ 909 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 910 ] + }, + "TXPOSTCURSOR": { + "direction": "input", + "bits": [ 911, 912, 913, 914, 915 ] + }, + "TXPRBSFORCEERR": { + "direction": "input", + "bits": [ 916 ] + }, + "TXPRBSSEL": { + "direction": "input", + "bits": [ 917, 918, 919, 920 ] + }, + "TXPRECURSOR": { + "direction": "input", + "bits": [ 921, 922, 923, 924, 925 ] + }, + "TXPROGDIVRESET": { + "direction": "input", + "bits": [ 926 ] + }, + "TXQPIBIASEN": { + "direction": "input", + "bits": [ 927 ] + }, + "TXQPIWEAKPUP": { + "direction": "input", + "bits": [ 928 ] + }, + "TXRATE": { + "direction": "input", + "bits": [ 929, 930, 931 ] + }, + "TXRATEMODE": { + "direction": "input", + "bits": [ 932 ] + }, + "TXSEQUENCE": { + "direction": "input", + "bits": [ 933, 934, 935, 936, 937, 938, 939 ] + }, + "TXSWING": { + "direction": "input", + "bits": [ 940 ] + }, + "TXSYNCALLIN": { + "direction": "input", + "bits": [ 941 ] + }, + "TXSYNCIN": { + "direction": "input", + "bits": [ 942 ] + }, + "TXSYNCMODE": { + "direction": "input", + "bits": [ 943 ] + }, + "TXSYSCLKSEL": { + "direction": "input", + "bits": [ 944, 945 ] + }, + "TXUSERRDY": { + "direction": "input", + "bits": [ 946 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 947 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 948 ] + } + }, + "cells": { + }, + "netnames": { + "BUFGTCE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17355.12-17355.19" + } + }, + "BUFGTCEMASK": { + "hide_name": 0, + "bits": [ 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17356.18-17356.29" + } + }, + "BUFGTDIV": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17357.18-17357.26" + } + }, + "BUFGTRESET": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17358.12-17358.22" + } + }, + "BUFGTRSTMASK": { + "hide_name": 0, + "bits": [ 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17359.18-17359.30" + } + }, + "CDRSTEPDIR": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17459.11-17459.21" + } + }, + "CDRSTEPSQ": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17460.11-17460.20" + } + }, + "CDRSTEPSX": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17461.11-17461.20" + } + }, + "CFGRESET": { + "hide_name": 0, + "bits": [ 386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17462.11-17462.19" + } + }, + "CLKRSVD0": { + "hide_name": 0, + "bits": [ 387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17463.11-17463.19" + } + }, + "CLKRSVD1": { + "hide_name": 0, + "bits": [ 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17464.11-17464.19" + } + }, + "CPLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17360.12-17360.25" + } + }, + "CPLLFREQLOCK": { + "hide_name": 0, + "bits": [ 389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17465.11-17465.23" + } + }, + "CPLLLOCK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17361.12-17361.20" + } + }, + "CPLLLOCKDETCLK": { + "hide_name": 0, + "bits": [ 390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17466.11-17466.25" + } + }, + "CPLLLOCKEN": { + "hide_name": 0, + "bits": [ 391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17467.11-17467.21" + } + }, + "CPLLPD": { + "hide_name": 0, + "bits": [ 392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17468.11-17468.17" + } + }, + "CPLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17362.12-17362.26" + } + }, + "CPLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 393, 394, 395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17469.17-17469.30" + } + }, + "CPLLRESET": { + "hide_name": 0, + "bits": [ 396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17470.11-17470.20" + } + }, + "DMONFIFORESET": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17471.11-17471.24" + } + }, + "DMONITORCLK": { + "hide_name": 0, + "bits": [ 398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17472.11-17472.22" + } + }, + "DMONITOROUT": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17363.19-17363.30" + } + }, + "DMONITOROUTCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17364.12-17364.26" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 399, 400, 401, 402, 403, 404, 405, 406, 407, 408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17473.17-17473.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17474.11-17474.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17475.18-17475.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17365.19-17365.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17476.11-17476.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17366.12-17366.18" + } + }, + "DRPRST": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17477.11-17477.17" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17478.11-17478.16" + } + }, + "EYESCANDATAERROR": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17367.12-17367.28" + } + }, + "EYESCANRESET": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17479.11-17479.23" + } + }, + "EYESCANTRIGGER": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17480.11-17480.25" + } + }, + "FREQOS": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17481.11-17481.17" + } + }, + "GTGREFCLK": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17482.11-17482.20" + } + }, + "GTHRXN": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17483.11-17483.17" + } + }, + "GTHRXP": { + "hide_name": 0, + "bits": [ 434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17484.11-17484.17" + } + }, + "GTHTXN": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17368.12-17368.18" + } + }, + "GTHTXP": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17369.12-17369.18" + } + }, + "GTNORTHREFCLK0": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17485.11-17485.25" + } + }, + "GTNORTHREFCLK1": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17486.11-17486.25" + } + }, + "GTPOWERGOOD": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17370.12-17370.23" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17487.11-17487.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17488.11-17488.20" + } + }, + "GTREFCLKMONITOR": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17371.12-17371.27" + } + }, + "GTRSVD": { + "hide_name": 0, + "bits": [ 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17489.18-17489.24" + } + }, + "GTRXRESET": { + "hide_name": 0, + "bits": [ 455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17490.11-17490.20" + } + }, + "GTRXRESETSEL": { + "hide_name": 0, + "bits": [ 456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17491.11-17491.23" + } + }, + "GTSOUTHREFCLK0": { + "hide_name": 0, + "bits": [ 457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17492.11-17492.25" + } + }, + "GTSOUTHREFCLK1": { + "hide_name": 0, + "bits": [ 458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17493.11-17493.25" + } + }, + "GTTXRESET": { + "hide_name": 0, + "bits": [ 459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17494.11-17494.20" + } + }, + "GTTXRESETSEL": { + "hide_name": 0, + "bits": [ 460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17495.11-17495.23" + } + }, + "INCPCTRL": { + "hide_name": 0, + "bits": [ 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17496.11-17496.19" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 462, 463, 464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17497.17-17497.25" + } + }, + "PCIEEQRXEQADAPTDONE": { + "hide_name": 0, + "bits": [ 465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17498.11-17498.30" + } + }, + "PCIERATEGEN3": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17372.12-17372.24" + } + }, + "PCIERATEIDLE": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17373.12-17373.24" + } + }, + "PCIERATEQPLLPD": { + "hide_name": 0, + "bits": [ 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17374.18-17374.32" + } + }, + "PCIERATEQPLLRESET": { + "hide_name": 0, + "bits": [ 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17375.18-17375.35" + } + }, + "PCIERSTIDLE": { + "hide_name": 0, + "bits": [ 466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17499.11-17499.22" + } + }, + "PCIERSTTXSYNCSTART": { + "hide_name": 0, + "bits": [ 467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17500.11-17500.29" + } + }, + "PCIESYNCTXSYNCDONE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17376.12-17376.30" + } + }, + "PCIEUSERGEN3RDY": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17377.12-17377.27" + } + }, + "PCIEUSERPHYSTATUSRST": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17378.12-17378.32" + } + }, + "PCIEUSERRATEDONE": { + "hide_name": 0, + "bits": [ 468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17501.11-17501.27" + } + }, + "PCIEUSERRATESTART": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17379.12-17379.29" + } + }, + "PCSRSVDIN": { + "hide_name": 0, + "bits": [ 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17502.18-17502.27" + } + }, + "PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17380.19-17380.29" + } + }, + "PHYSTATUS": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17381.12-17381.21" + } + }, + "PINRSRVDAS": { + "hide_name": 0, + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17382.19-17382.29" + } + }, + "POWERPRESENT": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17383.12-17383.24" + } + }, + "QPLL0CLK": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17503.11-17503.19" + } + }, + "QPLL0FREQLOCK": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17504.11-17504.24" + } + }, + "QPLL0REFCLK": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17505.11-17505.22" + } + }, + "QPLL1CLK": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17506.11-17506.19" + } + }, + "QPLL1FREQLOCK": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17507.11-17507.24" + } + }, + "QPLL1REFCLK": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17508.11-17508.22" + } + }, + "RESETEXCEPTION": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17384.12-17384.26" + } + }, + "RESETOVRD": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17509.11-17509.20" + } + }, + "RX8B10BEN": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17510.11-17510.20" + } + }, + "RXAFECFOKEN": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17511.11-17511.22" + } + }, + "RXBUFRESET": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17512.11-17512.21" + } + }, + "RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 106, 107, 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17385.18-17385.29" + } + }, + "RXBYTEISALIGNED": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17386.12-17386.27" + } + }, + "RXBYTEREALIGN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17387.12-17387.25" + } + }, + "RXCDRFREQRESET": { + "hide_name": 0, + "bits": [ 495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17513.11-17513.25" + } + }, + "RXCDRHOLD": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17514.11-17514.20" + } + }, + "RXCDRLOCK": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17388.12-17388.21" + } + }, + "RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17515.11-17515.22" + } + }, + "RXCDRPHDONE": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17389.12-17389.23" + } + }, + "RXCDRRESET": { + "hide_name": 0, + "bits": [ 498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17516.11-17516.21" + } + }, + "RXCHANBONDSEQ": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17390.12-17390.25" + } + }, + "RXCHANISALIGNED": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17391.12-17391.27" + } + }, + "RXCHANREALIGN": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17392.12-17392.25" + } + }, + "RXCHBONDEN": { + "hide_name": 0, + "bits": [ 499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17517.11-17517.21" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 500, 501, 502, 503, 504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17518.17-17518.26" + } + }, + "RXCHBONDLEVEL": { + "hide_name": 0, + "bits": [ 505, 506, 507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17519.17-17519.30" + } + }, + "RXCHBONDMASTER": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17520.11-17520.25" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 116, 117, 118, 119, 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17393.18-17393.27" + } + }, + "RXCHBONDSLAVE": { + "hide_name": 0, + "bits": [ 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17521.11-17521.24" + } + }, + "RXCKCALDONE": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17394.12-17394.23" + } + }, + "RXCKCALRESET": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17522.11-17522.23" + } + }, + "RXCKCALSTART": { + "hide_name": 0, + "bits": [ 511, 512, 513, 514, 515, 516, 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17523.17-17523.29" + } + }, + "RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17395.18-17395.29" + } + }, + "RXCOMINITDET": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17396.12-17396.24" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17397.12-17397.22" + } + }, + "RXCOMMADETEN": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17524.11-17524.23" + } + }, + "RXCOMSASDET": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17398.12-17398.23" + } + }, + "RXCOMWAKEDET": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17399.12-17399.24" + } + }, + "RXCTRL0": { + "hide_name": 0, + "bits": [ 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17400.19-17400.26" + } + }, + "RXCTRL1": { + "hide_name": 0, + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17401.19-17401.26" + } + }, + "RXCTRL2": { + "hide_name": 0, + "bits": [ 160, 161, 162, 163, 164, 165, 166, 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17402.18-17402.25" + } + }, + "RXCTRL3": { + "hide_name": 0, + "bits": [ 168, 169, 170, 171, 172, 173, 174, 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17403.18-17403.25" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17404.20-17404.26" + } + }, + "RXDATAEXTENDRSVD": { + "hide_name": 0, + "bits": [ 304, 305, 306, 307, 308, 309, 310, 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17405.18-17405.34" + } + }, + "RXDATAVALID": { + "hide_name": 0, + "bits": [ 312, 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17406.18-17406.29" + } + }, + "RXDFEAGCCTRL": { + "hide_name": 0, + "bits": [ 519, 520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17525.17-17525.29" + } + }, + "RXDFEAGCHOLD": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17526.11-17526.23" + } + }, + "RXDFEAGCOVRDEN": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17527.11-17527.25" + } + }, + "RXDFECFOKFCNUM": { + "hide_name": 0, + "bits": [ 523, 524, 525, 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17528.17-17528.31" + } + }, + "RXDFECFOKFEN": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17529.11-17529.23" + } + }, + "RXDFECFOKFPULSE": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17530.11-17530.26" + } + }, + "RXDFECFOKHOLD": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17531.11-17531.24" + } + }, + "RXDFECFOKOVREN": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17532.11-17532.25" + } + }, + "RXDFEKHHOLD": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17533.11-17533.22" + } + }, + "RXDFEKHOVRDEN": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17534.11-17534.24" + } + }, + "RXDFELFHOLD": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17535.11-17535.22" + } + }, + "RXDFELFOVRDEN": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17536.11-17536.24" + } + }, + "RXDFELPMRESET": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17537.11-17537.24" + } + }, + "RXDFETAP10HOLD": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17538.11-17538.25" + } + }, + "RXDFETAP10OVRDEN": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17539.11-17539.27" + } + }, + "RXDFETAP11HOLD": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17540.11-17540.25" + } + }, + "RXDFETAP11OVRDEN": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17541.11-17541.27" + } + }, + "RXDFETAP12HOLD": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17542.11-17542.25" + } + }, + "RXDFETAP12OVRDEN": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17543.11-17543.27" + } + }, + "RXDFETAP13HOLD": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17544.11-17544.25" + } + }, + "RXDFETAP13OVRDEN": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17545.11-17545.27" + } + }, + "RXDFETAP14HOLD": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17546.11-17546.25" + } + }, + "RXDFETAP14OVRDEN": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17547.11-17547.27" + } + }, + "RXDFETAP15HOLD": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17548.11-17548.25" + } + }, + "RXDFETAP15OVRDEN": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17549.11-17549.27" + } + }, + "RXDFETAP2HOLD": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17550.11-17550.24" + } + }, + "RXDFETAP2OVRDEN": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17551.11-17551.26" + } + }, + "RXDFETAP3HOLD": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17552.11-17552.24" + } + }, + "RXDFETAP3OVRDEN": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17553.11-17553.26" + } + }, + "RXDFETAP4HOLD": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17554.11-17554.24" + } + }, + "RXDFETAP4OVRDEN": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17555.11-17555.26" + } + }, + "RXDFETAP5HOLD": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17556.11-17556.24" + } + }, + "RXDFETAP5OVRDEN": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17557.11-17557.26" + } + }, + "RXDFETAP6HOLD": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17558.11-17558.24" + } + }, + "RXDFETAP6OVRDEN": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17559.11-17559.26" + } + }, + "RXDFETAP7HOLD": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17560.11-17560.24" + } + }, + "RXDFETAP7OVRDEN": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17561.11-17561.26" + } + }, + "RXDFETAP8HOLD": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17562.11-17562.24" + } + }, + "RXDFETAP8OVRDEN": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17563.11-17563.26" + } + }, + "RXDFETAP9HOLD": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17564.11-17564.24" + } + }, + "RXDFETAP9OVRDEN": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17565.11-17565.26" + } + }, + "RXDFEUTHOLD": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17566.11-17566.22" + } + }, + "RXDFEUTOVRDEN": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17567.11-17567.24" + } + }, + "RXDFEVPHOLD": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17568.11-17568.22" + } + }, + "RXDFEVPOVRDEN": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17569.11-17569.24" + } + }, + "RXDFEXYDEN": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17570.11-17570.21" + } + }, + "RXDLYBYPASS": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17571.11-17571.22" + } + }, + "RXDLYEN": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17572.11-17572.18" + } + }, + "RXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17573.11-17573.22" + } + }, + "RXDLYSRESET": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17574.11-17574.22" + } + }, + "RXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17407.12-17407.27" + } + }, + "RXELECIDLE": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17408.12-17408.22" + } + }, + "RXELECIDLEMODE": { + "hide_name": 0, + "bits": [ 573, 574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17575.17-17575.31" + } + }, + "RXEQTRAINING": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17576.11-17576.23" + } + }, + "RXGEARBOXSLIP": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17577.11-17577.24" + } + }, + "RXHEADER": { + "hide_name": 0, + "bits": [ 316, 317, 318, 319, 320, 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17409.18-17409.26" + } + }, + "RXHEADERVALID": { + "hide_name": 0, + "bits": [ 322, 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17410.18-17410.31" + } + }, + "RXLATCLK": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17578.11-17578.19" + } + }, + "RXLFPSTRESETDET": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17411.12-17411.27" + } + }, + "RXLFPSU2LPEXITDET": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17412.12-17412.29" + } + }, + "RXLFPSU3WAKEDET": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17413.12-17413.27" + } + }, + "RXLPMEN": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17579.11-17579.18" + } + }, + "RXLPMGCHOLD": { + "hide_name": 0, + "bits": [ 579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17580.11-17580.22" + } + }, + "RXLPMGCOVRDEN": { + "hide_name": 0, + "bits": [ 580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17581.11-17581.24" + } + }, + "RXLPMHFHOLD": { + "hide_name": 0, + "bits": [ 581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17582.11-17582.22" + } + }, + "RXLPMHFOVRDEN": { + "hide_name": 0, + "bits": [ 582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17583.11-17583.24" + } + }, + "RXLPMLFHOLD": { + "hide_name": 0, + "bits": [ 583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17584.11-17584.22" + } + }, + "RXLPMLFKLOVRDEN": { + "hide_name": 0, + "bits": [ 584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17585.11-17585.26" + } + }, + "RXLPMOSHOLD": { + "hide_name": 0, + "bits": [ 585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17586.11-17586.22" + } + }, + "RXLPMOSOVRDEN": { + "hide_name": 0, + "bits": [ 586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17587.11-17587.24" + } + }, + "RXMCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17588.11-17588.26" + } + }, + "RXMONITOROUT": { + "hide_name": 0, + "bits": [ 327, 328, 329, 330, 331, 332, 333, 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17414.18-17414.30" + } + }, + "RXMONITORSEL": { + "hide_name": 0, + "bits": [ 588, 589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17589.17-17589.29" + } + }, + "RXOOBRESET": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17590.11-17590.21" + } + }, + "RXOSCALRESET": { + "hide_name": 0, + "bits": [ 591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17591.11-17591.23" + } + }, + "RXOSHOLD": { + "hide_name": 0, + "bits": [ 592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17592.11-17592.19" + } + }, + "RXOSINTDONE": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17415.12-17415.23" + } + }, + "RXOSINTSTARTED": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17416.12-17416.26" + } + }, + "RXOSINTSTROBEDONE": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17417.12-17417.29" + } + }, + "RXOSINTSTROBESTARTED": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17418.12-17418.32" + } + }, + "RXOSOVRDEN": { + "hide_name": 0, + "bits": [ 593 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17593.11-17593.21" + } + }, + "RXOUTCLK": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17419.12-17419.20" + } + }, + "RXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17420.12-17420.26" + } + }, + "RXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17421.12-17421.23" + } + }, + "RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 594, 595, 596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17594.17-17594.28" + } + }, + "RXPCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17595.11-17595.26" + } + }, + "RXPCSRESET": { + "hide_name": 0, + "bits": [ 598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17596.11-17596.21" + } + }, + "RXPD": { + "hide_name": 0, + "bits": [ 599, 600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17597.17-17597.21" + } + }, + "RXPHALIGN": { + "hide_name": 0, + "bits": [ 601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17598.11-17598.20" + } + }, + "RXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17422.12-17422.25" + } + }, + "RXPHALIGNEN": { + "hide_name": 0, + "bits": [ 602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17599.11-17599.22" + } + }, + "RXPHALIGNERR": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17423.12-17423.24" + } + }, + "RXPHDLYPD": { + "hide_name": 0, + "bits": [ 603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17600.11-17600.20" + } + }, + "RXPHDLYRESET": { + "hide_name": 0, + "bits": [ 604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17601.11-17601.23" + } + }, + "RXPHOVRDEN": { + "hide_name": 0, + "bits": [ 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17602.11-17602.21" + } + }, + "RXPLLCLKSEL": { + "hide_name": 0, + "bits": [ 606, 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17603.17-17603.28" + } + }, + "RXPMARESET": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17604.11-17604.21" + } + }, + "RXPMARESETDONE": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17424.12-17424.26" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17605.11-17605.21" + } + }, + "RXPRBSCNTRESET": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17606.11-17606.25" + } + }, + "RXPRBSERR": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17425.12-17425.21" + } + }, + "RXPRBSLOCKED": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17426.12-17426.24" + } + }, + "RXPRBSSEL": { + "hide_name": 0, + "bits": [ 611, 612, 613, 614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17607.17-17607.26" + } + }, + "RXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17427.12-17427.29" + } + }, + "RXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17608.11-17608.25" + } + }, + "RXQPIEN": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17609.11-17609.18" + } + }, + "RXQPISENN": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17428.12-17428.21" + } + }, + "RXQPISENP": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17429.12-17429.21" + } + }, + "RXRATE": { + "hide_name": 0, + "bits": [ 617, 618, 619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17610.17-17610.23" + } + }, + "RXRATEDONE": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17430.12-17430.22" + } + }, + "RXRATEMODE": { + "hide_name": 0, + "bits": [ 620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17611.11-17611.21" + } + }, + "RXRECCLKOUT": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17431.12-17431.23" + } + }, + "RXRESETDONE": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17432.12-17432.23" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17612.11-17612.18" + } + }, + "RXSLIDERDY": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17433.12-17433.22" + } + }, + "RXSLIPDONE": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17434.12-17434.22" + } + }, + "RXSLIPOUTCLK": { + "hide_name": 0, + "bits": [ 622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17613.11-17613.23" + } + }, + "RXSLIPOUTCLKRDY": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17435.12-17435.27" + } + }, + "RXSLIPPMA": { + "hide_name": 0, + "bits": [ 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17614.11-17614.20" + } + }, + "RXSLIPPMARDY": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17436.12-17436.24" + } + }, + "RXSTARTOFSEQ": { + "hide_name": 0, + "bits": [ 357, 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17437.18-17437.30" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 359, 360, 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17438.18-17438.26" + } + }, + "RXSYNCALLIN": { + "hide_name": 0, + "bits": [ 624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17615.11-17615.22" + } + }, + "RXSYNCDONE": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17439.12-17439.22" + } + }, + "RXSYNCIN": { + "hide_name": 0, + "bits": [ 625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17616.11-17616.19" + } + }, + "RXSYNCMODE": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17617.11-17617.21" + } + }, + "RXSYNCOUT": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17440.12-17440.21" + } + }, + "RXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 627, 628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17618.17-17618.28" + } + }, + "RXTERMINATION": { + "hide_name": 0, + "bits": [ 629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17619.11-17619.24" + } + }, + "RXUSERRDY": { + "hide_name": 0, + "bits": [ 630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17620.11-17620.20" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17621.11-17621.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17622.11-17622.20" + } + }, + "RXVALID": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17441.12-17441.19" + } + }, + "SIGVALIDCLK": { + "hide_name": 0, + "bits": [ 633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17623.11-17623.22" + } + }, + "TSTIN": { + "hide_name": 0, + "bits": [ 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17624.18-17624.23" + } + }, + "TX8B10BBYPASS": { + "hide_name": 0, + "bits": [ 654, 655, 656, 657, 658, 659, 660, 661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17625.17-17625.30" + } + }, + "TX8B10BEN": { + "hide_name": 0, + "bits": [ 662 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17626.11-17626.20" + } + }, + "TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 365, 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17442.18-17442.29" + } + }, + "TXCOMFINISH": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17443.12-17443.23" + } + }, + "TXCOMINIT": { + "hide_name": 0, + "bits": [ 663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17627.11-17627.20" + } + }, + "TXCOMSAS": { + "hide_name": 0, + "bits": [ 664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17628.11-17628.19" + } + }, + "TXCOMWAKE": { + "hide_name": 0, + "bits": [ 665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17629.11-17629.20" + } + }, + "TXCTRL0": { + "hide_name": 0, + "bits": [ 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17630.18-17630.25" + } + }, + "TXCTRL1": { + "hide_name": 0, + "bits": [ 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17631.18-17631.25" + } + }, + "TXCTRL2": { + "hide_name": 0, + "bits": [ 698, 699, 700, 701, 702, 703, 704, 705 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17632.17-17632.24" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17633.19-17633.25" + } + }, + "TXDATAEXTENDRSVD": { + "hide_name": 0, + "bits": [ 834, 835, 836, 837, 838, 839, 840, 841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17634.17-17634.33" + } + }, + "TXDCCDONE": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17444.12-17444.21" + } + }, + "TXDCCFORCESTART": { + "hide_name": 0, + "bits": [ 842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17635.11-17635.26" + } + }, + "TXDCCRESET": { + "hide_name": 0, + "bits": [ 843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17636.11-17636.21" + } + }, + "TXDEEMPH": { + "hide_name": 0, + "bits": [ 844, 845 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17637.17-17637.25" + } + }, + "TXDETECTRX": { + "hide_name": 0, + "bits": [ 846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17638.11-17638.21" + } + }, + "TXDIFFCTRL": { + "hide_name": 0, + "bits": [ 847, 848, 849, 850, 851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17639.17-17639.27" + } + }, + "TXDLYBYPASS": { + "hide_name": 0, + "bits": [ 852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17640.11-17640.22" + } + }, + "TXDLYEN": { + "hide_name": 0, + "bits": [ 853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17641.11-17641.18" + } + }, + "TXDLYHOLD": { + "hide_name": 0, + "bits": [ 854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17642.11-17642.20" + } + }, + "TXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17643.11-17643.22" + } + }, + "TXDLYSRESET": { + "hide_name": 0, + "bits": [ 856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17644.11-17644.22" + } + }, + "TXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17445.12-17445.27" + } + }, + "TXDLYUPDOWN": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17645.11-17645.22" + } + }, + "TXELECIDLE": { + "hide_name": 0, + "bits": [ 858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17646.11-17646.21" + } + }, + "TXHEADER": { + "hide_name": 0, + "bits": [ 859, 860, 861, 862, 863, 864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17647.17-17647.25" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17648.11-17648.20" + } + }, + "TXLATCLK": { + "hide_name": 0, + "bits": [ 866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17649.11-17649.19" + } + }, + "TXLFPSTRESET": { + "hide_name": 0, + "bits": [ 867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17650.11-17650.23" + } + }, + "TXLFPSU2LPEXIT": { + "hide_name": 0, + "bits": [ 868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17651.11-17651.25" + } + }, + "TXLFPSU3WAKE": { + "hide_name": 0, + "bits": [ 869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17652.11-17652.23" + } + }, + "TXMAINCURSOR": { + "hide_name": 0, + "bits": [ 870, 871, 872, 873, 874, 875, 876 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17653.17-17653.29" + } + }, + "TXMARGIN": { + "hide_name": 0, + "bits": [ 877, 878, 879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17654.17-17654.25" + } + }, + "TXMUXDCDEXHOLD": { + "hide_name": 0, + "bits": [ 880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17655.11-17655.25" + } + }, + "TXMUXDCDORWREN": { + "hide_name": 0, + "bits": [ 881 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17656.11-17656.25" + } + }, + "TXONESZEROS": { + "hide_name": 0, + "bits": [ 882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17657.11-17657.22" + } + }, + "TXOUTCLK": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17446.12-17446.20" + } + }, + "TXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17447.12-17447.26" + } + }, + "TXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17448.12-17448.23" + } + }, + "TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 883, 884, 885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17658.17-17658.28" + } + }, + "TXPCSRESET": { + "hide_name": 0, + "bits": [ 886 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17659.11-17659.21" + } + }, + "TXPD": { + "hide_name": 0, + "bits": [ 887, 888 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17660.17-17660.21" + } + }, + "TXPDELECIDLEMODE": { + "hide_name": 0, + "bits": [ 889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17661.11-17661.27" + } + }, + "TXPHALIGN": { + "hide_name": 0, + "bits": [ 890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17662.11-17662.20" + } + }, + "TXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17449.12-17449.25" + } + }, + "TXPHALIGNEN": { + "hide_name": 0, + "bits": [ 891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17663.11-17663.22" + } + }, + "TXPHDLYPD": { + "hide_name": 0, + "bits": [ 892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17664.11-17664.20" + } + }, + "TXPHDLYRESET": { + "hide_name": 0, + "bits": [ 893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17665.11-17665.23" + } + }, + "TXPHDLYTSTCLK": { + "hide_name": 0, + "bits": [ 894 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17666.11-17666.24" + } + }, + "TXPHINIT": { + "hide_name": 0, + "bits": [ 895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17667.11-17667.19" + } + }, + "TXPHINITDONE": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17450.12-17450.24" + } + }, + "TXPHOVRDEN": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17668.11-17668.21" + } + }, + "TXPIPPMEN": { + "hide_name": 0, + "bits": [ 897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17669.11-17669.20" + } + }, + "TXPIPPMOVRDEN": { + "hide_name": 0, + "bits": [ 898 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17670.11-17670.24" + } + }, + "TXPIPPMPD": { + "hide_name": 0, + "bits": [ 899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17671.11-17671.20" + } + }, + "TXPIPPMSEL": { + "hide_name": 0, + "bits": [ 900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17672.11-17672.21" + } + }, + "TXPIPPMSTEPSIZE": { + "hide_name": 0, + "bits": [ 901, 902, 903, 904, 905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17673.17-17673.32" + } + }, + "TXPISOPD": { + "hide_name": 0, + "bits": [ 906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17674.11-17674.19" + } + }, + "TXPLLCLKSEL": { + "hide_name": 0, + "bits": [ 907, 908 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17675.17-17675.28" + } + }, + "TXPMARESET": { + "hide_name": 0, + "bits": [ 909 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17676.11-17676.21" + } + }, + "TXPMARESETDONE": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17451.12-17451.26" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17677.11-17677.21" + } + }, + "TXPOSTCURSOR": { + "hide_name": 0, + "bits": [ 911, 912, 913, 914, 915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17678.17-17678.29" + } + }, + "TXPRBSFORCEERR": { + "hide_name": 0, + "bits": [ 916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17679.11-17679.25" + } + }, + "TXPRBSSEL": { + "hide_name": 0, + "bits": [ 917, 918, 919, 920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17680.17-17680.26" + } + }, + "TXPRECURSOR": { + "hide_name": 0, + "bits": [ 921, 922, 923, 924, 925 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17681.17-17681.28" + } + }, + "TXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17452.12-17452.29" + } + }, + "TXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17682.11-17682.25" + } + }, + "TXQPIBIASEN": { + "hide_name": 0, + "bits": [ 927 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17683.11-17683.22" + } + }, + "TXQPISENN": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17453.12-17453.21" + } + }, + "TXQPISENP": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17454.12-17454.21" + } + }, + "TXQPIWEAKPUP": { + "hide_name": 0, + "bits": [ 928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17684.11-17684.23" + } + }, + "TXRATE": { + "hide_name": 0, + "bits": [ 929, 930, 931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17685.17-17685.23" + } + }, + "TXRATEDONE": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17455.12-17455.22" + } + }, + "TXRATEMODE": { + "hide_name": 0, + "bits": [ 932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17686.11-17686.21" + } + }, + "TXRESETDONE": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17456.12-17456.23" + } + }, + "TXSEQUENCE": { + "hide_name": 0, + "bits": [ 933, 934, 935, 936, 937, 938, 939 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17687.17-17687.27" + } + }, + "TXSWING": { + "hide_name": 0, + "bits": [ 940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17688.11-17688.18" + } + }, + "TXSYNCALLIN": { + "hide_name": 0, + "bits": [ 941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17689.11-17689.22" + } + }, + "TXSYNCDONE": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17457.12-17457.22" + } + }, + "TXSYNCIN": { + "hide_name": 0, + "bits": [ 942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17690.11-17690.19" + } + }, + "TXSYNCMODE": { + "hide_name": 0, + "bits": [ 943 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17691.11-17691.21" + } + }, + "TXSYNCOUT": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17458.12-17458.21" + } + }, + "TXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 944, 945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17692.17-17692.28" + } + }, + "TXUSERRDY": { + "hide_name": 0, + "bits": [ 946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17693.11-17693.20" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 947 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17694.11-17694.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17695.11-17695.20" + } + } + } + }, + "GTHE4_COMMON": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17698.1-17868.10" + }, + "parameter_default_values": { + "AEN_QPLL0_FBDIV": "1", + "AEN_QPLL1_FBDIV": "1", + "AEN_SDM0TOGGLE": "0", + "AEN_SDM1TOGGLE": "0", + "A_SDM0TOGGLE": "0", + "A_SDM1DATA_HIGH": "000000000", + "A_SDM1DATA_LOW": "0000000000000000", + "A_SDM1TOGGLE": "0", + "BIAS_CFG0": "0000000000000000", + "BIAS_CFG1": "0000000000000000", + "BIAS_CFG2": "0000000000000000", + "BIAS_CFG3": "0000000000000000", + "BIAS_CFG4": "0000000000000000", + "BIAS_CFG_RSVD": "0000000000000000", + "COMMON_CFG0": "0000000000000000", + "COMMON_CFG1": "0000000000000000", + "POR_CFG": "0000000000000000", + "PPF0_CFG": "0000111100000000", + "PPF1_CFG": "0000111100000000", + "QPLL0CLKOUT_RATE": "FULL", + "QPLL0_CFG0": "0011100100011100", + "QPLL0_CFG1": "0000000000000000", + "QPLL0_CFG1_G3": "0000000000100000", + "QPLL0_CFG2": "0000111110000000", + "QPLL0_CFG2_G3": "0000111110000000", + "QPLL0_CFG3": "0000000100100000", + "QPLL0_CFG4": "0000000000000010", + "QPLL0_CP": "0000011111", + "QPLL0_CP_G3": "0000011111", + "QPLL0_FBDIV": "00000000000000000000000001000010", + "QPLL0_FBDIV_G3": "00000000000000000000000001010000", + "QPLL0_INIT_CFG0": "0000000000000000", + "QPLL0_INIT_CFG1": "00000000", + "QPLL0_LOCK_CFG": "0000000111101000", + "QPLL0_LOCK_CFG_G3": "0010000111101000", + "QPLL0_LPF": "1011111111", + "QPLL0_LPF_G3": "1111111111", + "QPLL0_PCI_EN": "0", + "QPLL0_RATE_SW_USE_DRP": "0", + "QPLL0_REFCLK_DIV": "00000000000000000000000000000001", + "QPLL0_SDM_CFG0": "0000000001000000", + "QPLL0_SDM_CFG1": "0000000000000000", + "QPLL0_SDM_CFG2": "0000000000000000", + "QPLL1CLKOUT_RATE": "FULL", + "QPLL1_CFG0": "0110100100011100", + "QPLL1_CFG1": "0000000000100000", + "QPLL1_CFG1_G3": "0000000000100000", + "QPLL1_CFG2": "0000111110000000", + "QPLL1_CFG2_G3": "0000111110000000", + "QPLL1_CFG3": "0000000100100000", + "QPLL1_CFG4": "0000000000000010", + "QPLL1_CP": "0000011111", + "QPLL1_CP_G3": "0000011111", + "QPLL1_FBDIV": "00000000000000000000000001000010", + "QPLL1_FBDIV_G3": "00000000000000000000000001010000", + "QPLL1_INIT_CFG0": "0000000000000000", + "QPLL1_INIT_CFG1": "00000000", + "QPLL1_LOCK_CFG": "0000000111101000", + "QPLL1_LOCK_CFG_G3": "0010000111101000", + "QPLL1_LPF": "1011111111", + "QPLL1_LPF_G3": "1111111111", + "QPLL1_PCI_EN": "0", + "QPLL1_RATE_SW_USE_DRP": "0", + "QPLL1_REFCLK_DIV": "00000000000000000000000000000001", + "QPLL1_SDM_CFG0": "0000000000000000", + "QPLL1_SDM_CFG1": "0000000000000000", + "QPLL1_SDM_CFG2": "0000000000000000", + "RSVD_ATTR0": "0000000000000000", + "RSVD_ATTR1": "0000000000000000", + "RSVD_ATTR2": "0000000000000000", + "RSVD_ATTR3": "0000000000000000", + "RXRECCLKOUT0_SEL": "00", + "RXRECCLKOUT1_SEL": "00", + "SARC_ENB": "0", + "SARC_SEL": "0", + "SDM0INITSEED0_0": "0000000000000000", + "SDM0INITSEED0_1": "000000000", + "SDM1INITSEED0_0": "0000000000000000", + "SDM1INITSEED0_1": "000000000", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "SIM_MODE": "FAST", + "SIM_RESET_SPEEDUP": "TRUE" + }, + "ports": { + "DRPDO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 18 ] + }, + "PMARSVDOUT0": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ] + }, + "PMARSVDOUT1": { + "direction": "output", + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "QPLL0FBCLKLOST": { + "direction": "output", + "bits": [ 35 ] + }, + "QPLL0LOCK": { + "direction": "output", + "bits": [ 36 ] + }, + "QPLL0OUTCLK": { + "direction": "output", + "bits": [ 37 ] + }, + "QPLL0OUTREFCLK": { + "direction": "output", + "bits": [ 38 ] + }, + "QPLL0REFCLKLOST": { + "direction": "output", + "bits": [ 39 ] + }, + "QPLL1FBCLKLOST": { + "direction": "output", + "bits": [ 40 ] + }, + "QPLL1LOCK": { + "direction": "output", + "bits": [ 41 ] + }, + "QPLL1OUTCLK": { + "direction": "output", + "bits": [ 42 ] + }, + "QPLL1OUTREFCLK": { + "direction": "output", + "bits": [ 43 ] + }, + "QPLL1REFCLKLOST": { + "direction": "output", + "bits": [ 44 ] + }, + "QPLLDMONITOR0": { + "direction": "output", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "QPLLDMONITOR1": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60 ] + }, + "REFCLKOUTMONITOR0": { + "direction": "output", + "bits": [ 61 ] + }, + "REFCLKOUTMONITOR1": { + "direction": "output", + "bits": [ 62 ] + }, + "RXRECCLK0SEL": { + "direction": "output", + "bits": [ 63, 64 ] + }, + "RXRECCLK1SEL": { + "direction": "output", + "bits": [ 65, 66 ] + }, + "SDM0FINALOUT": { + "direction": "output", + "bits": [ 67, 68, 69, 70 ] + }, + "SDM0TESTDATA": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "SDM1FINALOUT": { + "direction": "output", + "bits": [ 86, 87, 88, 89 ] + }, + "SDM1TESTDATA": { + "direction": "output", + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "TCONGPO": { + "direction": "output", + "bits": [ 105, 106, 107, 108, 109, 110, 111, 112, 113, 114 ] + }, + "TCONRSVDOUT0": { + "direction": "output", + "bits": [ 115 ] + }, + "BGBYPASSB": { + "direction": "input", + "bits": [ 116 ] + }, + "BGMONITORENB": { + "direction": "input", + "bits": [ 117 ] + }, + "BGPDB": { + "direction": "input", + "bits": [ 118 ] + }, + "BGRCALOVRD": { + "direction": "input", + "bits": [ 119, 120, 121, 122, 123 ] + }, + "BGRCALOVRDENB": { + "direction": "input", + "bits": [ 124 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 141 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 158 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 159 ] + }, + "GTGREFCLK0": { + "direction": "input", + "bits": [ 160 ] + }, + "GTGREFCLK1": { + "direction": "input", + "bits": [ 161 ] + }, + "GTNORTHREFCLK00": { + "direction": "input", + "bits": [ 162 ] + }, + "GTNORTHREFCLK01": { + "direction": "input", + "bits": [ 163 ] + }, + "GTNORTHREFCLK10": { + "direction": "input", + "bits": [ 164 ] + }, + "GTNORTHREFCLK11": { + "direction": "input", + "bits": [ 165 ] + }, + "GTREFCLK00": { + "direction": "input", + "bits": [ 166 ] + }, + "GTREFCLK01": { + "direction": "input", + "bits": [ 167 ] + }, + "GTREFCLK10": { + "direction": "input", + "bits": [ 168 ] + }, + "GTREFCLK11": { + "direction": "input", + "bits": [ 169 ] + }, + "GTSOUTHREFCLK00": { + "direction": "input", + "bits": [ 170 ] + }, + "GTSOUTHREFCLK01": { + "direction": "input", + "bits": [ 171 ] + }, + "GTSOUTHREFCLK10": { + "direction": "input", + "bits": [ 172 ] + }, + "GTSOUTHREFCLK11": { + "direction": "input", + "bits": [ 173 ] + }, + "PCIERATEQPLL0": { + "direction": "input", + "bits": [ 174, 175, 176 ] + }, + "PCIERATEQPLL1": { + "direction": "input", + "bits": [ 177, 178, 179 ] + }, + "PMARSVD0": { + "direction": "input", + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187 ] + }, + "PMARSVD1": { + "direction": "input", + "bits": [ 188, 189, 190, 191, 192, 193, 194, 195 ] + }, + "QPLL0CLKRSVD0": { + "direction": "input", + "bits": [ 196 ] + }, + "QPLL0CLKRSVD1": { + "direction": "input", + "bits": [ 197 ] + }, + "QPLL0FBDIV": { + "direction": "input", + "bits": [ 198, 199, 200, 201, 202, 203, 204, 205 ] + }, + "QPLL0LOCKDETCLK": { + "direction": "input", + "bits": [ 206 ] + }, + "QPLL0LOCKEN": { + "direction": "input", + "bits": [ 207 ] + }, + "QPLL0PD": { + "direction": "input", + "bits": [ 208 ] + }, + "QPLL0REFCLKSEL": { + "direction": "input", + "bits": [ 209, 210, 211 ] + }, + "QPLL0RESET": { + "direction": "input", + "bits": [ 212 ] + }, + "QPLL1CLKRSVD0": { + "direction": "input", + "bits": [ 213 ] + }, + "QPLL1CLKRSVD1": { + "direction": "input", + "bits": [ 214 ] + }, + "QPLL1FBDIV": { + "direction": "input", + "bits": [ 215, 216, 217, 218, 219, 220, 221, 222 ] + }, + "QPLL1LOCKDETCLK": { + "direction": "input", + "bits": [ 223 ] + }, + "QPLL1LOCKEN": { + "direction": "input", + "bits": [ 224 ] + }, + "QPLL1PD": { + "direction": "input", + "bits": [ 225 ] + }, + "QPLL1REFCLKSEL": { + "direction": "input", + "bits": [ 226, 227, 228 ] + }, + "QPLL1RESET": { + "direction": "input", + "bits": [ 229 ] + }, + "QPLLRSVD1": { + "direction": "input", + "bits": [ 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "QPLLRSVD2": { + "direction": "input", + "bits": [ 238, 239, 240, 241, 242 ] + }, + "QPLLRSVD3": { + "direction": "input", + "bits": [ 243, 244, 245, 246, 247 ] + }, + "QPLLRSVD4": { + "direction": "input", + "bits": [ 248, 249, 250, 251, 252, 253, 254, 255 ] + }, + "RCALENB": { + "direction": "input", + "bits": [ 256 ] + }, + "SDM0DATA": { + "direction": "input", + "bits": [ 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281 ] + }, + "SDM0RESET": { + "direction": "input", + "bits": [ 282 ] + }, + "SDM0TOGGLE": { + "direction": "input", + "bits": [ 283 ] + }, + "SDM0WIDTH": { + "direction": "input", + "bits": [ 284, 285 ] + }, + "SDM1DATA": { + "direction": "input", + "bits": [ 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ] + }, + "SDM1RESET": { + "direction": "input", + "bits": [ 311 ] + }, + "SDM1TOGGLE": { + "direction": "input", + "bits": [ 312 ] + }, + "SDM1WIDTH": { + "direction": "input", + "bits": [ 313, 314 ] + }, + "TCONGPI": { + "direction": "input", + "bits": [ 315, 316, 317, 318, 319, 320, 321, 322, 323, 324 ] + }, + "TCONPOWERUP": { + "direction": "input", + "bits": [ 325 ] + }, + "TCONRESET": { + "direction": "input", + "bits": [ 326, 327 ] + }, + "TCONRSVDIN1": { + "direction": "input", + "bits": [ 328, 329 ] + } + }, + "cells": { + }, + "netnames": { + "BGBYPASSB": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17807.11-17807.20" + } + }, + "BGMONITORENB": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17808.11-17808.23" + } + }, + "BGPDB": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17809.11-17809.16" + } + }, + "BGRCALOVRD": { + "hide_name": 0, + "bits": [ 119, 120, 121, 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17810.17-17810.27" + } + }, + "BGRCALOVRDENB": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17811.11-17811.24" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17812.18-17812.25" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17813.11-17813.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17814.18-17814.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17781.19-17781.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17815.11-17815.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17782.12-17782.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17816.11-17816.16" + } + }, + "GTGREFCLK0": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17817.11-17817.21" + } + }, + "GTGREFCLK1": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17818.11-17818.21" + } + }, + "GTNORTHREFCLK00": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17819.11-17819.26" + } + }, + "GTNORTHREFCLK01": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17820.11-17820.26" + } + }, + "GTNORTHREFCLK10": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17821.11-17821.26" + } + }, + "GTNORTHREFCLK11": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17822.11-17822.26" + } + }, + "GTREFCLK00": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17823.11-17823.21" + } + }, + "GTREFCLK01": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17824.11-17824.21" + } + }, + "GTREFCLK10": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17825.11-17825.21" + } + }, + "GTREFCLK11": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17826.11-17826.21" + } + }, + "GTSOUTHREFCLK00": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17827.11-17827.26" + } + }, + "GTSOUTHREFCLK01": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17828.11-17828.26" + } + }, + "GTSOUTHREFCLK10": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17829.11-17829.26" + } + }, + "GTSOUTHREFCLK11": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17830.11-17830.26" + } + }, + "PCIERATEQPLL0": { + "hide_name": 0, + "bits": [ 174, 175, 176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17831.17-17831.30" + } + }, + "PCIERATEQPLL1": { + "hide_name": 0, + "bits": [ 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17832.17-17832.30" + } + }, + "PMARSVD0": { + "hide_name": 0, + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17833.17-17833.25" + } + }, + "PMARSVD1": { + "hide_name": 0, + "bits": [ 188, 189, 190, 191, 192, 193, 194, 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17834.17-17834.25" + } + }, + "PMARSVDOUT0": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17783.18-17783.29" + } + }, + "PMARSVDOUT1": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17784.18-17784.29" + } + }, + "QPLL0CLKRSVD0": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17835.11-17835.24" + } + }, + "QPLL0CLKRSVD1": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17836.11-17836.24" + } + }, + "QPLL0FBCLKLOST": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17785.12-17785.26" + } + }, + "QPLL0FBDIV": { + "hide_name": 0, + "bits": [ 198, 199, 200, 201, 202, 203, 204, 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17837.17-17837.27" + } + }, + "QPLL0LOCK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17786.12-17786.21" + } + }, + "QPLL0LOCKDETCLK": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17838.11-17838.26" + } + }, + "QPLL0LOCKEN": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17839.11-17839.22" + } + }, + "QPLL0OUTCLK": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17787.12-17787.23" + } + }, + "QPLL0OUTREFCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17788.12-17788.26" + } + }, + "QPLL0PD": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17840.11-17840.18" + } + }, + "QPLL0REFCLKLOST": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17789.12-17789.27" + } + }, + "QPLL0REFCLKSEL": { + "hide_name": 0, + "bits": [ 209, 210, 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17841.17-17841.31" + } + }, + "QPLL0RESET": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17842.11-17842.21" + } + }, + "QPLL1CLKRSVD0": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17843.11-17843.24" + } + }, + "QPLL1CLKRSVD1": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17844.11-17844.24" + } + }, + "QPLL1FBCLKLOST": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17790.12-17790.26" + } + }, + "QPLL1FBDIV": { + "hide_name": 0, + "bits": [ 215, 216, 217, 218, 219, 220, 221, 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17845.17-17845.27" + } + }, + "QPLL1LOCK": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17791.12-17791.21" + } + }, + "QPLL1LOCKDETCLK": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17846.11-17846.26" + } + }, + "QPLL1LOCKEN": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17847.11-17847.22" + } + }, + "QPLL1OUTCLK": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17792.12-17792.23" + } + }, + "QPLL1OUTREFCLK": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17793.12-17793.26" + } + }, + "QPLL1PD": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17848.11-17848.18" + } + }, + "QPLL1REFCLKLOST": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17794.12-17794.27" + } + }, + "QPLL1REFCLKSEL": { + "hide_name": 0, + "bits": [ 226, 227, 228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17849.17-17849.31" + } + }, + "QPLL1RESET": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17850.11-17850.21" + } + }, + "QPLLDMONITOR0": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17795.18-17795.31" + } + }, + "QPLLDMONITOR1": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17796.18-17796.31" + } + }, + "QPLLRSVD1": { + "hide_name": 0, + "bits": [ 230, 231, 232, 233, 234, 235, 236, 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17851.17-17851.26" + } + }, + "QPLLRSVD2": { + "hide_name": 0, + "bits": [ 238, 239, 240, 241, 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17852.17-17852.26" + } + }, + "QPLLRSVD3": { + "hide_name": 0, + "bits": [ 243, 244, 245, 246, 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17853.17-17853.26" + } + }, + "QPLLRSVD4": { + "hide_name": 0, + "bits": [ 248, 249, 250, 251, 252, 253, 254, 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17854.17-17854.26" + } + }, + "RCALENB": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17855.11-17855.18" + } + }, + "REFCLKOUTMONITOR0": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17797.12-17797.29" + } + }, + "REFCLKOUTMONITOR1": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17798.12-17798.29" + } + }, + "RXRECCLK0SEL": { + "hide_name": 0, + "bits": [ 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17799.18-17799.30" + } + }, + "RXRECCLK1SEL": { + "hide_name": 0, + "bits": [ 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17800.18-17800.30" + } + }, + "SDM0DATA": { + "hide_name": 0, + "bits": [ 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17856.18-17856.26" + } + }, + "SDM0FINALOUT": { + "hide_name": 0, + "bits": [ 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17801.18-17801.30" + } + }, + "SDM0RESET": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17857.11-17857.20" + } + }, + "SDM0TESTDATA": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17802.19-17802.31" + } + }, + "SDM0TOGGLE": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17858.11-17858.21" + } + }, + "SDM0WIDTH": { + "hide_name": 0, + "bits": [ 284, 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17859.17-17859.26" + } + }, + "SDM1DATA": { + "hide_name": 0, + "bits": [ 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17860.18-17860.26" + } + }, + "SDM1FINALOUT": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17803.18-17803.30" + } + }, + "SDM1RESET": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17861.11-17861.20" + } + }, + "SDM1TESTDATA": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17804.19-17804.31" + } + }, + "SDM1TOGGLE": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17862.11-17862.21" + } + }, + "SDM1WIDTH": { + "hide_name": 0, + "bits": [ 313, 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17863.17-17863.26" + } + }, + "TCONGPI": { + "hide_name": 0, + "bits": [ 315, 316, 317, 318, 319, 320, 321, 322, 323, 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17864.17-17864.24" + } + }, + "TCONGPO": { + "hide_name": 0, + "bits": [ 105, 106, 107, 108, 109, 110, 111, 112, 113, 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17805.18-17805.25" + } + }, + "TCONPOWERUP": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17865.11-17865.22" + } + }, + "TCONRESET": { + "hide_name": 0, + "bits": [ 326, 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17866.17-17866.26" + } + }, + "TCONRSVDIN1": { + "hide_name": 0, + "bits": [ 328, 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17867.17-17867.28" + } + }, + "TCONRSVDOUT0": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17806.12-17806.24" + } + } + } + }, + "GTM_DUAL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18928.1-19573.10" + }, + "parameter_default_values": { + "A_CFG": "0000100001000000", + "A_SDM_DATA_CFG0": "0000000011010000", + "A_SDM_DATA_CFG1": "0000000011010000", + "BIAS_CFG0": "0000000000000000", + "BIAS_CFG1": "0000000000000000", + "BIAS_CFG2": "0001000000000000", + "BIAS_CFG3": "0000000000000001", + "BIAS_CFG4": "0000000000000000", + "BIAS_CFG5": "0000000000000000", + "BIAS_CFG6": "0000000010000000", + "BIAS_CFG7": "0000000000000000", + "CH0_A_CH_CFG0": "0000000000000011", + "CH0_A_CH_CFG1": "0000000000000000", + "CH0_A_CH_CFG2": "0111101111110000", + "CH0_A_CH_CFG3": "0000000000000000", + "CH0_A_CH_CFG4": "0000000000000000", + "CH0_A_CH_CFG5": "0000000000000000", + "CH0_A_CH_CFG6": "0000000000000000", + "CH0_RST_LP_CFG0": "0001000000010000", + "CH0_RST_LP_CFG1": "0011001000010000", + "CH0_RST_LP_CFG2": "0110010100000100", + "CH0_RST_LP_CFG3": "0011001000010000", + "CH0_RST_LP_CFG4": "0000000001000100", + "CH0_RST_LP_ID_CFG0": "0011000001110000", + "CH0_RST_LP_ID_CFG1": "0001000000010000", + "CH0_RST_TIME_CFG0": "0000010000100001", + "CH0_RST_TIME_CFG1": "0000010000100001", + "CH0_RST_TIME_CFG2": "0000010000100001", + "CH0_RST_TIME_CFG3": "0000010000100000", + "CH0_RST_TIME_CFG4": "0000010000100001", + "CH0_RST_TIME_CFG5": "0000000000000001", + "CH0_RST_TIME_CFG6": "0000000000100001", + "CH0_RX_ADC_CFG0": "0011010010001111", + "CH0_RX_ADC_CFG1": "0011111001010101", + "CH0_RX_ANA_CFG0": "1000000000011101", + "CH0_RX_ANA_CFG1": "1110100010000000", + "CH0_RX_ANA_CFG2": "0000000010001010", + "CH0_RX_APT_CFG0A": "0000000001110000", + "CH0_RX_APT_CFG0B": "0000000001110000", + "CH0_RX_APT_CFG10A": "0000000001110000", + "CH0_RX_APT_CFG10B": "0000000001010000", + "CH0_RX_APT_CFG11A": "0000000001000000", + "CH0_RX_APT_CFG11B": "0000000001110000", + "CH0_RX_APT_CFG12A": "0000000001010000", + "CH0_RX_APT_CFG12B": "0000000000000000", + "CH0_RX_APT_CFG13A": "0000000000000000", + "CH0_RX_APT_CFG13B": "0000000000000000", + "CH0_RX_APT_CFG14A": "0000000000000000", + "CH0_RX_APT_CFG14B": "0000000000000000", + "CH0_RX_APT_CFG15A": "0000000000000000", + "CH0_RX_APT_CFG15B": "0000100000000000", + "CH0_RX_APT_CFG16A": "0000000000000000", + "CH0_RX_APT_CFG16B": "0010000000000000", + "CH0_RX_APT_CFG17A": "0000000000000000", + "CH0_RX_APT_CFG17B": "0001000001000000", + "CH0_RX_APT_CFG18A": "0000100000100000", + "CH0_RX_APT_CFG18B": "0000000000000000", + "CH0_RX_APT_CFG19A": "0000000000000000", + "CH0_RX_APT_CFG19B": "0000100000000000", + "CH0_RX_APT_CFG1A": "0000000001110000", + "CH0_RX_APT_CFG1B": "0000000001110000", + "CH0_RX_APT_CFG20A": "1110000000100000", + "CH0_RX_APT_CFG20B": "0000000001000000", + "CH0_RX_APT_CFG21A": "0001000000000100", + "CH0_RX_APT_CFG21B": "0000000000000000", + "CH0_RX_APT_CFG22A": "0000000001110000", + "CH0_RX_APT_CFG22B": "0000000001110000", + "CH0_RX_APT_CFG23A": "0000100000000000", + "CH0_RX_APT_CFG23B": "0000000000000000", + "CH0_RX_APT_CFG24A": "0000000000000000", + "CH0_RX_APT_CFG24B": "0000000000000000", + "CH0_RX_APT_CFG25A": "0000000000000000", + "CH0_RX_APT_CFG25B": "0000000000000000", + "CH0_RX_APT_CFG26A": "0000000000000000", + "CH0_RX_APT_CFG26B": "0000000000000000", + "CH0_RX_APT_CFG27A": "0100000000000000", + "CH0_RX_APT_CFG27B": "0000000000000000", + "CH0_RX_APT_CFG28A": "0000000000000000", + "CH0_RX_APT_CFG28B": "1000000000000000", + "CH0_RX_APT_CFG2A": "0000000001110000", + "CH0_RX_APT_CFG2B": "0000000001110000", + "CH0_RX_APT_CFG3A": "0000000001110000", + "CH0_RX_APT_CFG3B": "0000000001110000", + "CH0_RX_APT_CFG4A": "0000000001110000", + "CH0_RX_APT_CFG4B": "0000000001110000", + "CH0_RX_APT_CFG5A": "0000000001110000", + "CH0_RX_APT_CFG5B": "0000000001110000", + "CH0_RX_APT_CFG6A": "0000000001110000", + "CH0_RX_APT_CFG6B": "0000000001110000", + "CH0_RX_APT_CFG7A": "0000000001110000", + "CH0_RX_APT_CFG7B": "0000000001110000", + "CH0_RX_APT_CFG8A": "0000100000000000", + "CH0_RX_APT_CFG8B": "0000100000000000", + "CH0_RX_APT_CFG9A": "0000000001110000", + "CH0_RX_APT_CFG9B": "0000000001110000", + "CH0_RX_APT_CTRL_CFG2": "0000000000000100", + "CH0_RX_APT_CTRL_CFG3": "0000000000000000", + "CH0_RX_CAL_CFG0A": "0000000000000000", + "CH0_RX_CAL_CFG0B": "0011001100110000", + "CH0_RX_CAL_CFG1A": "1110111011100001", + "CH0_RX_CAL_CFG1B": "1111111100000100", + "CH0_RX_CAL_CFG2A": "0000000000000000", + "CH0_RX_CAL_CFG2B": "0011000000000000", + "CH0_RX_CDR_CFG0A": "0000000000000011", + "CH0_RX_CDR_CFG0B": "0000000000000000", + "CH0_RX_CDR_CFG1A": "0000000000000000", + "CH0_RX_CDR_CFG1B": "0000000000000000", + "CH0_RX_CDR_CFG2A": "1001000101100100", + "CH0_RX_CDR_CFG2B": "0000000100100100", + "CH0_RX_CDR_CFG3A": "0101110011110110", + "CH0_RX_CDR_CFG3B": "0000000000001011", + "CH0_RX_CDR_CFG4A": "0000000000000110", + "CH0_RX_CDR_CFG4B": "0000000000000000", + "CH0_RX_CLKGN_CFG0": "1100000000000000", + "CH0_RX_CLKGN_CFG1": "0000000110000000", + "CH0_RX_CTLE_CFG0": "0011010010001000", + "CH0_RX_CTLE_CFG1": "0010000000100010", + "CH0_RX_CTLE_CFG2": "0000101000000000", + "CH0_RX_CTLE_CFG3": "1111001001000000", + "CH0_RX_DSP_CFG": "0000000000000000", + "CH0_RX_MON_CFG": "0000000000000000", + "CH0_RX_PAD_CFG0": "0001111000000000", + "CH0_RX_PAD_CFG1": "0001100000001010", + "CH0_RX_PCS_CFG0": "0000000100000000", + "CH0_RX_PCS_CFG1": "0000000000000000", + "CH0_TX_ANA_CFG0": "0000001010101111", + "CH0_TX_ANA_CFG1": "0000000100000000", + "CH0_TX_ANA_CFG2": "1000000000010100", + "CH0_TX_ANA_CFG3": "0000101000100010", + "CH0_TX_ANA_CFG4": "0000000000000000", + "CH0_TX_CAL_CFG0": "0000000000100000", + "CH0_TX_CAL_CFG1": "0000000001000000", + "CH0_TX_DRV_CFG0": "0000000000000000", + "CH0_TX_DRV_CFG1": "0000000000100111", + "CH0_TX_DRV_CFG2": "0000000000000000", + "CH0_TX_DRV_CFG3": "0110110000000000", + "CH0_TX_DRV_CFG4": "0000000011000101", + "CH0_TX_DRV_CFG5": "0000000000000000", + "CH0_TX_LPBK_CFG0": "0000000000000011", + "CH0_TX_LPBK_CFG1": "0000000000000000", + "CH0_TX_PCS_CFG0": "0000000101100000", + "CH0_TX_PCS_CFG1": "0000000000000000", + "CH0_TX_PCS_CFG10": "0000000000000000", + "CH0_TX_PCS_CFG11": "0000000000000000", + "CH0_TX_PCS_CFG12": "0000000000000000", + "CH0_TX_PCS_CFG13": "0000000000000000", + "CH0_TX_PCS_CFG14": "0000000000000000", + "CH0_TX_PCS_CFG15": "0000000000000000", + "CH0_TX_PCS_CFG16": "0000000000000000", + "CH0_TX_PCS_CFG17": "0000000000000000", + "CH0_TX_PCS_CFG2": "0000000000000000", + "CH0_TX_PCS_CFG3": "0000000000000000", + "CH0_TX_PCS_CFG4": "0000000000000000", + "CH0_TX_PCS_CFG5": "0000000000000000", + "CH0_TX_PCS_CFG6": "0000000000000000", + "CH0_TX_PCS_CFG7": "0000000000000000", + "CH0_TX_PCS_CFG8": "0000000000000000", + "CH0_TX_PCS_CFG9": "0000000000000000", + "CH1_A_CH_CFG0": "0000000000000011", + "CH1_A_CH_CFG1": "0000000000000000", + "CH1_A_CH_CFG2": "0111101111110000", + "CH1_A_CH_CFG3": "0000000000000000", + "CH1_A_CH_CFG4": "0000000000000000", + "CH1_A_CH_CFG5": "0000000000000000", + "CH1_A_CH_CFG6": "0000000000000000", + "CH1_RST_LP_CFG0": "0001000000010000", + "CH1_RST_LP_CFG1": "0011001000010000", + "CH1_RST_LP_CFG2": "0110010100000100", + "CH1_RST_LP_CFG3": "0011001000010000", + "CH1_RST_LP_CFG4": "0000000001000100", + "CH1_RST_LP_ID_CFG0": "0011000001110000", + "CH1_RST_LP_ID_CFG1": "0001000000010000", + "CH1_RST_TIME_CFG0": "0000010000100001", + "CH1_RST_TIME_CFG1": "0000010000100001", + "CH1_RST_TIME_CFG2": "0000010000100001", + "CH1_RST_TIME_CFG3": "0000010000100000", + "CH1_RST_TIME_CFG4": "0000010000100001", + "CH1_RST_TIME_CFG5": "0000000000000001", + "CH1_RST_TIME_CFG6": "0000000000100001", + "CH1_RX_ADC_CFG0": "0011010010001111", + "CH1_RX_ADC_CFG1": "0011111001010101", + "CH1_RX_ANA_CFG0": "1000000000011101", + "CH1_RX_ANA_CFG1": "1110100010000000", + "CH1_RX_ANA_CFG2": "0000000010001010", + "CH1_RX_APT_CFG0A": "0000000001110000", + "CH1_RX_APT_CFG0B": "0000000001110000", + "CH1_RX_APT_CFG10A": "0000000001110000", + "CH1_RX_APT_CFG10B": "0000000001010000", + "CH1_RX_APT_CFG11A": "0000000001000000", + "CH1_RX_APT_CFG11B": "0000000001110000", + "CH1_RX_APT_CFG12A": "0000000001010000", + "CH1_RX_APT_CFG12B": "0000000000000000", + "CH1_RX_APT_CFG13A": "0000000000000000", + "CH1_RX_APT_CFG13B": "0000000000000000", + "CH1_RX_APT_CFG14A": "0000000000000000", + "CH1_RX_APT_CFG14B": "0000000000000000", + "CH1_RX_APT_CFG15A": "0000000000000000", + "CH1_RX_APT_CFG15B": "0000100000000000", + "CH1_RX_APT_CFG16A": "0000000000000000", + "CH1_RX_APT_CFG16B": "0010000000000000", + "CH1_RX_APT_CFG17A": "0000000000000000", + "CH1_RX_APT_CFG17B": "0001000001000000", + "CH1_RX_APT_CFG18A": "0000100000100000", + "CH1_RX_APT_CFG18B": "0000100010000000", + "CH1_RX_APT_CFG19A": "0000000000000000", + "CH1_RX_APT_CFG19B": "0000100000000000", + "CH1_RX_APT_CFG1A": "0000000001110000", + "CH1_RX_APT_CFG1B": "0000000001110000", + "CH1_RX_APT_CFG20A": "1110000000100000", + "CH1_RX_APT_CFG20B": "0000000001000000", + "CH1_RX_APT_CFG21A": "0001000000000100", + "CH1_RX_APT_CFG21B": "0000000000000000", + "CH1_RX_APT_CFG22A": "0000000001110000", + "CH1_RX_APT_CFG22B": "0000000001110000", + "CH1_RX_APT_CFG23A": "0000100000000000", + "CH1_RX_APT_CFG23B": "0000100000000000", + "CH1_RX_APT_CFG24A": "0000000000000000", + "CH1_RX_APT_CFG24B": "0000000000000000", + "CH1_RX_APT_CFG25A": "0000000000000000", + "CH1_RX_APT_CFG25B": "0000000000000000", + "CH1_RX_APT_CFG26A": "0000000000000000", + "CH1_RX_APT_CFG26B": "0000000000000000", + "CH1_RX_APT_CFG27A": "0100000000000000", + "CH1_RX_APT_CFG27B": "0000000000000000", + "CH1_RX_APT_CFG28A": "0000000000000000", + "CH1_RX_APT_CFG28B": "1000000000000000", + "CH1_RX_APT_CFG2A": "0000000001110000", + "CH1_RX_APT_CFG2B": "0000000001110000", + "CH1_RX_APT_CFG3A": "0000000001110000", + "CH1_RX_APT_CFG3B": "0000000001110000", + "CH1_RX_APT_CFG4A": "0000000001110000", + "CH1_RX_APT_CFG4B": "0000000001110000", + "CH1_RX_APT_CFG5A": "0000000001110000", + "CH1_RX_APT_CFG5B": "0000000001110000", + "CH1_RX_APT_CFG6A": "0000000001110000", + "CH1_RX_APT_CFG6B": "0000000001110000", + "CH1_RX_APT_CFG7A": "0000000001110000", + "CH1_RX_APT_CFG7B": "0000000001110000", + "CH1_RX_APT_CFG8A": "0000100000000000", + "CH1_RX_APT_CFG8B": "0000100000000000", + "CH1_RX_APT_CFG9A": "0000000001110000", + "CH1_RX_APT_CFG9B": "0000000001110000", + "CH1_RX_APT_CTRL_CFG2": "0000000000000100", + "CH1_RX_APT_CTRL_CFG3": "0000000000000000", + "CH1_RX_CAL_CFG0A": "0000000000000000", + "CH1_RX_CAL_CFG0B": "0011001100110000", + "CH1_RX_CAL_CFG1A": "1110111011100001", + "CH1_RX_CAL_CFG1B": "1111111100000100", + "CH1_RX_CAL_CFG2A": "0000000000000000", + "CH1_RX_CAL_CFG2B": "0011000000000000", + "CH1_RX_CDR_CFG0A": "0000000000000011", + "CH1_RX_CDR_CFG0B": "0000000000000000", + "CH1_RX_CDR_CFG1A": "0000000000000000", + "CH1_RX_CDR_CFG1B": "0000000000000000", + "CH1_RX_CDR_CFG2A": "1001000101100100", + "CH1_RX_CDR_CFG2B": "0000000100100100", + "CH1_RX_CDR_CFG3A": "0101110011110110", + "CH1_RX_CDR_CFG3B": "0000000000001011", + "CH1_RX_CDR_CFG4A": "0000000000000110", + "CH1_RX_CDR_CFG4B": "0000000000000000", + "CH1_RX_CLKGN_CFG0": "1100000000000000", + "CH1_RX_CLKGN_CFG1": "0000000110000000", + "CH1_RX_CTLE_CFG0": "0011010010001000", + "CH1_RX_CTLE_CFG1": "0010000000100010", + "CH1_RX_CTLE_CFG2": "0000101000000000", + "CH1_RX_CTLE_CFG3": "1111001001000000", + "CH1_RX_DSP_CFG": "0000000000000000", + "CH1_RX_MON_CFG": "0000000000000000", + "CH1_RX_PAD_CFG0": "0001111000000000", + "CH1_RX_PAD_CFG1": "0001100000001010", + "CH1_RX_PCS_CFG0": "0000000100000000", + "CH1_RX_PCS_CFG1": "0000000000000000", + "CH1_TX_ANA_CFG0": "0000001010101111", + "CH1_TX_ANA_CFG1": "0000000100000000", + "CH1_TX_ANA_CFG2": "1000000000010100", + "CH1_TX_ANA_CFG3": "0000101000100010", + "CH1_TX_ANA_CFG4": "0000000000000000", + "CH1_TX_CAL_CFG0": "0000000000100000", + "CH1_TX_CAL_CFG1": "0000000001000000", + "CH1_TX_DRV_CFG0": "0000000000000000", + "CH1_TX_DRV_CFG1": "0000000000100111", + "CH1_TX_DRV_CFG2": "0000000000000000", + "CH1_TX_DRV_CFG3": "0110110000000000", + "CH1_TX_DRV_CFG4": "0000000011000101", + "CH1_TX_DRV_CFG5": "0000000000000000", + "CH1_TX_LPBK_CFG0": "0000000000000011", + "CH1_TX_LPBK_CFG1": "0000000000000000", + "CH1_TX_PCS_CFG0": "0000000101100000", + "CH1_TX_PCS_CFG1": "0000000000000000", + "CH1_TX_PCS_CFG10": "0000000000000000", + "CH1_TX_PCS_CFG11": "0000000000000000", + "CH1_TX_PCS_CFG12": "0000000000000000", + "CH1_TX_PCS_CFG13": "0000000000000000", + "CH1_TX_PCS_CFG14": "0000000000000000", + "CH1_TX_PCS_CFG15": "0000000000000000", + "CH1_TX_PCS_CFG16": "0000000000000000", + "CH1_TX_PCS_CFG17": "0000000000000000", + "CH1_TX_PCS_CFG2": "0000000000000000", + "CH1_TX_PCS_CFG3": "0000000000000000", + "CH1_TX_PCS_CFG4": "0000000000000000", + "CH1_TX_PCS_CFG5": "0000000000000000", + "CH1_TX_PCS_CFG6": "0000000000000000", + "CH1_TX_PCS_CFG7": "0000000000000000", + "CH1_TX_PCS_CFG8": "0000000000000000", + "CH1_TX_PCS_CFG9": "0000000000000000", + "DRPEN_CFG": "0000000000000000", + "FEC_CFG0": "0000000000000000", + "FEC_CFG1": "0000000000000000", + "FEC_CFG10": "0000000000000000", + "FEC_CFG11": "0000000000000000", + "FEC_CFG12": "0000000000000000", + "FEC_CFG13": "0000000000000000", + "FEC_CFG14": "0000000000000000", + "FEC_CFG15": "0000000000000000", + "FEC_CFG16": "0000000000000000", + "FEC_CFG17": "0000000000000000", + "FEC_CFG18": "0000000000000000", + "FEC_CFG19": "0000000000000000", + "FEC_CFG2": "0000000000000000", + "FEC_CFG20": "0000000000000000", + "FEC_CFG21": "0000000000000000", + "FEC_CFG22": "0000000000000000", + "FEC_CFG23": "0000000000000000", + "FEC_CFG24": "0000000000000000", + "FEC_CFG25": "0000000000000000", + "FEC_CFG26": "0000000000000000", + "FEC_CFG27": "0000000000000000", + "FEC_CFG3": "0000000000000000", + "FEC_CFG4": "0000000000000000", + "FEC_CFG5": "0000000000000000", + "FEC_CFG6": "0000000000000000", + "FEC_CFG7": "0000000000000000", + "FEC_CFG8": "0000000000000000", + "FEC_CFG9": "0000000000000000", + "FEC_MODE": "BYPASS", + "INTERFACE_WIDTH": "00000000000000000000000001000000", + "MODULATION_MODE": "NRZ", + "PLL_CFG0": "0001100111110000", + "PLL_CFG1": "0000111101110000", + "PLL_CFG2": "1000000111101000", + "PLL_CFG3": "0100000000000000", + "PLL_CFG4": "0111111111101010", + "PLL_CFG5": "0100101100111000", + "PLL_CFG6": "0000000000100101", + "PLL_CRS_CTRL_CFG0": "0000101100100000", + "PLL_CRS_CTRL_CFG1": "1100010111010100", + "PLL_IPS_PIN_EN": "1", + "PLL_IPS_REFCLK_SEL": "00000000000000000000000000000000", + "RCALSAP_TESTEN": "0", + "RCAL_APROBE": "0", + "RST_CFG": "0000000000000010", + "RST_PLL_CFG0": "0111011000010100", + "SAP_CFG0": "0000000000000000", + "SDM_CFG0": "0001100001000000", + "SDM_CFG1": "0000000000000000", + "SDM_CFG2": "0000000000000000", + "SDM_SEED_CFG0": "0000000000000000", + "SDM_SEED_CFG1": "0000000000000000", + "SIM_DEVICE": "ULTRASCALE_PLUS_ES1", + "SIM_RESET_SPEEDUP": "TRUE", + "TX_AMPLITUDE_SWING": "00000000000000000000000011111010" + }, + "ports": { + "CH0_AXISTDATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "CH0_AXISTLAST": { + "direction": "output", + "bits": [ 30 ] + }, + "CH0_AXISTVALID": { + "direction": "output", + "bits": [ 31 ] + }, + "CH0_DMONITOROUT": { + "direction": "output", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ] + }, + "CH0_DMONITOROUTCLK": { + "direction": "output", + "bits": [ 64 ] + }, + "CH0_GTMTXN": { + "direction": "output", + "bits": [ 65 ] + }, + "CH0_GTMTXP": { + "direction": "output", + "bits": [ 66 ] + }, + "CH0_PCSRSVDOUT": { + "direction": "output", + "bits": [ 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "CH0_PMARSVDOUT": { + "direction": "output", + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "CH0_RESETEXCEPTION": { + "direction": "output", + "bits": [ 99 ] + }, + "CH0_RXBUFSTATUS": { + "direction": "output", + "bits": [ 100, 101, 102 ] + }, + "CH0_RXDATA": { + "direction": "output", + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358 ] + }, + "CH0_RXDATAFLAGS": { + "direction": "output", + "bits": [ 359, 360, 361, 362 ] + }, + "CH0_RXDATAISAM": { + "direction": "output", + "bits": [ 363 ] + }, + "CH0_RXDATASTART": { + "direction": "output", + "bits": [ 364 ] + }, + "CH0_RXOUTCLK": { + "direction": "output", + "bits": [ 365 ] + }, + "CH0_RXPMARESETDONE": { + "direction": "output", + "bits": [ 366 ] + }, + "CH0_RXPRBSERR": { + "direction": "output", + "bits": [ 367 ] + }, + "CH0_RXPRBSLOCKED": { + "direction": "output", + "bits": [ 368 ] + }, + "CH0_RXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 369 ] + }, + "CH0_RXPROGDIVCLK": { + "direction": "output", + "bits": [ 370 ] + }, + "CH0_RXRESETDONE": { + "direction": "output", + "bits": [ 371 ] + }, + "CH0_TXBUFSTATUS": { + "direction": "output", + "bits": [ 372, 373 ] + }, + "CH0_TXOUTCLK": { + "direction": "output", + "bits": [ 374 ] + }, + "CH0_TXPMARESETDONE": { + "direction": "output", + "bits": [ 375 ] + }, + "CH0_TXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 376 ] + }, + "CH0_TXPROGDIVCLK": { + "direction": "output", + "bits": [ 377 ] + }, + "CH0_TXRESETDONE": { + "direction": "output", + "bits": [ 378 ] + }, + "CH1_AXISTDATA": { + "direction": "output", + "bits": [ 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406 ] + }, + "CH1_AXISTLAST": { + "direction": "output", + "bits": [ 407 ] + }, + "CH1_AXISTVALID": { + "direction": "output", + "bits": [ 408 ] + }, + "CH1_DMONITOROUT": { + "direction": "output", + "bits": [ 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440 ] + }, + "CH1_DMONITOROUTCLK": { + "direction": "output", + "bits": [ 441 ] + }, + "CH1_GTMTXN": { + "direction": "output", + "bits": [ 442 ] + }, + "CH1_GTMTXP": { + "direction": "output", + "bits": [ 443 ] + }, + "CH1_PCSRSVDOUT": { + "direction": "output", + "bits": [ 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459 ] + }, + "CH1_PMARSVDOUT": { + "direction": "output", + "bits": [ 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475 ] + }, + "CH1_RESETEXCEPTION": { + "direction": "output", + "bits": [ 476 ] + }, + "CH1_RXBUFSTATUS": { + "direction": "output", + "bits": [ 477, 478, 479 ] + }, + "CH1_RXDATA": { + "direction": "output", + "bits": [ 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735 ] + }, + "CH1_RXDATAFLAGS": { + "direction": "output", + "bits": [ 736, 737, 738, 739 ] + }, + "CH1_RXDATAISAM": { + "direction": "output", + "bits": [ 740 ] + }, + "CH1_RXDATASTART": { + "direction": "output", + "bits": [ 741 ] + }, + "CH1_RXOUTCLK": { + "direction": "output", + "bits": [ 742 ] + }, + "CH1_RXPMARESETDONE": { + "direction": "output", + "bits": [ 743 ] + }, + "CH1_RXPRBSERR": { + "direction": "output", + "bits": [ 744 ] + }, + "CH1_RXPRBSLOCKED": { + "direction": "output", + "bits": [ 745 ] + }, + "CH1_RXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 746 ] + }, + "CH1_RXPROGDIVCLK": { + "direction": "output", + "bits": [ 747 ] + }, + "CH1_RXRESETDONE": { + "direction": "output", + "bits": [ 748 ] + }, + "CH1_TXBUFSTATUS": { + "direction": "output", + "bits": [ 749, 750 ] + }, + "CH1_TXOUTCLK": { + "direction": "output", + "bits": [ 751 ] + }, + "CH1_TXPMARESETDONE": { + "direction": "output", + "bits": [ 752 ] + }, + "CH1_TXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 753 ] + }, + "CH1_TXPROGDIVCLK": { + "direction": "output", + "bits": [ 754 ] + }, + "CH1_TXRESETDONE": { + "direction": "output", + "bits": [ 755 ] + }, + "CLKTESTSIG2PAD": { + "direction": "output", + "bits": [ 756 ] + }, + "DMONITOROUTPLLCLK": { + "direction": "output", + "bits": [ 757 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 774 ] + }, + "FECRX0ALIGNED": { + "direction": "output", + "bits": [ 775 ] + }, + "FECRX0CORRCWINC": { + "direction": "output", + "bits": [ 776 ] + }, + "FECRX0CWINC": { + "direction": "output", + "bits": [ 777 ] + }, + "FECRX0UNCORRCWINC": { + "direction": "output", + "bits": [ 778 ] + }, + "FECRX1ALIGNED": { + "direction": "output", + "bits": [ 779 ] + }, + "FECRX1CORRCWINC": { + "direction": "output", + "bits": [ 780 ] + }, + "FECRX1CWINC": { + "direction": "output", + "bits": [ 781 ] + }, + "FECRX1UNCORRCWINC": { + "direction": "output", + "bits": [ 782 ] + }, + "FECRXLN0BITERR0TO1INC": { + "direction": "output", + "bits": [ 783, 784, 785, 786, 787, 788, 789, 790 ] + }, + "FECRXLN0BITERR1TO0INC": { + "direction": "output", + "bits": [ 791, 792, 793, 794, 795, 796, 797, 798 ] + }, + "FECRXLN0DLY": { + "direction": "output", + "bits": [ 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813 ] + }, + "FECRXLN0ERRCNTINC": { + "direction": "output", + "bits": [ 814, 815, 816, 817 ] + }, + "FECRXLN0MAPPING": { + "direction": "output", + "bits": [ 818, 819 ] + }, + "FECRXLN1BITERR0TO1INC": { + "direction": "output", + "bits": [ 820, 821, 822, 823, 824, 825, 826, 827 ] + }, + "FECRXLN1BITERR1TO0INC": { + "direction": "output", + "bits": [ 828, 829, 830, 831, 832, 833, 834, 835 ] + }, + "FECRXLN1DLY": { + "direction": "output", + "bits": [ 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850 ] + }, + "FECRXLN1ERRCNTINC": { + "direction": "output", + "bits": [ 851, 852, 853, 854 ] + }, + "FECRXLN1MAPPING": { + "direction": "output", + "bits": [ 855, 856 ] + }, + "FECRXLN2BITERR0TO1INC": { + "direction": "output", + "bits": [ 857, 858, 859, 860, 861, 862, 863, 864 ] + }, + "FECRXLN2BITERR1TO0INC": { + "direction": "output", + "bits": [ 865, 866, 867, 868, 869, 870, 871, 872 ] + }, + "FECRXLN2DLY": { + "direction": "output", + "bits": [ 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887 ] + }, + "FECRXLN2ERRCNTINC": { + "direction": "output", + "bits": [ 888, 889, 890, 891 ] + }, + "FECRXLN2MAPPING": { + "direction": "output", + "bits": [ 892, 893 ] + }, + "FECRXLN3BITERR0TO1INC": { + "direction": "output", + "bits": [ 894, 895, 896, 897, 898, 899, 900, 901 ] + }, + "FECRXLN3BITERR1TO0INC": { + "direction": "output", + "bits": [ 902, 903, 904, 905, 906, 907, 908, 909 ] + }, + "FECRXLN3DLY": { + "direction": "output", + "bits": [ 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924 ] + }, + "FECRXLN3ERRCNTINC": { + "direction": "output", + "bits": [ 925, 926, 927, 928 ] + }, + "FECRXLN3MAPPING": { + "direction": "output", + "bits": [ 929, 930 ] + }, + "FECTRXLN0LOCK": { + "direction": "output", + "bits": [ 931 ] + }, + "FECTRXLN1LOCK": { + "direction": "output", + "bits": [ 932 ] + }, + "FECTRXLN2LOCK": { + "direction": "output", + "bits": [ 933 ] + }, + "FECTRXLN3LOCK": { + "direction": "output", + "bits": [ 934 ] + }, + "GTPOWERGOOD": { + "direction": "output", + "bits": [ 935 ] + }, + "PLLFBCLKLOST": { + "direction": "output", + "bits": [ 936 ] + }, + "PLLLOCK": { + "direction": "output", + "bits": [ 937 ] + }, + "PLLREFCLKLOST": { + "direction": "output", + "bits": [ 938 ] + }, + "PLLREFCLKMONITOR": { + "direction": "output", + "bits": [ 939 ] + }, + "PLLRESETDONE": { + "direction": "output", + "bits": [ 940 ] + }, + "PLLRSVDOUT": { + "direction": "output", + "bits": [ 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956 ] + }, + "RCALCMP": { + "direction": "output", + "bits": [ 957 ] + }, + "RCALOUT": { + "direction": "output", + "bits": [ 958, 959, 960, 961, 962 ] + }, + "RXRECCLK0": { + "direction": "output", + "bits": [ 963 ] + }, + "RXRECCLK1": { + "direction": "output", + "bits": [ 964 ] + }, + "BGBYPASSB": { + "direction": "input", + "bits": [ 965 ] + }, + "BGMONITORENB": { + "direction": "input", + "bits": [ 966 ] + }, + "BGPDB": { + "direction": "input", + "bits": [ 967 ] + }, + "BGRCALOVRD": { + "direction": "input", + "bits": [ 968, 969, 970, 971, 972 ] + }, + "BGRCALOVRDENB": { + "direction": "input", + "bits": [ 973 ] + }, + "CH0_AXISEN": { + "direction": "input", + "bits": [ 974 ] + }, + "CH0_AXISRST": { + "direction": "input", + "bits": [ 975 ] + }, + "CH0_AXISTRDY": { + "direction": "input", + "bits": [ 976 ] + }, + "CH0_CFGRESET": { + "direction": "input", + "bits": [ 977 ] + }, + "CH0_DMONFIFORESET": { + "direction": "input", + "bits": [ 978 ] + }, + "CH0_DMONITORCLK": { + "direction": "input", + "bits": [ 979 ] + }, + "CH0_GTMRXN": { + "direction": "input", + "bits": [ 980 ] + }, + "CH0_GTMRXP": { + "direction": "input", + "bits": [ 981 ] + }, + "CH0_GTRXRESET": { + "direction": "input", + "bits": [ 982 ] + }, + "CH0_GTTXRESET": { + "direction": "input", + "bits": [ 983 ] + }, + "CH0_LOOPBACK": { + "direction": "input", + "bits": [ 984, 985, 986 ] + }, + "CH0_PCSRSVDIN": { + "direction": "input", + "bits": [ 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002 ] + }, + "CH0_PMARSVDIN": { + "direction": "input", + "bits": [ 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018 ] + }, + "CH0_RESETOVRD": { + "direction": "input", + "bits": [ 1019 ] + }, + "CH0_RXADAPTRESET": { + "direction": "input", + "bits": [ 1020 ] + }, + "CH0_RXADCCALRESET": { + "direction": "input", + "bits": [ 1021 ] + }, + "CH0_RXADCCLKGENRESET": { + "direction": "input", + "bits": [ 1022 ] + }, + "CH0_RXBUFRESET": { + "direction": "input", + "bits": [ 1023 ] + }, + "CH0_RXCDRFREQOS": { + "direction": "input", + "bits": [ 1024 ] + }, + "CH0_RXCDRFRRESET": { + "direction": "input", + "bits": [ 1025 ] + }, + "CH0_RXCDRHOLD": { + "direction": "input", + "bits": [ 1026 ] + }, + "CH0_RXCDRINCPCTRL": { + "direction": "input", + "bits": [ 1027 ] + }, + "CH0_RXCDROVRDEN": { + "direction": "input", + "bits": [ 1028 ] + }, + "CH0_RXCDRPHRESET": { + "direction": "input", + "bits": [ 1029 ] + }, + "CH0_RXDFERESET": { + "direction": "input", + "bits": [ 1030 ] + }, + "CH0_RXDSPRESET": { + "direction": "input", + "bits": [ 1031 ] + }, + "CH0_RXEQTRAINING": { + "direction": "input", + "bits": [ 1032 ] + }, + "CH0_RXEYESCANRESET": { + "direction": "input", + "bits": [ 1033 ] + }, + "CH0_RXFECRESET": { + "direction": "input", + "bits": [ 1034 ] + }, + "CH0_RXOUTCLKSEL": { + "direction": "input", + "bits": [ 1035, 1036, 1037 ] + }, + "CH0_RXPCSRESET": { + "direction": "input", + "bits": [ 1038 ] + }, + "CH0_RXPCSRESETMASK": { + "direction": "input", + "bits": [ 1039, 1040, 1041, 1042 ] + }, + "CH0_RXPMARESET": { + "direction": "input", + "bits": [ 1043 ] + }, + "CH0_RXPMARESETMASK": { + "direction": "input", + "bits": [ 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051 ] + }, + "CH0_RXPOLARITY": { + "direction": "input", + "bits": [ 1052 ] + }, + "CH0_RXPRBSCNTSTOP": { + "direction": "input", + "bits": [ 1053 ] + }, + "CH0_RXPRBSCSCNTRST": { + "direction": "input", + "bits": [ 1054 ] + }, + "CH0_RXPRBSPTN": { + "direction": "input", + "bits": [ 1055, 1056, 1057, 1058 ] + }, + "CH0_RXPROGDIVRESET": { + "direction": "input", + "bits": [ 1059 ] + }, + "CH0_RXQPRBSEN": { + "direction": "input", + "bits": [ 1060 ] + }, + "CH0_RXRESETMODE": { + "direction": "input", + "bits": [ 1061, 1062 ] + }, + "CH0_RXSPCSEQADV": { + "direction": "input", + "bits": [ 1063 ] + }, + "CH0_RXUSRCLK": { + "direction": "input", + "bits": [ 1064 ] + }, + "CH0_RXUSRCLK2": { + "direction": "input", + "bits": [ 1065 ] + }, + "CH0_RXUSRRDY": { + "direction": "input", + "bits": [ 1066 ] + }, + "CH0_RXUSRSTART": { + "direction": "input", + "bits": [ 1067 ] + }, + "CH0_RXUSRSTOP": { + "direction": "input", + "bits": [ 1068 ] + }, + "CH0_TXCKALRESET": { + "direction": "input", + "bits": [ 1069 ] + }, + "CH0_TXCTLFIRDAT": { + "direction": "input", + "bits": [ 1070, 1071, 1072, 1073, 1074, 1075 ] + }, + "CH0_TXDATA": { + "direction": "input", + "bits": [ 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331 ] + }, + "CH0_TXDATASTART": { + "direction": "input", + "bits": [ 1332 ] + }, + "CH0_TXDRVAMP": { + "direction": "input", + "bits": [ 1333, 1334, 1335, 1336, 1337 ] + }, + "CH0_TXEMPMAIN": { + "direction": "input", + "bits": [ 1338, 1339, 1340, 1341, 1342, 1343 ] + }, + "CH0_TXEMPPOST": { + "direction": "input", + "bits": [ 1344, 1345, 1346, 1347, 1348 ] + }, + "CH0_TXEMPPRE": { + "direction": "input", + "bits": [ 1349, 1350, 1351, 1352, 1353 ] + }, + "CH0_TXEMPPRE2": { + "direction": "input", + "bits": [ 1354, 1355, 1356, 1357 ] + }, + "CH0_TXFECRESET": { + "direction": "input", + "bits": [ 1358 ] + }, + "CH0_TXINHIBIT": { + "direction": "input", + "bits": [ 1359 ] + }, + "CH0_TXMUXDCDEXHOLD": { + "direction": "input", + "bits": [ 1360 ] + }, + "CH0_TXMUXDCDORWREN": { + "direction": "input", + "bits": [ 1361 ] + }, + "CH0_TXOUTCLKSEL": { + "direction": "input", + "bits": [ 1362, 1363, 1364 ] + }, + "CH0_TXPCSRESET": { + "direction": "input", + "bits": [ 1365 ] + }, + "CH0_TXPCSRESETMASK": { + "direction": "input", + "bits": [ 1366, 1367 ] + }, + "CH0_TXPMARESET": { + "direction": "input", + "bits": [ 1368 ] + }, + "CH0_TXPMARESETMASK": { + "direction": "input", + "bits": [ 1369, 1370 ] + }, + "CH0_TXPOLARITY": { + "direction": "input", + "bits": [ 1371 ] + }, + "CH0_TXPRBSINERR": { + "direction": "input", + "bits": [ 1372 ] + }, + "CH0_TXPRBSPTN": { + "direction": "input", + "bits": [ 1373, 1374, 1375, 1376 ] + }, + "CH0_TXPROGDIVRESET": { + "direction": "input", + "bits": [ 1377 ] + }, + "CH0_TXQPRBSEN": { + "direction": "input", + "bits": [ 1378 ] + }, + "CH0_TXRESETMODE": { + "direction": "input", + "bits": [ 1379, 1380 ] + }, + "CH0_TXSPCSEQADV": { + "direction": "input", + "bits": [ 1381 ] + }, + "CH0_TXUSRCLK": { + "direction": "input", + "bits": [ 1382 ] + }, + "CH0_TXUSRCLK2": { + "direction": "input", + "bits": [ 1383 ] + }, + "CH0_TXUSRRDY": { + "direction": "input", + "bits": [ 1384 ] + }, + "CH1_AXISEN": { + "direction": "input", + "bits": [ 1385 ] + }, + "CH1_AXISRST": { + "direction": "input", + "bits": [ 1386 ] + }, + "CH1_AXISTRDY": { + "direction": "input", + "bits": [ 1387 ] + }, + "CH1_CFGRESET": { + "direction": "input", + "bits": [ 1388 ] + }, + "CH1_DMONFIFORESET": { + "direction": "input", + "bits": [ 1389 ] + }, + "CH1_DMONITORCLK": { + "direction": "input", + "bits": [ 1390 ] + }, + "CH1_GTMRXN": { + "direction": "input", + "bits": [ 1391 ] + }, + "CH1_GTMRXP": { + "direction": "input", + "bits": [ 1392 ] + }, + "CH1_GTRXRESET": { + "direction": "input", + "bits": [ 1393 ] + }, + "CH1_GTTXRESET": { + "direction": "input", + "bits": [ 1394 ] + }, + "CH1_LOOPBACK": { + "direction": "input", + "bits": [ 1395, 1396, 1397 ] + }, + "CH1_PCSRSVDIN": { + "direction": "input", + "bits": [ 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413 ] + }, + "CH1_PMARSVDIN": { + "direction": "input", + "bits": [ 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429 ] + }, + "CH1_RESETOVRD": { + "direction": "input", + "bits": [ 1430 ] + }, + "CH1_RXADAPTRESET": { + "direction": "input", + "bits": [ 1431 ] + }, + "CH1_RXADCCALRESET": { + "direction": "input", + "bits": [ 1432 ] + }, + "CH1_RXADCCLKGENRESET": { + "direction": "input", + "bits": [ 1433 ] + }, + "CH1_RXBUFRESET": { + "direction": "input", + "bits": [ 1434 ] + }, + "CH1_RXCDRFREQOS": { + "direction": "input", + "bits": [ 1435 ] + }, + "CH1_RXCDRFRRESET": { + "direction": "input", + "bits": [ 1436 ] + }, + "CH1_RXCDRHOLD": { + "direction": "input", + "bits": [ 1437 ] + }, + "CH1_RXCDRINCPCTRL": { + "direction": "input", + "bits": [ 1438 ] + }, + "CH1_RXCDROVRDEN": { + "direction": "input", + "bits": [ 1439 ] + }, + "CH1_RXCDRPHRESET": { + "direction": "input", + "bits": [ 1440 ] + }, + "CH1_RXDFERESET": { + "direction": "input", + "bits": [ 1441 ] + }, + "CH1_RXDSPRESET": { + "direction": "input", + "bits": [ 1442 ] + }, + "CH1_RXEQTRAINING": { + "direction": "input", + "bits": [ 1443 ] + }, + "CH1_RXEYESCANRESET": { + "direction": "input", + "bits": [ 1444 ] + }, + "CH1_RXFECRESET": { + "direction": "input", + "bits": [ 1445 ] + }, + "CH1_RXOUTCLKSEL": { + "direction": "input", + "bits": [ 1446, 1447, 1448 ] + }, + "CH1_RXPCSRESET": { + "direction": "input", + "bits": [ 1449 ] + }, + "CH1_RXPCSRESETMASK": { + "direction": "input", + "bits": [ 1450, 1451, 1452, 1453 ] + }, + "CH1_RXPMARESET": { + "direction": "input", + "bits": [ 1454 ] + }, + "CH1_RXPMARESETMASK": { + "direction": "input", + "bits": [ 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462 ] + }, + "CH1_RXPOLARITY": { + "direction": "input", + "bits": [ 1463 ] + }, + "CH1_RXPRBSCNTSTOP": { + "direction": "input", + "bits": [ 1464 ] + }, + "CH1_RXPRBSCSCNTRST": { + "direction": "input", + "bits": [ 1465 ] + }, + "CH1_RXPRBSPTN": { + "direction": "input", + "bits": [ 1466, 1467, 1468, 1469 ] + }, + "CH1_RXPROGDIVRESET": { + "direction": "input", + "bits": [ 1470 ] + }, + "CH1_RXQPRBSEN": { + "direction": "input", + "bits": [ 1471 ] + }, + "CH1_RXRESETMODE": { + "direction": "input", + "bits": [ 1472, 1473 ] + }, + "CH1_RXSPCSEQADV": { + "direction": "input", + "bits": [ 1474 ] + }, + "CH1_RXUSRCLK": { + "direction": "input", + "bits": [ 1475 ] + }, + "CH1_RXUSRCLK2": { + "direction": "input", + "bits": [ 1476 ] + }, + "CH1_RXUSRRDY": { + "direction": "input", + "bits": [ 1477 ] + }, + "CH1_RXUSRSTART": { + "direction": "input", + "bits": [ 1478 ] + }, + "CH1_RXUSRSTOP": { + "direction": "input", + "bits": [ 1479 ] + }, + "CH1_TXCKALRESET": { + "direction": "input", + "bits": [ 1480 ] + }, + "CH1_TXCTLFIRDAT": { + "direction": "input", + "bits": [ 1481, 1482, 1483, 1484, 1485, 1486 ] + }, + "CH1_TXDATA": { + "direction": "input", + "bits": [ 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742 ] + }, + "CH1_TXDATASTART": { + "direction": "input", + "bits": [ 1743 ] + }, + "CH1_TXDRVAMP": { + "direction": "input", + "bits": [ 1744, 1745, 1746, 1747, 1748 ] + }, + "CH1_TXEMPMAIN": { + "direction": "input", + "bits": [ 1749, 1750, 1751, 1752, 1753, 1754 ] + }, + "CH1_TXEMPPOST": { + "direction": "input", + "bits": [ 1755, 1756, 1757, 1758, 1759 ] + }, + "CH1_TXEMPPRE": { + "direction": "input", + "bits": [ 1760, 1761, 1762, 1763, 1764 ] + }, + "CH1_TXEMPPRE2": { + "direction": "input", + "bits": [ 1765, 1766, 1767, 1768 ] + }, + "CH1_TXFECRESET": { + "direction": "input", + "bits": [ 1769 ] + }, + "CH1_TXINHIBIT": { + "direction": "input", + "bits": [ 1770 ] + }, + "CH1_TXMUXDCDEXHOLD": { + "direction": "input", + "bits": [ 1771 ] + }, + "CH1_TXMUXDCDORWREN": { + "direction": "input", + "bits": [ 1772 ] + }, + "CH1_TXOUTCLKSEL": { + "direction": "input", + "bits": [ 1773, 1774, 1775 ] + }, + "CH1_TXPCSRESET": { + "direction": "input", + "bits": [ 1776 ] + }, + "CH1_TXPCSRESETMASK": { + "direction": "input", + "bits": [ 1777, 1778 ] + }, + "CH1_TXPMARESET": { + "direction": "input", + "bits": [ 1779 ] + }, + "CH1_TXPMARESETMASK": { + "direction": "input", + "bits": [ 1780, 1781 ] + }, + "CH1_TXPOLARITY": { + "direction": "input", + "bits": [ 1782 ] + }, + "CH1_TXPRBSINERR": { + "direction": "input", + "bits": [ 1783 ] + }, + "CH1_TXPRBSPTN": { + "direction": "input", + "bits": [ 1784, 1785, 1786, 1787 ] + }, + "CH1_TXPROGDIVRESET": { + "direction": "input", + "bits": [ 1788 ] + }, + "CH1_TXQPRBSEN": { + "direction": "input", + "bits": [ 1789 ] + }, + "CH1_TXRESETMODE": { + "direction": "input", + "bits": [ 1790, 1791 ] + }, + "CH1_TXSPCSEQADV": { + "direction": "input", + "bits": [ 1792 ] + }, + "CH1_TXUSRCLK": { + "direction": "input", + "bits": [ 1793 ] + }, + "CH1_TXUSRCLK2": { + "direction": "input", + "bits": [ 1794 ] + }, + "CH1_TXUSRRDY": { + "direction": "input", + "bits": [ 1795 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 1807 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 1824 ] + }, + "DRPRST": { + "direction": "input", + "bits": [ 1825 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 1826 ] + }, + "FECCTRLRX0BITSLIPFS": { + "direction": "input", + "bits": [ 1827 ] + }, + "FECCTRLRX1BITSLIPFS": { + "direction": "input", + "bits": [ 1828 ] + }, + "GTGREFCLK2PLL": { + "direction": "input", + "bits": [ 1829 ] + }, + "GTNORTHREFCLK": { + "direction": "input", + "bits": [ 1830 ] + }, + "GTREFCLK": { + "direction": "input", + "bits": [ 1831 ] + }, + "GTSOUTHREFCLK": { + "direction": "input", + "bits": [ 1832 ] + }, + "PLLFBDIV": { + "direction": "input", + "bits": [ 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840 ] + }, + "PLLMONCLK": { + "direction": "input", + "bits": [ 1841 ] + }, + "PLLPD": { + "direction": "input", + "bits": [ 1842 ] + }, + "PLLREFCLKSEL": { + "direction": "input", + "bits": [ 1843, 1844, 1845 ] + }, + "PLLRESET": { + "direction": "input", + "bits": [ 1846 ] + }, + "PLLRESETBYPASSMODE": { + "direction": "input", + "bits": [ 1847 ] + }, + "PLLRESETMASK": { + "direction": "input", + "bits": [ 1848, 1849 ] + }, + "PLLRSVDIN": { + "direction": "input", + "bits": [ 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865 ] + }, + "RCALENB": { + "direction": "input", + "bits": [ 1866 ] + }, + "SDMDATA": { + "direction": "input", + "bits": [ 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892 ] + }, + "SDMTOGGLE": { + "direction": "input", + "bits": [ 1893 ] + } + }, + "cells": { + }, + "netnames": { + "BGBYPASSB": { + "hide_name": 0, + "bits": [ 965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19395.11-19395.20" + } + }, + "BGMONITORENB": { + "hide_name": 0, + "bits": [ 966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19396.11-19396.23" + } + }, + "BGPDB": { + "hide_name": 0, + "bits": [ 967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19397.11-19397.16" + } + }, + "BGRCALOVRD": { + "hide_name": 0, + "bits": [ 968, 969, 970, 971, 972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19398.17-19398.27" + } + }, + "BGRCALOVRDENB": { + "hide_name": 0, + "bits": [ 973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19399.11-19399.24" + } + }, + "CH0_AXISEN": { + "hide_name": 0, + "bits": [ 974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19400.11-19400.21" + } + }, + "CH0_AXISRST": { + "hide_name": 0, + "bits": [ 975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19401.11-19401.22" + } + }, + "CH0_AXISTDATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19292.19-19292.32" + } + }, + "CH0_AXISTLAST": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19293.12-19293.25" + } + }, + "CH0_AXISTRDY": { + "hide_name": 0, + "bits": [ 976 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19402.11-19402.23" + } + }, + "CH0_AXISTVALID": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19294.12-19294.26" + } + }, + "CH0_CFGRESET": { + "hide_name": 0, + "bits": [ 977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19403.11-19403.23" + } + }, + "CH0_DMONFIFORESET": { + "hide_name": 0, + "bits": [ 978 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19404.11-19404.28" + } + }, + "CH0_DMONITORCLK": { + "hide_name": 0, + "bits": [ 979 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19405.11-19405.26" + } + }, + "CH0_DMONITOROUT": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19295.19-19295.34" + } + }, + "CH0_DMONITOROUTCLK": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19296.12-19296.30" + } + }, + "CH0_GTMRXN": { + "hide_name": 0, + "bits": [ 980 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19406.11-19406.21" + } + }, + "CH0_GTMRXP": { + "hide_name": 0, + "bits": [ 981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19407.11-19407.21" + } + }, + "CH0_GTMTXN": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19297.12-19297.22" + } + }, + "CH0_GTMTXP": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19298.12-19298.22" + } + }, + "CH0_GTRXRESET": { + "hide_name": 0, + "bits": [ 982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19408.11-19408.24" + } + }, + "CH0_GTTXRESET": { + "hide_name": 0, + "bits": [ 983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19409.11-19409.24" + } + }, + "CH0_LOOPBACK": { + "hide_name": 0, + "bits": [ 984, 985, 986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19410.17-19410.29" + } + }, + "CH0_PCSRSVDIN": { + "hide_name": 0, + "bits": [ 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19411.18-19411.31" + } + }, + "CH0_PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19299.19-19299.33" + } + }, + "CH0_PMARSVDIN": { + "hide_name": 0, + "bits": [ 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19412.18-19412.31" + } + }, + "CH0_PMARSVDOUT": { + "hide_name": 0, + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19300.19-19300.33" + } + }, + "CH0_RESETEXCEPTION": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19301.12-19301.30" + } + }, + "CH0_RESETOVRD": { + "hide_name": 0, + "bits": [ 1019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19413.11-19413.24" + } + }, + "CH0_RXADAPTRESET": { + "hide_name": 0, + "bits": [ 1020 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19414.11-19414.27" + } + }, + "CH0_RXADCCALRESET": { + "hide_name": 0, + "bits": [ 1021 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19415.11-19415.28" + } + }, + "CH0_RXADCCLKGENRESET": { + "hide_name": 0, + "bits": [ 1022 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19416.11-19416.31" + } + }, + "CH0_RXBUFRESET": { + "hide_name": 0, + "bits": [ 1023 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19417.11-19417.25" + } + }, + "CH0_RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19302.18-19302.33" + } + }, + "CH0_RXCDRFREQOS": { + "hide_name": 0, + "bits": [ 1024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19418.11-19418.26" + } + }, + "CH0_RXCDRFRRESET": { + "hide_name": 0, + "bits": [ 1025 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19419.11-19419.27" + } + }, + "CH0_RXCDRHOLD": { + "hide_name": 0, + "bits": [ 1026 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19420.11-19420.24" + } + }, + "CH0_RXCDRINCPCTRL": { + "hide_name": 0, + "bits": [ 1027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19421.11-19421.28" + } + }, + "CH0_RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 1028 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19422.11-19422.26" + } + }, + "CH0_RXCDRPHRESET": { + "hide_name": 0, + "bits": [ 1029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19423.11-19423.27" + } + }, + "CH0_RXDATA": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19303.20-19303.30" + } + }, + "CH0_RXDATAFLAGS": { + "hide_name": 0, + "bits": [ 359, 360, 361, 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19304.18-19304.33" + } + }, + "CH0_RXDATAISAM": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19305.12-19305.26" + } + }, + "CH0_RXDATASTART": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19306.12-19306.27" + } + }, + "CH0_RXDFERESET": { + "hide_name": 0, + "bits": [ 1030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19424.11-19424.25" + } + }, + "CH0_RXDSPRESET": { + "hide_name": 0, + "bits": [ 1031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19425.11-19425.25" + } + }, + "CH0_RXEQTRAINING": { + "hide_name": 0, + "bits": [ 1032 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19426.11-19426.27" + } + }, + "CH0_RXEYESCANRESET": { + "hide_name": 0, + "bits": [ 1033 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19427.11-19427.29" + } + }, + "CH0_RXFECRESET": { + "hide_name": 0, + "bits": [ 1034 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19428.11-19428.25" + } + }, + "CH0_RXOUTCLK": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19307.12-19307.24" + } + }, + "CH0_RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 1035, 1036, 1037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19429.17-19429.32" + } + }, + "CH0_RXPCSRESET": { + "hide_name": 0, + "bits": [ 1038 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19430.11-19430.25" + } + }, + "CH0_RXPCSRESETMASK": { + "hide_name": 0, + "bits": [ 1039, 1040, 1041, 1042 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19431.17-19431.35" + } + }, + "CH0_RXPMARESET": { + "hide_name": 0, + "bits": [ 1043 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19432.11-19432.25" + } + }, + "CH0_RXPMARESETDONE": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19308.12-19308.30" + } + }, + "CH0_RXPMARESETMASK": { + "hide_name": 0, + "bits": [ 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19433.17-19433.35" + } + }, + "CH0_RXPOLARITY": { + "hide_name": 0, + "bits": [ 1052 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19434.11-19434.25" + } + }, + "CH0_RXPRBSCNTSTOP": { + "hide_name": 0, + "bits": [ 1053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19435.11-19435.28" + } + }, + "CH0_RXPRBSCSCNTRST": { + "hide_name": 0, + "bits": [ 1054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19436.11-19436.29" + } + }, + "CH0_RXPRBSERR": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19309.12-19309.25" + } + }, + "CH0_RXPRBSLOCKED": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19310.12-19310.28" + } + }, + "CH0_RXPRBSPTN": { + "hide_name": 0, + "bits": [ 1055, 1056, 1057, 1058 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19437.17-19437.30" + } + }, + "CH0_RXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19311.12-19311.33" + } + }, + "CH0_RXPROGDIVCLK": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19312.12-19312.28" + } + }, + "CH0_RXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 1059 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19438.11-19438.29" + } + }, + "CH0_RXQPRBSEN": { + "hide_name": 0, + "bits": [ 1060 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19439.11-19439.24" + } + }, + "CH0_RXRESETDONE": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19313.12-19313.27" + } + }, + "CH0_RXRESETMODE": { + "hide_name": 0, + "bits": [ 1061, 1062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19440.17-19440.32" + } + }, + "CH0_RXSPCSEQADV": { + "hide_name": 0, + "bits": [ 1063 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19441.11-19441.26" + } + }, + "CH0_RXUSRCLK": { + "hide_name": 0, + "bits": [ 1064 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19442.11-19442.23" + } + }, + "CH0_RXUSRCLK2": { + "hide_name": 0, + "bits": [ 1065 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19443.11-19443.24" + } + }, + "CH0_RXUSRRDY": { + "hide_name": 0, + "bits": [ 1066 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19444.11-19444.23" + } + }, + "CH0_RXUSRSTART": { + "hide_name": 0, + "bits": [ 1067 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19445.11-19445.25" + } + }, + "CH0_RXUSRSTOP": { + "hide_name": 0, + "bits": [ 1068 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19446.11-19446.24" + } + }, + "CH0_TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 372, 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19314.18-19314.33" + } + }, + "CH0_TXCKALRESET": { + "hide_name": 0, + "bits": [ 1069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19447.11-19447.26" + } + }, + "CH0_TXCTLFIRDAT": { + "hide_name": 0, + "bits": [ 1070, 1071, 1072, 1073, 1074, 1075 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19448.17-19448.32" + } + }, + "CH0_TXDATA": { + "hide_name": 0, + "bits": [ 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19449.19-19449.29" + } + }, + "CH0_TXDATASTART": { + "hide_name": 0, + "bits": [ 1332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19450.11-19450.26" + } + }, + "CH0_TXDRVAMP": { + "hide_name": 0, + "bits": [ 1333, 1334, 1335, 1336, 1337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19451.17-19451.29" + } + }, + "CH0_TXEMPMAIN": { + "hide_name": 0, + "bits": [ 1338, 1339, 1340, 1341, 1342, 1343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19452.17-19452.30" + } + }, + "CH0_TXEMPPOST": { + "hide_name": 0, + "bits": [ 1344, 1345, 1346, 1347, 1348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19453.17-19453.30" + } + }, + "CH0_TXEMPPRE": { + "hide_name": 0, + "bits": [ 1349, 1350, 1351, 1352, 1353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19454.17-19454.29" + } + }, + "CH0_TXEMPPRE2": { + "hide_name": 0, + "bits": [ 1354, 1355, 1356, 1357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19455.17-19455.30" + } + }, + "CH0_TXFECRESET": { + "hide_name": 0, + "bits": [ 1358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19456.11-19456.25" + } + }, + "CH0_TXINHIBIT": { + "hide_name": 0, + "bits": [ 1359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19457.11-19457.24" + } + }, + "CH0_TXMUXDCDEXHOLD": { + "hide_name": 0, + "bits": [ 1360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19458.11-19458.29" + } + }, + "CH0_TXMUXDCDORWREN": { + "hide_name": 0, + "bits": [ 1361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19459.11-19459.29" + } + }, + "CH0_TXOUTCLK": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19315.12-19315.24" + } + }, + "CH0_TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 1362, 1363, 1364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19460.17-19460.32" + } + }, + "CH0_TXPCSRESET": { + "hide_name": 0, + "bits": [ 1365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19461.11-19461.25" + } + }, + "CH0_TXPCSRESETMASK": { + "hide_name": 0, + "bits": [ 1366, 1367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19462.17-19462.35" + } + }, + "CH0_TXPMARESET": { + "hide_name": 0, + "bits": [ 1368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19463.11-19463.25" + } + }, + "CH0_TXPMARESETDONE": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19316.12-19316.30" + } + }, + "CH0_TXPMARESETMASK": { + "hide_name": 0, + "bits": [ 1369, 1370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19464.17-19464.35" + } + }, + "CH0_TXPOLARITY": { + "hide_name": 0, + "bits": [ 1371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19465.11-19465.25" + } + }, + "CH0_TXPRBSINERR": { + "hide_name": 0, + "bits": [ 1372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19466.11-19466.26" + } + }, + "CH0_TXPRBSPTN": { + "hide_name": 0, + "bits": [ 1373, 1374, 1375, 1376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19467.17-19467.30" + } + }, + "CH0_TXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19317.12-19317.33" + } + }, + "CH0_TXPROGDIVCLK": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19318.12-19318.28" + } + }, + "CH0_TXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 1377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19468.11-19468.29" + } + }, + "CH0_TXQPRBSEN": { + "hide_name": 0, + "bits": [ 1378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19469.11-19469.24" + } + }, + "CH0_TXRESETDONE": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19319.12-19319.27" + } + }, + "CH0_TXRESETMODE": { + "hide_name": 0, + "bits": [ 1379, 1380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19470.17-19470.32" + } + }, + "CH0_TXSPCSEQADV": { + "hide_name": 0, + "bits": [ 1381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19471.11-19471.26" + } + }, + "CH0_TXUSRCLK": { + "hide_name": 0, + "bits": [ 1382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19472.11-19472.23" + } + }, + "CH0_TXUSRCLK2": { + "hide_name": 0, + "bits": [ 1383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19473.11-19473.24" + } + }, + "CH0_TXUSRRDY": { + "hide_name": 0, + "bits": [ 1384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19474.11-19474.23" + } + }, + "CH1_AXISEN": { + "hide_name": 0, + "bits": [ 1385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19475.11-19475.21" + } + }, + "CH1_AXISRST": { + "hide_name": 0, + "bits": [ 1386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19476.11-19476.22" + } + }, + "CH1_AXISTDATA": { + "hide_name": 0, + "bits": [ 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19320.19-19320.32" + } + }, + "CH1_AXISTLAST": { + "hide_name": 0, + "bits": [ 407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19321.12-19321.25" + } + }, + "CH1_AXISTRDY": { + "hide_name": 0, + "bits": [ 1387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19477.11-19477.23" + } + }, + "CH1_AXISTVALID": { + "hide_name": 0, + "bits": [ 408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19322.12-19322.26" + } + }, + "CH1_CFGRESET": { + "hide_name": 0, + "bits": [ 1388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19478.11-19478.23" + } + }, + "CH1_DMONFIFORESET": { + "hide_name": 0, + "bits": [ 1389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19479.11-19479.28" + } + }, + "CH1_DMONITORCLK": { + "hide_name": 0, + "bits": [ 1390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19480.11-19480.26" + } + }, + "CH1_DMONITOROUT": { + "hide_name": 0, + "bits": [ 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19323.19-19323.34" + } + }, + "CH1_DMONITOROUTCLK": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19324.12-19324.30" + } + }, + "CH1_GTMRXN": { + "hide_name": 0, + "bits": [ 1391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19481.11-19481.21" + } + }, + "CH1_GTMRXP": { + "hide_name": 0, + "bits": [ 1392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19482.11-19482.21" + } + }, + "CH1_GTMTXN": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19325.12-19325.22" + } + }, + "CH1_GTMTXP": { + "hide_name": 0, + "bits": [ 443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19326.12-19326.22" + } + }, + "CH1_GTRXRESET": { + "hide_name": 0, + "bits": [ 1393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19483.11-19483.24" + } + }, + "CH1_GTTXRESET": { + "hide_name": 0, + "bits": [ 1394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19484.11-19484.24" + } + }, + "CH1_LOOPBACK": { + "hide_name": 0, + "bits": [ 1395, 1396, 1397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19485.17-19485.29" + } + }, + "CH1_PCSRSVDIN": { + "hide_name": 0, + "bits": [ 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19486.18-19486.31" + } + }, + "CH1_PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19327.19-19327.33" + } + }, + "CH1_PMARSVDIN": { + "hide_name": 0, + "bits": [ 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19487.18-19487.31" + } + }, + "CH1_PMARSVDOUT": { + "hide_name": 0, + "bits": [ 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19328.19-19328.33" + } + }, + "CH1_RESETEXCEPTION": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19329.12-19329.30" + } + }, + "CH1_RESETOVRD": { + "hide_name": 0, + "bits": [ 1430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19488.11-19488.24" + } + }, + "CH1_RXADAPTRESET": { + "hide_name": 0, + "bits": [ 1431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19489.11-19489.27" + } + }, + "CH1_RXADCCALRESET": { + "hide_name": 0, + "bits": [ 1432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19490.11-19490.28" + } + }, + "CH1_RXADCCLKGENRESET": { + "hide_name": 0, + "bits": [ 1433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19491.11-19491.31" + } + }, + "CH1_RXBUFRESET": { + "hide_name": 0, + "bits": [ 1434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19492.11-19492.25" + } + }, + "CH1_RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 477, 478, 479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19330.18-19330.33" + } + }, + "CH1_RXCDRFREQOS": { + "hide_name": 0, + "bits": [ 1435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19493.11-19493.26" + } + }, + "CH1_RXCDRFRRESET": { + "hide_name": 0, + "bits": [ 1436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19494.11-19494.27" + } + }, + "CH1_RXCDRHOLD": { + "hide_name": 0, + "bits": [ 1437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19495.11-19495.24" + } + }, + "CH1_RXCDRINCPCTRL": { + "hide_name": 0, + "bits": [ 1438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19496.11-19496.28" + } + }, + "CH1_RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 1439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19497.11-19497.26" + } + }, + "CH1_RXCDRPHRESET": { + "hide_name": 0, + "bits": [ 1440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19498.11-19498.27" + } + }, + "CH1_RXDATA": { + "hide_name": 0, + "bits": [ 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19331.20-19331.30" + } + }, + "CH1_RXDATAFLAGS": { + "hide_name": 0, + "bits": [ 736, 737, 738, 739 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19332.18-19332.33" + } + }, + "CH1_RXDATAISAM": { + "hide_name": 0, + "bits": [ 740 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19333.12-19333.26" + } + }, + "CH1_RXDATASTART": { + "hide_name": 0, + "bits": [ 741 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19334.12-19334.27" + } + }, + "CH1_RXDFERESET": { + "hide_name": 0, + "bits": [ 1441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19499.11-19499.25" + } + }, + "CH1_RXDSPRESET": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19500.11-19500.25" + } + }, + "CH1_RXEQTRAINING": { + "hide_name": 0, + "bits": [ 1443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19501.11-19501.27" + } + }, + "CH1_RXEYESCANRESET": { + "hide_name": 0, + "bits": [ 1444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19502.11-19502.29" + } + }, + "CH1_RXFECRESET": { + "hide_name": 0, + "bits": [ 1445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19503.11-19503.25" + } + }, + "CH1_RXOUTCLK": { + "hide_name": 0, + "bits": [ 742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19335.12-19335.24" + } + }, + "CH1_RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 1446, 1447, 1448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19504.17-19504.32" + } + }, + "CH1_RXPCSRESET": { + "hide_name": 0, + "bits": [ 1449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19505.11-19505.25" + } + }, + "CH1_RXPCSRESETMASK": { + "hide_name": 0, + "bits": [ 1450, 1451, 1452, 1453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19506.17-19506.35" + } + }, + "CH1_RXPMARESET": { + "hide_name": 0, + "bits": [ 1454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19507.11-19507.25" + } + }, + "CH1_RXPMARESETDONE": { + "hide_name": 0, + "bits": [ 743 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19336.12-19336.30" + } + }, + "CH1_RXPMARESETMASK": { + "hide_name": 0, + "bits": [ 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19508.17-19508.35" + } + }, + "CH1_RXPOLARITY": { + "hide_name": 0, + "bits": [ 1463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19509.11-19509.25" + } + }, + "CH1_RXPRBSCNTSTOP": { + "hide_name": 0, + "bits": [ 1464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19510.11-19510.28" + } + }, + "CH1_RXPRBSCSCNTRST": { + "hide_name": 0, + "bits": [ 1465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19511.11-19511.29" + } + }, + "CH1_RXPRBSERR": { + "hide_name": 0, + "bits": [ 744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19337.12-19337.25" + } + }, + "CH1_RXPRBSLOCKED": { + "hide_name": 0, + "bits": [ 745 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19338.12-19338.28" + } + }, + "CH1_RXPRBSPTN": { + "hide_name": 0, + "bits": [ 1466, 1467, 1468, 1469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19512.17-19512.30" + } + }, + "CH1_RXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19339.12-19339.33" + } + }, + "CH1_RXPROGDIVCLK": { + "hide_name": 0, + "bits": [ 747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19340.12-19340.28" + } + }, + "CH1_RXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 1470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19513.11-19513.29" + } + }, + "CH1_RXQPRBSEN": { + "hide_name": 0, + "bits": [ 1471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19514.11-19514.24" + } + }, + "CH1_RXRESETDONE": { + "hide_name": 0, + "bits": [ 748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19341.12-19341.27" + } + }, + "CH1_RXRESETMODE": { + "hide_name": 0, + "bits": [ 1472, 1473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19515.17-19515.32" + } + }, + "CH1_RXSPCSEQADV": { + "hide_name": 0, + "bits": [ 1474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19516.11-19516.26" + } + }, + "CH1_RXUSRCLK": { + "hide_name": 0, + "bits": [ 1475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19517.11-19517.23" + } + }, + "CH1_RXUSRCLK2": { + "hide_name": 0, + "bits": [ 1476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19518.11-19518.24" + } + }, + "CH1_RXUSRRDY": { + "hide_name": 0, + "bits": [ 1477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19519.11-19519.23" + } + }, + "CH1_RXUSRSTART": { + "hide_name": 0, + "bits": [ 1478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19520.11-19520.25" + } + }, + "CH1_RXUSRSTOP": { + "hide_name": 0, + "bits": [ 1479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19521.11-19521.24" + } + }, + "CH1_TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 749, 750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19342.18-19342.33" + } + }, + "CH1_TXCKALRESET": { + "hide_name": 0, + "bits": [ 1480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19522.11-19522.26" + } + }, + "CH1_TXCTLFIRDAT": { + "hide_name": 0, + "bits": [ 1481, 1482, 1483, 1484, 1485, 1486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19523.17-19523.32" + } + }, + "CH1_TXDATA": { + "hide_name": 0, + "bits": [ 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19524.19-19524.29" + } + }, + "CH1_TXDATASTART": { + "hide_name": 0, + "bits": [ 1743 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19525.11-19525.26" + } + }, + "CH1_TXDRVAMP": { + "hide_name": 0, + "bits": [ 1744, 1745, 1746, 1747, 1748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19526.17-19526.29" + } + }, + "CH1_TXEMPMAIN": { + "hide_name": 0, + "bits": [ 1749, 1750, 1751, 1752, 1753, 1754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19527.17-19527.30" + } + }, + "CH1_TXEMPPOST": { + "hide_name": 0, + "bits": [ 1755, 1756, 1757, 1758, 1759 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19528.17-19528.30" + } + }, + "CH1_TXEMPPRE": { + "hide_name": 0, + "bits": [ 1760, 1761, 1762, 1763, 1764 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19529.17-19529.29" + } + }, + "CH1_TXEMPPRE2": { + "hide_name": 0, + "bits": [ 1765, 1766, 1767, 1768 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19530.17-19530.30" + } + }, + "CH1_TXFECRESET": { + "hide_name": 0, + "bits": [ 1769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19531.11-19531.25" + } + }, + "CH1_TXINHIBIT": { + "hide_name": 0, + "bits": [ 1770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19532.11-19532.24" + } + }, + "CH1_TXMUXDCDEXHOLD": { + "hide_name": 0, + "bits": [ 1771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19533.11-19533.29" + } + }, + "CH1_TXMUXDCDORWREN": { + "hide_name": 0, + "bits": [ 1772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19534.11-19534.29" + } + }, + "CH1_TXOUTCLK": { + "hide_name": 0, + "bits": [ 751 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19343.12-19343.24" + } + }, + "CH1_TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 1773, 1774, 1775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19535.17-19535.32" + } + }, + "CH1_TXPCSRESET": { + "hide_name": 0, + "bits": [ 1776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19536.11-19536.25" + } + }, + "CH1_TXPCSRESETMASK": { + "hide_name": 0, + "bits": [ 1777, 1778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19537.17-19537.35" + } + }, + "CH1_TXPMARESET": { + "hide_name": 0, + "bits": [ 1779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19538.11-19538.25" + } + }, + "CH1_TXPMARESETDONE": { + "hide_name": 0, + "bits": [ 752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19344.12-19344.30" + } + }, + "CH1_TXPMARESETMASK": { + "hide_name": 0, + "bits": [ 1780, 1781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19539.17-19539.35" + } + }, + "CH1_TXPOLARITY": { + "hide_name": 0, + "bits": [ 1782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19540.11-19540.25" + } + }, + "CH1_TXPRBSINERR": { + "hide_name": 0, + "bits": [ 1783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19541.11-19541.26" + } + }, + "CH1_TXPRBSPTN": { + "hide_name": 0, + "bits": [ 1784, 1785, 1786, 1787 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19542.17-19542.30" + } + }, + "CH1_TXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19345.12-19345.33" + } + }, + "CH1_TXPROGDIVCLK": { + "hide_name": 0, + "bits": [ 754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19346.12-19346.28" + } + }, + "CH1_TXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 1788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19543.11-19543.29" + } + }, + "CH1_TXQPRBSEN": { + "hide_name": 0, + "bits": [ 1789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19544.11-19544.24" + } + }, + "CH1_TXRESETDONE": { + "hide_name": 0, + "bits": [ 755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19347.12-19347.27" + } + }, + "CH1_TXRESETMODE": { + "hide_name": 0, + "bits": [ 1790, 1791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19545.17-19545.32" + } + }, + "CH1_TXSPCSEQADV": { + "hide_name": 0, + "bits": [ 1792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19546.11-19546.26" + } + }, + "CH1_TXUSRCLK": { + "hide_name": 0, + "bits": [ 1793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19547.11-19547.23" + } + }, + "CH1_TXUSRCLK2": { + "hide_name": 0, + "bits": [ 1794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19548.11-19548.24" + } + }, + "CH1_TXUSRRDY": { + "hide_name": 0, + "bits": [ 1795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19549.11-19549.23" + } + }, + "CLKTESTSIG2PAD": { + "hide_name": 0, + "bits": [ 756 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19348.12-19348.26" + } + }, + "DMONITOROUTPLLCLK": { + "hide_name": 0, + "bits": [ 757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19349.12-19349.29" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19550.18-19550.25" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 1807 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19551.11-19551.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19552.18-19552.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19350.19-19350.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 1824 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19553.11-19553.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19351.12-19351.18" + } + }, + "DRPRST": { + "hide_name": 0, + "bits": [ 1825 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19554.11-19554.17" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 1826 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19555.11-19555.16" + } + }, + "FECCTRLRX0BITSLIPFS": { + "hide_name": 0, + "bits": [ 1827 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19556.11-19556.30" + } + }, + "FECCTRLRX1BITSLIPFS": { + "hide_name": 0, + "bits": [ 1828 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19557.11-19557.30" + } + }, + "FECRX0ALIGNED": { + "hide_name": 0, + "bits": [ 775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19352.12-19352.25" + } + }, + "FECRX0CORRCWINC": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19353.12-19353.27" + } + }, + "FECRX0CWINC": { + "hide_name": 0, + "bits": [ 777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19354.12-19354.23" + } + }, + "FECRX0UNCORRCWINC": { + "hide_name": 0, + "bits": [ 778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19355.12-19355.29" + } + }, + "FECRX1ALIGNED": { + "hide_name": 0, + "bits": [ 779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19356.12-19356.25" + } + }, + "FECRX1CORRCWINC": { + "hide_name": 0, + "bits": [ 780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19357.12-19357.27" + } + }, + "FECRX1CWINC": { + "hide_name": 0, + "bits": [ 781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19358.12-19358.23" + } + }, + "FECRX1UNCORRCWINC": { + "hide_name": 0, + "bits": [ 782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19359.12-19359.29" + } + }, + "FECRXLN0BITERR0TO1INC": { + "hide_name": 0, + "bits": [ 783, 784, 785, 786, 787, 788, 789, 790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19360.18-19360.39" + } + }, + "FECRXLN0BITERR1TO0INC": { + "hide_name": 0, + "bits": [ 791, 792, 793, 794, 795, 796, 797, 798 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19361.18-19361.39" + } + }, + "FECRXLN0DLY": { + "hide_name": 0, + "bits": [ 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19362.19-19362.30" + } + }, + "FECRXLN0ERRCNTINC": { + "hide_name": 0, + "bits": [ 814, 815, 816, 817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19363.18-19363.35" + } + }, + "FECRXLN0MAPPING": { + "hide_name": 0, + "bits": [ 818, 819 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19364.18-19364.33" + } + }, + "FECRXLN1BITERR0TO1INC": { + "hide_name": 0, + "bits": [ 820, 821, 822, 823, 824, 825, 826, 827 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19365.18-19365.39" + } + }, + "FECRXLN1BITERR1TO0INC": { + "hide_name": 0, + "bits": [ 828, 829, 830, 831, 832, 833, 834, 835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19366.18-19366.39" + } + }, + "FECRXLN1DLY": { + "hide_name": 0, + "bits": [ 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19367.19-19367.30" + } + }, + "FECRXLN1ERRCNTINC": { + "hide_name": 0, + "bits": [ 851, 852, 853, 854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19368.18-19368.35" + } + }, + "FECRXLN1MAPPING": { + "hide_name": 0, + "bits": [ 855, 856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19369.18-19369.33" + } + }, + "FECRXLN2BITERR0TO1INC": { + "hide_name": 0, + "bits": [ 857, 858, 859, 860, 861, 862, 863, 864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19370.18-19370.39" + } + }, + "FECRXLN2BITERR1TO0INC": { + "hide_name": 0, + "bits": [ 865, 866, 867, 868, 869, 870, 871, 872 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19371.18-19371.39" + } + }, + "FECRXLN2DLY": { + "hide_name": 0, + "bits": [ 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19372.19-19372.30" + } + }, + "FECRXLN2ERRCNTINC": { + "hide_name": 0, + "bits": [ 888, 889, 890, 891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19373.18-19373.35" + } + }, + "FECRXLN2MAPPING": { + "hide_name": 0, + "bits": [ 892, 893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19374.18-19374.33" + } + }, + "FECRXLN3BITERR0TO1INC": { + "hide_name": 0, + "bits": [ 894, 895, 896, 897, 898, 899, 900, 901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19375.18-19375.39" + } + }, + "FECRXLN3BITERR1TO0INC": { + "hide_name": 0, + "bits": [ 902, 903, 904, 905, 906, 907, 908, 909 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19376.18-19376.39" + } + }, + "FECRXLN3DLY": { + "hide_name": 0, + "bits": [ 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19377.19-19377.30" + } + }, + "FECRXLN3ERRCNTINC": { + "hide_name": 0, + "bits": [ 925, 926, 927, 928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19378.18-19378.35" + } + }, + "FECRXLN3MAPPING": { + "hide_name": 0, + "bits": [ 929, 930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19379.18-19379.33" + } + }, + "FECTRXLN0LOCK": { + "hide_name": 0, + "bits": [ 931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19380.12-19380.25" + } + }, + "FECTRXLN1LOCK": { + "hide_name": 0, + "bits": [ 932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19381.12-19381.25" + } + }, + "FECTRXLN2LOCK": { + "hide_name": 0, + "bits": [ 933 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19382.12-19382.25" + } + }, + "FECTRXLN3LOCK": { + "hide_name": 0, + "bits": [ 934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19383.12-19383.25" + } + }, + "GTGREFCLK2PLL": { + "hide_name": 0, + "bits": [ 1829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19558.11-19558.24" + } + }, + "GTNORTHREFCLK": { + "hide_name": 0, + "bits": [ 1830 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19559.11-19559.24" + } + }, + "GTPOWERGOOD": { + "hide_name": 0, + "bits": [ 935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19384.12-19384.23" + } + }, + "GTREFCLK": { + "hide_name": 0, + "bits": [ 1831 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19560.11-19560.19" + } + }, + "GTSOUTHREFCLK": { + "hide_name": 0, + "bits": [ 1832 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19561.11-19561.24" + } + }, + "PLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19385.12-19385.24" + } + }, + "PLLFBDIV": { + "hide_name": 0, + "bits": [ 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19562.17-19562.25" + } + }, + "PLLLOCK": { + "hide_name": 0, + "bits": [ 937 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19386.12-19386.19" + } + }, + "PLLMONCLK": { + "hide_name": 0, + "bits": [ 1841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19563.11-19563.20" + } + }, + "PLLPD": { + "hide_name": 0, + "bits": [ 1842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19564.11-19564.16" + } + }, + "PLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19387.12-19387.25" + } + }, + "PLLREFCLKMONITOR": { + "hide_name": 0, + "bits": [ 939 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19388.12-19388.28" + } + }, + "PLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 1843, 1844, 1845 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19565.17-19565.29" + } + }, + "PLLRESET": { + "hide_name": 0, + "bits": [ 1846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19566.11-19566.19" + } + }, + "PLLRESETBYPASSMODE": { + "hide_name": 0, + "bits": [ 1847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19567.11-19567.29" + } + }, + "PLLRESETDONE": { + "hide_name": 0, + "bits": [ 940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19389.12-19389.24" + } + }, + "PLLRESETMASK": { + "hide_name": 0, + "bits": [ 1848, 1849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19568.17-19568.29" + } + }, + "PLLRSVDIN": { + "hide_name": 0, + "bits": [ 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19569.18-19569.27" + } + }, + "PLLRSVDOUT": { + "hide_name": 0, + "bits": [ 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19390.19-19390.29" + } + }, + "RCALCMP": { + "hide_name": 0, + "bits": [ 957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19391.12-19391.19" + } + }, + "RCALENB": { + "hide_name": 0, + "bits": [ 1866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19570.11-19570.18" + } + }, + "RCALOUT": { + "hide_name": 0, + "bits": [ 958, 959, 960, 961, 962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19392.18-19392.25" + } + }, + "RXRECCLK0": { + "hide_name": 0, + "bits": [ 963 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19393.12-19393.21" + } + }, + "RXRECCLK1": { + "hide_name": 0, + "bits": [ 964 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19394.12-19394.21" + } + }, + "SDMDATA": { + "hide_name": 0, + "bits": [ 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19571.18-19571.25" + } + }, + "SDMTOGGLE": { + "hide_name": 0, + "bits": [ 1893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19572.11-19572.20" + } + } + } + }, + "GTPA1_DUAL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10336.1-10786.10" + }, + "parameter_default_values": { + "AC_CAP_DIS_0": "TRUE", + "AC_CAP_DIS_1": "TRUE", + "ALIGN_COMMA_WORD_0": "00000000000000000000000000000001", + "ALIGN_COMMA_WORD_1": "00000000000000000000000000000001", + "CB2_INH_CC_PERIOD_0": "00000000000000000000000000001000", + "CB2_INH_CC_PERIOD_1": "00000000000000000000000000001000", + "CDR_PH_ADJ_TIME_0": "01010", + "CDR_PH_ADJ_TIME_1": "01010", + "CHAN_BOND_1_MAX_SKEW_0": "00000000000000000000000000000111", + "CHAN_BOND_1_MAX_SKEW_1": "00000000000000000000000000000111", + "CHAN_BOND_2_MAX_SKEW_0": "00000000000000000000000000000001", + "CHAN_BOND_2_MAX_SKEW_1": "00000000000000000000000000000001", + "CHAN_BOND_KEEP_ALIGN_0": "FALSE", + "CHAN_BOND_KEEP_ALIGN_1": "FALSE", + "CHAN_BOND_SEQ_1_1_0": "0101111100", + "CHAN_BOND_SEQ_1_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2_0": "0001001010", + "CHAN_BOND_SEQ_1_2_1": "0001001010", + "CHAN_BOND_SEQ_1_3_0": "0001001010", + "CHAN_BOND_SEQ_1_3_1": "0001001010", + "CHAN_BOND_SEQ_1_4_0": "0110111100", + "CHAN_BOND_SEQ_1_4_1": "0110111100", + "CHAN_BOND_SEQ_1_ENABLE_0": "1111", + "CHAN_BOND_SEQ_1_ENABLE_1": "1111", + "CHAN_BOND_SEQ_2_1_0": "0110111100", + "CHAN_BOND_SEQ_2_1_1": "0110111100", + "CHAN_BOND_SEQ_2_2_0": "0100111100", + "CHAN_BOND_SEQ_2_2_1": "0100111100", + "CHAN_BOND_SEQ_2_3_0": "0100111100", + "CHAN_BOND_SEQ_2_3_1": "0100111100", + "CHAN_BOND_SEQ_2_4_0": "0100111100", + "CHAN_BOND_SEQ_2_4_1": "0100111100", + "CHAN_BOND_SEQ_2_ENABLE_0": "1111", + "CHAN_BOND_SEQ_2_ENABLE_1": "1111", + "CHAN_BOND_SEQ_2_USE_0": "FALSE", + "CHAN_BOND_SEQ_2_USE_1": "FALSE", + "CHAN_BOND_SEQ_LEN_0": "00000000000000000000000000000001", + "CHAN_BOND_SEQ_LEN_1": "00000000000000000000000000000001", + "CLK25_DIVIDER_0": "00000000000000000000000000000100", + "CLK25_DIVIDER_1": "00000000000000000000000000000100", + "CLKINDC_B_0": "TRUE", + "CLKINDC_B_1": "TRUE", + "CLKRCV_TRST_0": "TRUE", + "CLKRCV_TRST_1": "TRUE", + "CLK_CORRECT_USE_0": "TRUE", + "CLK_CORRECT_USE_1": "TRUE", + "CLK_COR_ADJ_LEN_0": "00000000000000000000000000000001", + "CLK_COR_ADJ_LEN_1": "00000000000000000000000000000001", + "CLK_COR_DET_LEN_0": "00000000000000000000000000000001", + "CLK_COR_DET_LEN_1": "00000000000000000000000000000001", + "CLK_COR_INSERT_IDLE_FLAG_0": "FALSE", + "CLK_COR_INSERT_IDLE_FLAG_1": "FALSE", + "CLK_COR_KEEP_IDLE_0": "FALSE", + "CLK_COR_KEEP_IDLE_1": "FALSE", + "CLK_COR_MAX_LAT_0": "00000000000000000000000000010100", + "CLK_COR_MAX_LAT_1": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT_0": "00000000000000000000000000010010", + "CLK_COR_MIN_LAT_1": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE_0": "TRUE", + "CLK_COR_PRECEDENCE_1": "TRUE", + "CLK_COR_REPEAT_WAIT_0": "00000000000000000000000000000000", + "CLK_COR_REPEAT_WAIT_1": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1_0": "0100011100", + "CLK_COR_SEQ_1_1_1": "0100011100", + "CLK_COR_SEQ_1_2_0": "0000000000", + "CLK_COR_SEQ_1_2_1": "0000000000", + "CLK_COR_SEQ_1_3_0": "0000000000", + "CLK_COR_SEQ_1_3_1": "0000000000", + "CLK_COR_SEQ_1_4_0": "0000000000", + "CLK_COR_SEQ_1_4_1": "0000000000", + "CLK_COR_SEQ_1_ENABLE_0": "1111", + "CLK_COR_SEQ_1_ENABLE_1": "1111", + "CLK_COR_SEQ_2_1_0": "0000000000", + "CLK_COR_SEQ_2_1_1": "0000000000", + "CLK_COR_SEQ_2_2_0": "0000000000", + "CLK_COR_SEQ_2_2_1": "0000000000", + "CLK_COR_SEQ_2_3_0": "0000000000", + "CLK_COR_SEQ_2_3_1": "0000000000", + "CLK_COR_SEQ_2_4_0": "0000000000", + "CLK_COR_SEQ_2_4_1": "0000000000", + "CLK_COR_SEQ_2_ENABLE_0": "1111", + "CLK_COR_SEQ_2_ENABLE_1": "1111", + "CLK_COR_SEQ_2_USE_0": "FALSE", + "CLK_COR_SEQ_2_USE_1": "FALSE", + "CLK_OUT_GTP_SEL_0": "REFCLKPLL0", + "CLK_OUT_GTP_SEL_1": "REFCLKPLL1", + "CM_TRIM_0": "00", + "CM_TRIM_1": "00", + "COMMA_10B_ENABLE_0": "1111111111", + "COMMA_10B_ENABLE_1": "1111111111", + "COM_BURST_VAL_0": "1111", + "COM_BURST_VAL_1": "1111", + "DEC_MCOMMA_DETECT_0": "TRUE", + "DEC_MCOMMA_DETECT_1": "TRUE", + "DEC_PCOMMA_DETECT_0": "TRUE", + "DEC_PCOMMA_DETECT_1": "TRUE", + "DEC_VALID_COMMA_ONLY_0": "TRUE", + "DEC_VALID_COMMA_ONLY_1": "TRUE", + "GTP_CFG_PWRUP_0": "TRUE", + "GTP_CFG_PWRUP_1": "TRUE", + "MCOMMA_10B_VALUE_0": "1010000011", + "MCOMMA_10B_VALUE_1": "1010000011", + "MCOMMA_DETECT_0": "TRUE", + "MCOMMA_DETECT_1": "TRUE", + "OOBDETECT_THRESHOLD_0": "110", + "OOBDETECT_THRESHOLD_1": "110", + "OOB_CLK_DIVIDER_0": "00000000000000000000000000000100", + "OOB_CLK_DIVIDER_1": "00000000000000000000000000000100", + "PCI_EXPRESS_MODE_0": "FALSE", + "PCI_EXPRESS_MODE_1": "FALSE", + "PCOMMA_10B_VALUE_0": "0101111100", + "PCOMMA_10B_VALUE_1": "0101111100", + "PCOMMA_DETECT_0": "TRUE", + "PCOMMA_DETECT_1": "TRUE", + "PLLLKDET_CFG_0": "101", + "PLLLKDET_CFG_1": "101", + "PLL_COM_CFG_0": "001000010110100000001010", + "PLL_COM_CFG_1": "001000010110100000001010", + "PLL_CP_CFG_0": "00000000", + "PLL_CP_CFG_1": "00000000", + "PLL_DIVSEL_FB_0": "00000000000000000000000000000101", + "PLL_DIVSEL_FB_1": "00000000000000000000000000000101", + "PLL_DIVSEL_REF_0": "00000000000000000000000000000010", + "PLL_DIVSEL_REF_1": "00000000000000000000000000000010", + "PLL_RXDIVSEL_OUT_0": "00000000000000000000000000000001", + "PLL_RXDIVSEL_OUT_1": "00000000000000000000000000000001", + "PLL_SATA_0": "FALSE", + "PLL_SATA_1": "FALSE", + "PLL_SOURCE_0": "PLL0", + "PLL_SOURCE_1": "PLL0", + "PLL_TXDIVSEL_OUT_0": "00000000000000000000000000000001", + "PLL_TXDIVSEL_OUT_1": "00000000000000000000000000000001", + "PMA_CDR_SCAN_0": "110010000000100000001000000", + "PMA_CDR_SCAN_1": "110010000000100000001000000", + "PMA_COM_CFG_EAST": "000000000000000000001000000000000000", + "PMA_COM_CFG_WEST": "000000000000000000001010000000000000", + "PMA_RXSYNC_CFG_0": "0000000", + "PMA_RXSYNC_CFG_1": "0000000", + "PMA_RX_CFG_0": "0010111001110000001001000", + "PMA_RX_CFG_1": "0010111001110000001001000", + "PMA_TX_CFG_0": "00000000000010000010", + "PMA_TX_CFG_1": "00000000000010000010", + "RCV_TERM_GND_0": "FALSE", + "RCV_TERM_GND_1": "FALSE", + "RCV_TERM_VTTRX_0": "TRUE", + "RCV_TERM_VTTRX_1": "TRUE", + "RXEQ_CFG_0": "01111011", + "RXEQ_CFG_1": "01111011", + "RXPRBSERR_LOOPBACK_0": "0", + "RXPRBSERR_LOOPBACK_1": "0", + "RX_BUFFER_USE_0": "TRUE", + "RX_BUFFER_USE_1": "TRUE", + "RX_DECODE_SEQ_MATCH_0": "TRUE", + "RX_DECODE_SEQ_MATCH_1": "TRUE", + "RX_EN_IDLE_HOLD_CDR_0": "FALSE", + "RX_EN_IDLE_HOLD_CDR_1": "FALSE", + "RX_EN_IDLE_RESET_BUF_0": "TRUE", + "RX_EN_IDLE_RESET_BUF_1": "TRUE", + "RX_EN_IDLE_RESET_FR_0": "TRUE", + "RX_EN_IDLE_RESET_FR_1": "TRUE", + "RX_EN_IDLE_RESET_PH_0": "TRUE", + "RX_EN_IDLE_RESET_PH_1": "TRUE", + "RX_EN_MODE_RESET_BUF_0": "TRUE", + "RX_EN_MODE_RESET_BUF_1": "TRUE", + "RX_IDLE_HI_CNT_0": "1000", + "RX_IDLE_HI_CNT_1": "1000", + "RX_IDLE_LO_CNT_0": "0000", + "RX_IDLE_LO_CNT_1": "0000", + "RX_LOSS_OF_SYNC_FSM_0": "FALSE", + "RX_LOSS_OF_SYNC_FSM_1": "FALSE", + "RX_LOS_INVALID_INCR_0": "00000000000000000000000000000001", + "RX_LOS_INVALID_INCR_1": "00000000000000000000000000000001", + "RX_LOS_THRESHOLD_0": "00000000000000000000000000000100", + "RX_LOS_THRESHOLD_1": "00000000000000000000000000000100", + "RX_SLIDE_MODE_0": "PCS", + "RX_SLIDE_MODE_1": "PCS", + "RX_STATUS_FMT_0": "PCIE", + "RX_STATUS_FMT_1": "PCIE", + "RX_XCLK_SEL_0": "RXREC", + "RX_XCLK_SEL_1": "RXREC", + "SATA_BURST_VAL_0": "100", + "SATA_BURST_VAL_1": "100", + "SATA_IDLE_VAL_0": "011", + "SATA_IDLE_VAL_1": "011", + "SATA_MAX_BURST_0": "00000000000000000000000000000111", + "SATA_MAX_BURST_1": "00000000000000000000000000000111", + "SATA_MAX_INIT_0": "00000000000000000000000000010110", + "SATA_MAX_INIT_1": "00000000000000000000000000010110", + "SATA_MAX_WAKE_0": "00000000000000000000000000000111", + "SATA_MAX_WAKE_1": "00000000000000000000000000000111", + "SATA_MIN_BURST_0": "00000000000000000000000000000100", + "SATA_MIN_BURST_1": "00000000000000000000000000000100", + "SATA_MIN_INIT_0": "00000000000000000000000000001100", + "SATA_MIN_INIT_1": "00000000000000000000000000001100", + "SATA_MIN_WAKE_0": "00000000000000000000000000000100", + "SATA_MIN_WAKE_1": "00000000000000000000000000000100", + "SIM_GTPRESET_SPEEDUP": "00000000000000000000000000000000", + "SIM_RECEIVER_DETECT_PASS": "FALSE", + "SIM_REFCLK0_SOURCE": "000", + "SIM_REFCLK1_SOURCE": "000", + "SIM_TX_ELEC_IDLE_LEVEL": "X", + "SIM_VERSION": "2.0", + "TERMINATION_CTRL_0": "10100", + "TERMINATION_CTRL_1": "10100", + "TERMINATION_OVRD_0": "FALSE", + "TERMINATION_OVRD_1": "FALSE", + "TRANS_TIME_FROM_P2_0": "000000111100", + "TRANS_TIME_FROM_P2_1": "000000111100", + "TRANS_TIME_NON_P2_0": "00011001", + "TRANS_TIME_NON_P2_1": "00011001", + "TRANS_TIME_TO_P2_0": "0001100100", + "TRANS_TIME_TO_P2_1": "0001100100", + "TST_ATTR_0": "00000000000000000000000000000000", + "TST_ATTR_1": "00000000000000000000000000000000", + "TXRX_INVERT_0": "011", + "TXRX_INVERT_1": "011", + "TX_BUFFER_USE_0": "FALSE", + "TX_BUFFER_USE_1": "FALSE", + "TX_DETECT_RX_CFG_0": "01100000110010", + "TX_DETECT_RX_CFG_1": "01100000110010", + "TX_IDLE_DELAY_0": "011", + "TX_IDLE_DELAY_1": "011", + "TX_TDCC_CFG_0": "00", + "TX_TDCC_CFG_1": "00", + "TX_XCLK_SEL_0": "TXUSR", + "TX_XCLK_SEL_1": "TXUSR" + }, + "ports": { + "DRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "PHYSTATUS0": { + "direction": "output", + "bits": [ 3 ] + }, + "PHYSTATUS1": { + "direction": "output", + "bits": [ 4 ] + }, + "PLLLKDET0": { + "direction": "output", + "bits": [ 5 ] + }, + "PLLLKDET1": { + "direction": "output", + "bits": [ 6 ] + }, + "REFCLKOUT0": { + "direction": "output", + "bits": [ 7 ] + }, + "REFCLKOUT1": { + "direction": "output", + "bits": [ 8 ] + }, + "REFCLKPLL0": { + "direction": "output", + "bits": [ 9 ] + }, + "REFCLKPLL1": { + "direction": "output", + "bits": [ 10 ] + }, + "RESETDONE0": { + "direction": "output", + "bits": [ 11 ] + }, + "RESETDONE1": { + "direction": "output", + "bits": [ 12 ] + }, + "RXBYTEISALIGNED0": { + "direction": "output", + "bits": [ 13 ] + }, + "RXBYTEISALIGNED1": { + "direction": "output", + "bits": [ 14 ] + }, + "RXBYTEREALIGN0": { + "direction": "output", + "bits": [ 15 ] + }, + "RXBYTEREALIGN1": { + "direction": "output", + "bits": [ 16 ] + }, + "RXCHANBONDSEQ0": { + "direction": "output", + "bits": [ 17 ] + }, + "RXCHANBONDSEQ1": { + "direction": "output", + "bits": [ 18 ] + }, + "RXCHANISALIGNED0": { + "direction": "output", + "bits": [ 19 ] + }, + "RXCHANISALIGNED1": { + "direction": "output", + "bits": [ 20 ] + }, + "RXCHANREALIGN0": { + "direction": "output", + "bits": [ 21 ] + }, + "RXCHANREALIGN1": { + "direction": "output", + "bits": [ 22 ] + }, + "RXCOMMADET0": { + "direction": "output", + "bits": [ 23 ] + }, + "RXCOMMADET1": { + "direction": "output", + "bits": [ 24 ] + }, + "RXELECIDLE0": { + "direction": "output", + "bits": [ 25 ] + }, + "RXELECIDLE1": { + "direction": "output", + "bits": [ 26 ] + }, + "RXPRBSERR0": { + "direction": "output", + "bits": [ 27 ] + }, + "RXPRBSERR1": { + "direction": "output", + "bits": [ 28 ] + }, + "RXRECCLK0": { + "direction": "output", + "bits": [ 29 ] + }, + "RXRECCLK1": { + "direction": "output", + "bits": [ 30 ] + }, + "RXVALID0": { + "direction": "output", + "bits": [ 31 ] + }, + "RXVALID1": { + "direction": "output", + "bits": [ 32 ] + }, + "TXN0": { + "direction": "output", + "bits": [ 33 ] + }, + "TXN1": { + "direction": "output", + "bits": [ 34 ] + }, + "TXOUTCLK0": { + "direction": "output", + "bits": [ 35 ] + }, + "TXOUTCLK1": { + "direction": "output", + "bits": [ 36 ] + }, + "TXP0": { + "direction": "output", + "bits": [ 37 ] + }, + "TXP1": { + "direction": "output", + "bits": [ 38 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "GTPCLKFBEAST": { + "direction": "output", + "bits": [ 55, 56 ] + }, + "GTPCLKFBWEST": { + "direction": "output", + "bits": [ 57, 58 ] + }, + "GTPCLKOUT0": { + "direction": "output", + "bits": [ 59, 60 ] + }, + "GTPCLKOUT1": { + "direction": "output", + "bits": [ 61, 62 ] + }, + "RXLOSSOFSYNC0": { + "direction": "output", + "bits": [ 63, 64 ] + }, + "RXLOSSOFSYNC1": { + "direction": "output", + "bits": [ 65, 66 ] + }, + "TXBUFSTATUS0": { + "direction": "output", + "bits": [ 67, 68 ] + }, + "TXBUFSTATUS1": { + "direction": "output", + "bits": [ 69, 70 ] + }, + "RXBUFSTATUS0": { + "direction": "output", + "bits": [ 71, 72, 73 ] + }, + "RXBUFSTATUS1": { + "direction": "output", + "bits": [ 74, 75, 76 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 77, 78, 79 ] + }, + "RXCLKCORCNT0": { + "direction": "output", + "bits": [ 80, 81, 82 ] + }, + "RXCLKCORCNT1": { + "direction": "output", + "bits": [ 83, 84, 85 ] + }, + "RXSTATUS0": { + "direction": "output", + "bits": [ 86, 87, 88 ] + }, + "RXSTATUS1": { + "direction": "output", + "bits": [ 89, 90, 91 ] + }, + "RXDATA0": { + "direction": "output", + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123 ] + }, + "RXDATA1": { + "direction": "output", + "bits": [ 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155 ] + }, + "RXCHARISCOMMA0": { + "direction": "output", + "bits": [ 156, 157, 158, 159 ] + }, + "RXCHARISCOMMA1": { + "direction": "output", + "bits": [ 160, 161, 162, 163 ] + }, + "RXCHARISK0": { + "direction": "output", + "bits": [ 164, 165, 166, 167 ] + }, + "RXCHARISK1": { + "direction": "output", + "bits": [ 168, 169, 170, 171 ] + }, + "RXDISPERR0": { + "direction": "output", + "bits": [ 172, 173, 174, 175 ] + }, + "RXDISPERR1": { + "direction": "output", + "bits": [ 176, 177, 178, 179 ] + }, + "RXNOTINTABLE0": { + "direction": "output", + "bits": [ 180, 181, 182, 183 ] + }, + "RXNOTINTABLE1": { + "direction": "output", + "bits": [ 184, 185, 186, 187 ] + }, + "RXRUNDISP0": { + "direction": "output", + "bits": [ 188, 189, 190, 191 ] + }, + "RXRUNDISP1": { + "direction": "output", + "bits": [ 192, 193, 194, 195 ] + }, + "TXKERR0": { + "direction": "output", + "bits": [ 196, 197, 198, 199 ] + }, + "TXKERR1": { + "direction": "output", + "bits": [ 200, 201, 202, 203 ] + }, + "TXRUNDISP0": { + "direction": "output", + "bits": [ 204, 205, 206, 207 ] + }, + "TXRUNDISP1": { + "direction": "output", + "bits": [ 208, 209, 210, 211 ] + }, + "RCALOUTEAST": { + "direction": "output", + "bits": [ 212, 213, 214, 215, 216 ] + }, + "RCALOUTWEST": { + "direction": "output", + "bits": [ 217, 218, 219, 220, 221 ] + }, + "TSTOUT0": { + "direction": "output", + "bits": [ 222, 223, 224, 225, 226 ] + }, + "TSTOUT1": { + "direction": "output", + "bits": [ 227, 228, 229, 230, 231 ] + }, + "CLK00": { + "direction": "input", + "bits": [ 232 ] + }, + "CLK01": { + "direction": "input", + "bits": [ 233 ] + }, + "CLK10": { + "direction": "input", + "bits": [ 234 ] + }, + "CLK11": { + "direction": "input", + "bits": [ 235 ] + }, + "CLKINEAST0": { + "direction": "input", + "bits": [ 236 ] + }, + "CLKINEAST1": { + "direction": "input", + "bits": [ 237 ] + }, + "CLKINWEST0": { + "direction": "input", + "bits": [ 238 ] + }, + "CLKINWEST1": { + "direction": "input", + "bits": [ 239 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 240 ] + }, + "DEN": { + "direction": "input", + "bits": [ 241 ] + }, + "DWE": { + "direction": "input", + "bits": [ 242 ] + }, + "GATERXELECIDLE0": { + "direction": "input", + "bits": [ 243 ] + }, + "GATERXELECIDLE1": { + "direction": "input", + "bits": [ 244 ] + }, + "GCLK00": { + "direction": "input", + "bits": [ 245 ] + }, + "GCLK01": { + "direction": "input", + "bits": [ 246 ] + }, + "GCLK10": { + "direction": "input", + "bits": [ 247 ] + }, + "GCLK11": { + "direction": "input", + "bits": [ 248 ] + }, + "GTPRESET0": { + "direction": "input", + "bits": [ 249 ] + }, + "GTPRESET1": { + "direction": "input", + "bits": [ 250 ] + }, + "IGNORESIGDET0": { + "direction": "input", + "bits": [ 251 ] + }, + "IGNORESIGDET1": { + "direction": "input", + "bits": [ 252 ] + }, + "INTDATAWIDTH0": { + "direction": "input", + "bits": [ 253 ] + }, + "INTDATAWIDTH1": { + "direction": "input", + "bits": [ 254 ] + }, + "PLLCLK00": { + "direction": "input", + "bits": [ 255 ] + }, + "PLLCLK01": { + "direction": "input", + "bits": [ 256 ] + }, + "PLLCLK10": { + "direction": "input", + "bits": [ 257 ] + }, + "PLLCLK11": { + "direction": "input", + "bits": [ 258 ] + }, + "PLLLKDETEN0": { + "direction": "input", + "bits": [ 259 ] + }, + "PLLLKDETEN1": { + "direction": "input", + "bits": [ 260 ] + }, + "PLLPOWERDOWN0": { + "direction": "input", + "bits": [ 261 ] + }, + "PLLPOWERDOWN1": { + "direction": "input", + "bits": [ 262 ] + }, + "PRBSCNTRESET0": { + "direction": "input", + "bits": [ 263 ] + }, + "PRBSCNTRESET1": { + "direction": "input", + "bits": [ 264 ] + }, + "REFCLKPWRDNB0": { + "direction": "input", + "bits": [ 265 ] + }, + "REFCLKPWRDNB1": { + "direction": "input", + "bits": [ 266 ] + }, + "RXBUFRESET0": { + "direction": "input", + "bits": [ 267 ] + }, + "RXBUFRESET1": { + "direction": "input", + "bits": [ 268 ] + }, + "RXCDRRESET0": { + "direction": "input", + "bits": [ 269 ] + }, + "RXCDRRESET1": { + "direction": "input", + "bits": [ 270 ] + }, + "RXCHBONDMASTER0": { + "direction": "input", + "bits": [ 271 ] + }, + "RXCHBONDMASTER1": { + "direction": "input", + "bits": [ 272 ] + }, + "RXCHBONDSLAVE0": { + "direction": "input", + "bits": [ 273 ] + }, + "RXCHBONDSLAVE1": { + "direction": "input", + "bits": [ 274 ] + }, + "RXCOMMADETUSE0": { + "direction": "input", + "bits": [ 275 ] + }, + "RXCOMMADETUSE1": { + "direction": "input", + "bits": [ 276 ] + }, + "RXDEC8B10BUSE0": { + "direction": "input", + "bits": [ 277 ] + }, + "RXDEC8B10BUSE1": { + "direction": "input", + "bits": [ 278 ] + }, + "RXENCHANSYNC0": { + "direction": "input", + "bits": [ 279 ] + }, + "RXENCHANSYNC1": { + "direction": "input", + "bits": [ 280 ] + }, + "RXENMCOMMAALIGN0": { + "direction": "input", + "bits": [ 281 ] + }, + "RXENMCOMMAALIGN1": { + "direction": "input", + "bits": [ 282 ] + }, + "RXENPCOMMAALIGN0": { + "direction": "input", + "bits": [ 283 ] + }, + "RXENPCOMMAALIGN1": { + "direction": "input", + "bits": [ 284 ] + }, + "RXENPMAPHASEALIGN0": { + "direction": "input", + "bits": [ 285 ] + }, + "RXENPMAPHASEALIGN1": { + "direction": "input", + "bits": [ 286 ] + }, + "RXN0": { + "direction": "input", + "bits": [ 287 ] + }, + "RXN1": { + "direction": "input", + "bits": [ 288 ] + }, + "RXP0": { + "direction": "input", + "bits": [ 289 ] + }, + "RXP1": { + "direction": "input", + "bits": [ 290 ] + }, + "RXPMASETPHASE0": { + "direction": "input", + "bits": [ 291 ] + }, + "RXPMASETPHASE1": { + "direction": "input", + "bits": [ 292 ] + }, + "RXPOLARITY0": { + "direction": "input", + "bits": [ 293 ] + }, + "RXPOLARITY1": { + "direction": "input", + "bits": [ 294 ] + }, + "RXRESET0": { + "direction": "input", + "bits": [ 295 ] + }, + "RXRESET1": { + "direction": "input", + "bits": [ 296 ] + }, + "RXSLIDE0": { + "direction": "input", + "bits": [ 297 ] + }, + "RXSLIDE1": { + "direction": "input", + "bits": [ 298 ] + }, + "RXUSRCLK0": { + "direction": "input", + "bits": [ 299 ] + }, + "RXUSRCLK1": { + "direction": "input", + "bits": [ 300 ] + }, + "RXUSRCLK20": { + "direction": "input", + "bits": [ 301 ] + }, + "RXUSRCLK21": { + "direction": "input", + "bits": [ 302 ] + }, + "TSTCLK0": { + "direction": "input", + "bits": [ 303 ] + }, + "TSTCLK1": { + "direction": "input", + "bits": [ 304 ] + }, + "TXCOMSTART0": { + "direction": "input", + "bits": [ 305 ] + }, + "TXCOMSTART1": { + "direction": "input", + "bits": [ 306 ] + }, + "TXCOMTYPE0": { + "direction": "input", + "bits": [ 307 ] + }, + "TXCOMTYPE1": { + "direction": "input", + "bits": [ 308 ] + }, + "TXDETECTRX0": { + "direction": "input", + "bits": [ 309 ] + }, + "TXDETECTRX1": { + "direction": "input", + "bits": [ 310 ] + }, + "TXELECIDLE0": { + "direction": "input", + "bits": [ 311 ] + }, + "TXELECIDLE1": { + "direction": "input", + "bits": [ 312 ] + }, + "TXENC8B10BUSE0": { + "direction": "input", + "bits": [ 313 ] + }, + "TXENC8B10BUSE1": { + "direction": "input", + "bits": [ 314 ] + }, + "TXENPMAPHASEALIGN0": { + "direction": "input", + "bits": [ 315 ] + }, + "TXENPMAPHASEALIGN1": { + "direction": "input", + "bits": [ 316 ] + }, + "TXINHIBIT0": { + "direction": "input", + "bits": [ 317 ] + }, + "TXINHIBIT1": { + "direction": "input", + "bits": [ 318 ] + }, + "TXPDOWNASYNCH0": { + "direction": "input", + "bits": [ 319 ] + }, + "TXPDOWNASYNCH1": { + "direction": "input", + "bits": [ 320 ] + }, + "TXPMASETPHASE0": { + "direction": "input", + "bits": [ 321 ] + }, + "TXPMASETPHASE1": { + "direction": "input", + "bits": [ 322 ] + }, + "TXPOLARITY0": { + "direction": "input", + "bits": [ 323 ] + }, + "TXPOLARITY1": { + "direction": "input", + "bits": [ 324 ] + }, + "TXPRBSFORCEERR0": { + "direction": "input", + "bits": [ 325 ] + }, + "TXPRBSFORCEERR1": { + "direction": "input", + "bits": [ 326 ] + }, + "TXRESET0": { + "direction": "input", + "bits": [ 327 ] + }, + "TXRESET1": { + "direction": "input", + "bits": [ 328 ] + }, + "TXUSRCLK0": { + "direction": "input", + "bits": [ 329 ] + }, + "TXUSRCLK1": { + "direction": "input", + "bits": [ 330 ] + }, + "TXUSRCLK20": { + "direction": "input", + "bits": [ 331 ] + }, + "TXUSRCLK21": { + "direction": "input", + "bits": [ 332 ] + }, + "USRCODEERR0": { + "direction": "input", + "bits": [ 333 ] + }, + "USRCODEERR1": { + "direction": "input", + "bits": [ 334 ] + }, + "TSTIN0": { + "direction": "input", + "bits": [ 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346 ] + }, + "TSTIN1": { + "direction": "input", + "bits": [ 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358 ] + }, + "DI": { + "direction": "input", + "bits": [ 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374 ] + }, + "GTPCLKFBSEL0EAST": { + "direction": "input", + "bits": [ 375, 376 ] + }, + "GTPCLKFBSEL0WEST": { + "direction": "input", + "bits": [ 377, 378 ] + }, + "GTPCLKFBSEL1EAST": { + "direction": "input", + "bits": [ 379, 380 ] + }, + "GTPCLKFBSEL1WEST": { + "direction": "input", + "bits": [ 381, 382 ] + }, + "RXDATAWIDTH0": { + "direction": "input", + "bits": [ 383, 384 ] + }, + "RXDATAWIDTH1": { + "direction": "input", + "bits": [ 385, 386 ] + }, + "RXEQMIX0": { + "direction": "input", + "bits": [ 387, 388 ] + }, + "RXEQMIX1": { + "direction": "input", + "bits": [ 389, 390 ] + }, + "RXPOWERDOWN0": { + "direction": "input", + "bits": [ 391, 392 ] + }, + "RXPOWERDOWN1": { + "direction": "input", + "bits": [ 393, 394 ] + }, + "TXDATAWIDTH0": { + "direction": "input", + "bits": [ 395, 396 ] + }, + "TXDATAWIDTH1": { + "direction": "input", + "bits": [ 397, 398 ] + }, + "TXPOWERDOWN0": { + "direction": "input", + "bits": [ 399, 400 ] + }, + "TXPOWERDOWN1": { + "direction": "input", + "bits": [ 401, 402 ] + }, + "LOOPBACK0": { + "direction": "input", + "bits": [ 403, 404, 405 ] + }, + "LOOPBACK1": { + "direction": "input", + "bits": [ 406, 407, 408 ] + }, + "REFSELDYPLL0": { + "direction": "input", + "bits": [ 409, 410, 411 ] + }, + "REFSELDYPLL1": { + "direction": "input", + "bits": [ 412, 413, 414 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 415, 416, 417 ] + }, + "RXENPRBSTST0": { + "direction": "input", + "bits": [ 418, 419, 420 ] + }, + "RXENPRBSTST1": { + "direction": "input", + "bits": [ 421, 422, 423 ] + }, + "TXBUFDIFFCTRL0": { + "direction": "input", + "bits": [ 424, 425, 426 ] + }, + "TXBUFDIFFCTRL1": { + "direction": "input", + "bits": [ 427, 428, 429 ] + }, + "TXENPRBSTST0": { + "direction": "input", + "bits": [ 430, 431, 432 ] + }, + "TXENPRBSTST1": { + "direction": "input", + "bits": [ 433, 434, 435 ] + }, + "TXPREEMPHASIS0": { + "direction": "input", + "bits": [ 436, 437, 438 ] + }, + "TXPREEMPHASIS1": { + "direction": "input", + "bits": [ 439, 440, 441 ] + }, + "TXDATA0": { + "direction": "input", + "bits": [ 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473 ] + }, + "TXDATA1": { + "direction": "input", + "bits": [ 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505 ] + }, + "TXBYPASS8B10B0": { + "direction": "input", + "bits": [ 506, 507, 508, 509 ] + }, + "TXBYPASS8B10B1": { + "direction": "input", + "bits": [ 510, 511, 512, 513 ] + }, + "TXCHARDISPMODE0": { + "direction": "input", + "bits": [ 514, 515, 516, 517 ] + }, + "TXCHARDISPMODE1": { + "direction": "input", + "bits": [ 518, 519, 520, 521 ] + }, + "TXCHARDISPVAL0": { + "direction": "input", + "bits": [ 522, 523, 524, 525 ] + }, + "TXCHARDISPVAL1": { + "direction": "input", + "bits": [ 526, 527, 528, 529 ] + }, + "TXCHARISK0": { + "direction": "input", + "bits": [ 530, 531, 532, 533 ] + }, + "TXCHARISK1": { + "direction": "input", + "bits": [ 534, 535, 536, 537 ] + }, + "TXDIFFCTRL0": { + "direction": "input", + "bits": [ 538, 539, 540, 541 ] + }, + "TXDIFFCTRL1": { + "direction": "input", + "bits": [ 542, 543, 544, 545 ] + }, + "RCALINEAST": { + "direction": "input", + "bits": [ 546, 547, 548, 549, 550 ] + }, + "RCALINWEST": { + "direction": "input", + "bits": [ 551, 552, 553, 554, 555 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 556, 557, 558, 559, 560, 561, 562, 563 ] + }, + "GTPTEST0": { + "direction": "input", + "bits": [ 564, 565, 566, 567, 568, 569, 570, 571 ] + }, + "GTPTEST1": { + "direction": "input", + "bits": [ 572, 573, 574, 575, 576, 577, 578, 579 ] + } + }, + "cells": { + }, + "netnames": { + "CLK00": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10636.11-10636.16" + } + }, + "CLK01": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10637.11-10637.16" + } + }, + "CLK10": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10638.11-10638.16" + } + }, + "CLK11": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10639.11-10639.16" + } + }, + "CLKINEAST0": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10640.11-10640.21" + } + }, + "CLKINEAST1": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10641.11-10641.21" + } + }, + "CLKINWEST0": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10642.11-10642.21" + } + }, + "CLKINWEST1": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10643.11-10643.21" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 556, 557, 558, 559, 560, 561, 562, 563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10783.17-10783.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10644.11-10644.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10645.11-10645.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10741.18-10741.20" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10563.12-10563.16" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10600.19-10600.24" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10646.11-10646.14" + } + }, + "GATERXELECIDLE0": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10647.11-10647.26" + } + }, + "GATERXELECIDLE1": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10648.11-10648.26" + } + }, + "GCLK00": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10649.11-10649.17" + } + }, + "GCLK01": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10650.11-10650.17" + } + }, + "GCLK10": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10651.11-10651.17" + } + }, + "GCLK11": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10652.11-10652.17" + } + }, + "GTPCLKFBEAST": { + "hide_name": 0, + "bits": [ 55, 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10601.18-10601.30" + } + }, + "GTPCLKFBSEL0EAST": { + "hide_name": 0, + "bits": [ 375, 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10742.17-10742.33" + } + }, + "GTPCLKFBSEL0WEST": { + "hide_name": 0, + "bits": [ 377, 378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10743.17-10743.33" + } + }, + "GTPCLKFBSEL1EAST": { + "hide_name": 0, + "bits": [ 379, 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10744.17-10744.33" + } + }, + "GTPCLKFBSEL1WEST": { + "hide_name": 0, + "bits": [ 381, 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10745.17-10745.33" + } + }, + "GTPCLKFBWEST": { + "hide_name": 0, + "bits": [ 57, 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10602.18-10602.30" + } + }, + "GTPCLKOUT0": { + "hide_name": 0, + "bits": [ 59, 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10603.18-10603.28" + } + }, + "GTPCLKOUT1": { + "hide_name": 0, + "bits": [ 61, 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10604.18-10604.28" + } + }, + "GTPRESET0": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10653.11-10653.20" + } + }, + "GTPRESET1": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10654.11-10654.20" + } + }, + "GTPTEST0": { + "hide_name": 0, + "bits": [ 564, 565, 566, 567, 568, 569, 570, 571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10784.17-10784.25" + } + }, + "GTPTEST1": { + "hide_name": 0, + "bits": [ 572, 573, 574, 575, 576, 577, 578, 579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10785.17-10785.25" + } + }, + "IGNORESIGDET0": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10655.11-10655.24" + } + }, + "IGNORESIGDET1": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10656.11-10656.24" + } + }, + "INTDATAWIDTH0": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10657.11-10657.24" + } + }, + "INTDATAWIDTH1": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10658.11-10658.24" + } + }, + "LOOPBACK0": { + "hide_name": 0, + "bits": [ 403, 404, 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10756.17-10756.26" + } + }, + "LOOPBACK1": { + "hide_name": 0, + "bits": [ 406, 407, 408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10757.17-10757.26" + } + }, + "PHYSTATUS0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10564.12-10564.22" + } + }, + "PHYSTATUS1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10565.12-10565.22" + } + }, + "PLLCLK00": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10659.11-10659.19" + } + }, + "PLLCLK01": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10660.11-10660.19" + } + }, + "PLLCLK10": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10661.11-10661.19" + } + }, + "PLLCLK11": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10662.11-10662.19" + } + }, + "PLLLKDET0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10566.12-10566.21" + } + }, + "PLLLKDET1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10567.12-10567.21" + } + }, + "PLLLKDETEN0": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10663.11-10663.22" + } + }, + "PLLLKDETEN1": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10664.11-10664.22" + } + }, + "PLLPOWERDOWN0": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10665.11-10665.24" + } + }, + "PLLPOWERDOWN1": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10666.11-10666.24" + } + }, + "PRBSCNTRESET0": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10667.11-10667.24" + } + }, + "PRBSCNTRESET1": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10668.11-10668.24" + } + }, + "RCALINEAST": { + "hide_name": 0, + "bits": [ 546, 547, 548, 549, 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10781.17-10781.27" + } + }, + "RCALINWEST": { + "hide_name": 0, + "bits": [ 551, 552, 553, 554, 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10782.17-10782.27" + } + }, + "RCALOUTEAST": { + "hide_name": 0, + "bits": [ 212, 213, 214, 215, 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10632.18-10632.29" + } + }, + "RCALOUTWEST": { + "hide_name": 0, + "bits": [ 217, 218, 219, 220, 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10633.18-10633.29" + } + }, + "REFCLKOUT0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10568.12-10568.22" + } + }, + "REFCLKOUT1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10569.12-10569.22" + } + }, + "REFCLKPLL0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10570.12-10570.22" + } + }, + "REFCLKPLL1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10571.12-10571.22" + } + }, + "REFCLKPWRDNB0": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10669.11-10669.24" + } + }, + "REFCLKPWRDNB1": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10670.11-10670.24" + } + }, + "REFSELDYPLL0": { + "hide_name": 0, + "bits": [ 409, 410, 411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10758.17-10758.29" + } + }, + "REFSELDYPLL1": { + "hide_name": 0, + "bits": [ 412, 413, 414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10759.17-10759.29" + } + }, + "RESETDONE0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10572.12-10572.22" + } + }, + "RESETDONE1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10573.12-10573.22" + } + }, + "RXBUFRESET0": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10671.11-10671.22" + } + }, + "RXBUFRESET1": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10672.11-10672.22" + } + }, + "RXBUFSTATUS0": { + "hide_name": 0, + "bits": [ 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10609.18-10609.30" + } + }, + "RXBUFSTATUS1": { + "hide_name": 0, + "bits": [ 74, 75, 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10610.18-10610.30" + } + }, + "RXBYTEISALIGNED0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10574.12-10574.28" + } + }, + "RXBYTEISALIGNED1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10575.12-10575.28" + } + }, + "RXBYTEREALIGN0": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10576.12-10576.26" + } + }, + "RXBYTEREALIGN1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10577.12-10577.26" + } + }, + "RXCDRRESET0": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10673.11-10673.22" + } + }, + "RXCDRRESET1": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10674.11-10674.22" + } + }, + "RXCHANBONDSEQ0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10578.12-10578.26" + } + }, + "RXCHANBONDSEQ1": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10579.12-10579.26" + } + }, + "RXCHANISALIGNED0": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10580.12-10580.28" + } + }, + "RXCHANISALIGNED1": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10581.12-10581.28" + } + }, + "RXCHANREALIGN0": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10582.12-10582.26" + } + }, + "RXCHANREALIGN1": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10583.12-10583.26" + } + }, + "RXCHARISCOMMA0": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10618.18-10618.32" + } + }, + "RXCHARISCOMMA1": { + "hide_name": 0, + "bits": [ 160, 161, 162, 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10619.18-10619.32" + } + }, + "RXCHARISK0": { + "hide_name": 0, + "bits": [ 164, 165, 166, 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10620.18-10620.28" + } + }, + "RXCHARISK1": { + "hide_name": 0, + "bits": [ 168, 169, 170, 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10621.18-10621.28" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 415, 416, 417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10760.17-10760.26" + } + }, + "RXCHBONDMASTER0": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10675.11-10675.26" + } + }, + "RXCHBONDMASTER1": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10676.11-10676.26" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 77, 78, 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10611.18-10611.27" + } + }, + "RXCHBONDSLAVE0": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10677.11-10677.25" + } + }, + "RXCHBONDSLAVE1": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10678.11-10678.25" + } + }, + "RXCLKCORCNT0": { + "hide_name": 0, + "bits": [ 80, 81, 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10612.18-10612.30" + } + }, + "RXCLKCORCNT1": { + "hide_name": 0, + "bits": [ 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10613.18-10613.30" + } + }, + "RXCOMMADET0": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10584.12-10584.23" + } + }, + "RXCOMMADET1": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10585.12-10585.23" + } + }, + "RXCOMMADETUSE0": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10679.11-10679.25" + } + }, + "RXCOMMADETUSE1": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10680.11-10680.25" + } + }, + "RXDATA0": { + "hide_name": 0, + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10616.19-10616.26" + } + }, + "RXDATA1": { + "hide_name": 0, + "bits": [ 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10617.19-10617.26" + } + }, + "RXDATAWIDTH0": { + "hide_name": 0, + "bits": [ 383, 384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10746.17-10746.29" + } + }, + "RXDATAWIDTH1": { + "hide_name": 0, + "bits": [ 385, 386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10747.17-10747.29" + } + }, + "RXDEC8B10BUSE0": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10681.11-10681.25" + } + }, + "RXDEC8B10BUSE1": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10682.11-10682.25" + } + }, + "RXDISPERR0": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10622.18-10622.28" + } + }, + "RXDISPERR1": { + "hide_name": 0, + "bits": [ 176, 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10623.18-10623.28" + } + }, + "RXELECIDLE0": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10586.12-10586.23" + } + }, + "RXELECIDLE1": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10587.12-10587.23" + } + }, + "RXENCHANSYNC0": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10683.11-10683.24" + } + }, + "RXENCHANSYNC1": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10684.11-10684.24" + } + }, + "RXENMCOMMAALIGN0": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10685.11-10685.27" + } + }, + "RXENMCOMMAALIGN1": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10686.11-10686.27" + } + }, + "RXENPCOMMAALIGN0": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10687.11-10687.27" + } + }, + "RXENPCOMMAALIGN1": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10688.11-10688.27" + } + }, + "RXENPMAPHASEALIGN0": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10689.11-10689.29" + } + }, + "RXENPMAPHASEALIGN1": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10690.11-10690.29" + } + }, + "RXENPRBSTST0": { + "hide_name": 0, + "bits": [ 418, 419, 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10761.17-10761.29" + } + }, + "RXENPRBSTST1": { + "hide_name": 0, + "bits": [ 421, 422, 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10762.17-10762.29" + } + }, + "RXEQMIX0": { + "hide_name": 0, + "bits": [ 387, 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10748.17-10748.25" + } + }, + "RXEQMIX1": { + "hide_name": 0, + "bits": [ 389, 390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10749.17-10749.25" + } + }, + "RXLOSSOFSYNC0": { + "hide_name": 0, + "bits": [ 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10605.18-10605.31" + } + }, + "RXLOSSOFSYNC1": { + "hide_name": 0, + "bits": [ 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10606.18-10606.31" + } + }, + "RXN0": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10691.11-10691.15" + } + }, + "RXN1": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10692.11-10692.15" + } + }, + "RXNOTINTABLE0": { + "hide_name": 0, + "bits": [ 180, 181, 182, 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10624.18-10624.31" + } + }, + "RXNOTINTABLE1": { + "hide_name": 0, + "bits": [ 184, 185, 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10625.18-10625.31" + } + }, + "RXP0": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10693.11-10693.15" + } + }, + "RXP1": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10694.11-10694.15" + } + }, + "RXPMASETPHASE0": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10695.11-10695.25" + } + }, + "RXPMASETPHASE1": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10696.11-10696.25" + } + }, + "RXPOLARITY0": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10697.11-10697.22" + } + }, + "RXPOLARITY1": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10698.11-10698.22" + } + }, + "RXPOWERDOWN0": { + "hide_name": 0, + "bits": [ 391, 392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10750.17-10750.29" + } + }, + "RXPOWERDOWN1": { + "hide_name": 0, + "bits": [ 393, 394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10751.17-10751.29" + } + }, + "RXPRBSERR0": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10588.12-10588.22" + } + }, + "RXPRBSERR1": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10589.12-10589.22" + } + }, + "RXRECCLK0": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10590.12-10590.21" + } + }, + "RXRECCLK1": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10591.12-10591.21" + } + }, + "RXRESET0": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10699.11-10699.19" + } + }, + "RXRESET1": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10700.11-10700.19" + } + }, + "RXRUNDISP0": { + "hide_name": 0, + "bits": [ 188, 189, 190, 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10626.18-10626.28" + } + }, + "RXRUNDISP1": { + "hide_name": 0, + "bits": [ 192, 193, 194, 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10627.18-10627.28" + } + }, + "RXSLIDE0": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10701.11-10701.19" + } + }, + "RXSLIDE1": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10702.11-10702.19" + } + }, + "RXSTATUS0": { + "hide_name": 0, + "bits": [ 86, 87, 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10614.18-10614.27" + } + }, + "RXSTATUS1": { + "hide_name": 0, + "bits": [ 89, 90, 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10615.18-10615.27" + } + }, + "RXUSRCLK0": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10703.11-10703.20" + } + }, + "RXUSRCLK1": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10704.11-10704.20" + } + }, + "RXUSRCLK20": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10705.11-10705.21" + } + }, + "RXUSRCLK21": { + "hide_name": 0, + "bits": [ 302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10706.11-10706.21" + } + }, + "RXVALID0": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10592.12-10592.20" + } + }, + "RXVALID1": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10593.12-10593.20" + } + }, + "TSTCLK0": { + "hide_name": 0, + "bits": [ 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10707.11-10707.18" + } + }, + "TSTCLK1": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10708.11-10708.18" + } + }, + "TSTIN0": { + "hide_name": 0, + "bits": [ 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10739.18-10739.24" + } + }, + "TSTIN1": { + "hide_name": 0, + "bits": [ 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10740.18-10740.24" + } + }, + "TSTOUT0": { + "hide_name": 0, + "bits": [ 222, 223, 224, 225, 226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10634.18-10634.25" + } + }, + "TSTOUT1": { + "hide_name": 0, + "bits": [ 227, 228, 229, 230, 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10635.18-10635.25" + } + }, + "TXBUFDIFFCTRL0": { + "hide_name": 0, + "bits": [ 424, 425, 426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10763.17-10763.31" + } + }, + "TXBUFDIFFCTRL1": { + "hide_name": 0, + "bits": [ 427, 428, 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10764.17-10764.31" + } + }, + "TXBUFSTATUS0": { + "hide_name": 0, + "bits": [ 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10607.18-10607.30" + } + }, + "TXBUFSTATUS1": { + "hide_name": 0, + "bits": [ 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10608.18-10608.30" + } + }, + "TXBYPASS8B10B0": { + "hide_name": 0, + "bits": [ 506, 507, 508, 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10771.17-10771.31" + } + }, + "TXBYPASS8B10B1": { + "hide_name": 0, + "bits": [ 510, 511, 512, 513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10772.17-10772.31" + } + }, + "TXCHARDISPMODE0": { + "hide_name": 0, + "bits": [ 514, 515, 516, 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10773.17-10773.32" + } + }, + "TXCHARDISPMODE1": { + "hide_name": 0, + "bits": [ 518, 519, 520, 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10774.17-10774.32" + } + }, + "TXCHARDISPVAL0": { + "hide_name": 0, + "bits": [ 522, 523, 524, 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10775.17-10775.31" + } + }, + "TXCHARDISPVAL1": { + "hide_name": 0, + "bits": [ 526, 527, 528, 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10776.17-10776.31" + } + }, + "TXCHARISK0": { + "hide_name": 0, + "bits": [ 530, 531, 532, 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10777.17-10777.27" + } + }, + "TXCHARISK1": { + "hide_name": 0, + "bits": [ 534, 535, 536, 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10778.17-10778.27" + } + }, + "TXCOMSTART0": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10709.11-10709.22" + } + }, + "TXCOMSTART1": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10710.11-10710.22" + } + }, + "TXCOMTYPE0": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10711.11-10711.21" + } + }, + "TXCOMTYPE1": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10712.11-10712.21" + } + }, + "TXDATA0": { + "hide_name": 0, + "bits": [ 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10769.18-10769.25" + } + }, + "TXDATA1": { + "hide_name": 0, + "bits": [ 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10770.18-10770.25" + } + }, + "TXDATAWIDTH0": { + "hide_name": 0, + "bits": [ 395, 396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10752.17-10752.29" + } + }, + "TXDATAWIDTH1": { + "hide_name": 0, + "bits": [ 397, 398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10753.17-10753.29" + } + }, + "TXDETECTRX0": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10713.11-10713.22" + } + }, + "TXDETECTRX1": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10714.11-10714.22" + } + }, + "TXDIFFCTRL0": { + "hide_name": 0, + "bits": [ 538, 539, 540, 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10779.17-10779.28" + } + }, + "TXDIFFCTRL1": { + "hide_name": 0, + "bits": [ 542, 543, 544, 545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10780.17-10780.28" + } + }, + "TXELECIDLE0": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10715.11-10715.22" + } + }, + "TXELECIDLE1": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10716.11-10716.22" + } + }, + "TXENC8B10BUSE0": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10717.11-10717.25" + } + }, + "TXENC8B10BUSE1": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10718.11-10718.25" + } + }, + "TXENPMAPHASEALIGN0": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10719.11-10719.29" + } + }, + "TXENPMAPHASEALIGN1": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10720.11-10720.29" + } + }, + "TXENPRBSTST0": { + "hide_name": 0, + "bits": [ 430, 431, 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10765.17-10765.29" + } + }, + "TXENPRBSTST1": { + "hide_name": 0, + "bits": [ 433, 434, 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10766.17-10766.29" + } + }, + "TXINHIBIT0": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10721.11-10721.21" + } + }, + "TXINHIBIT1": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10722.11-10722.21" + } + }, + "TXKERR0": { + "hide_name": 0, + "bits": [ 196, 197, 198, 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10628.18-10628.25" + } + }, + "TXKERR1": { + "hide_name": 0, + "bits": [ 200, 201, 202, 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10629.18-10629.25" + } + }, + "TXN0": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10594.12-10594.16" + } + }, + "TXN1": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10595.12-10595.16" + } + }, + "TXOUTCLK0": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10596.12-10596.21" + } + }, + "TXOUTCLK1": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10597.12-10597.21" + } + }, + "TXP0": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10598.12-10598.16" + } + }, + "TXP1": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10599.12-10599.16" + } + }, + "TXPDOWNASYNCH0": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10723.11-10723.25" + } + }, + "TXPDOWNASYNCH1": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10724.11-10724.25" + } + }, + "TXPMASETPHASE0": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10725.11-10725.25" + } + }, + "TXPMASETPHASE1": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10726.11-10726.25" + } + }, + "TXPOLARITY0": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10727.11-10727.22" + } + }, + "TXPOLARITY1": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10728.11-10728.22" + } + }, + "TXPOWERDOWN0": { + "hide_name": 0, + "bits": [ 399, 400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10754.17-10754.29" + } + }, + "TXPOWERDOWN1": { + "hide_name": 0, + "bits": [ 401, 402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10755.17-10755.29" + } + }, + "TXPRBSFORCEERR0": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10729.11-10729.26" + } + }, + "TXPRBSFORCEERR1": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10730.11-10730.26" + } + }, + "TXPREEMPHASIS0": { + "hide_name": 0, + "bits": [ 436, 437, 438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10767.17-10767.31" + } + }, + "TXPREEMPHASIS1": { + "hide_name": 0, + "bits": [ 439, 440, 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10768.17-10768.31" + } + }, + "TXRESET0": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10731.11-10731.19" + } + }, + "TXRESET1": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10732.11-10732.19" + } + }, + "TXRUNDISP0": { + "hide_name": 0, + "bits": [ 204, 205, 206, 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10630.18-10630.28" + } + }, + "TXRUNDISP1": { + "hide_name": 0, + "bits": [ 208, 209, 210, 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10631.18-10631.28" + } + }, + "TXUSRCLK0": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10733.11-10733.20" + } + }, + "TXUSRCLK1": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10734.11-10734.20" + } + }, + "TXUSRCLK20": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10735.11-10735.21" + } + }, + "TXUSRCLK21": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10736.11-10736.21" + } + }, + "USRCODEERR0": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10737.11-10737.22" + } + }, + "USRCODEERR1": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10738.11-10738.22" + } + } + } + }, + "GTPE2_CHANNEL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13913.1-14393.10" + }, + "parameter_default_values": { + "ACJTAG_DEBUG_MODE": "0", + "ACJTAG_MODE": "0", + "ACJTAG_RESET": "0", + "ADAPT_CFG0": "00000000000000000000", + "ALIGN_COMMA_DOUBLE": "FALSE", + "ALIGN_COMMA_ENABLE": "0001111111", + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "ALIGN_MCOMMA_DET": "TRUE", + "ALIGN_MCOMMA_VALUE": "1010000011", + "ALIGN_PCOMMA_DET": "TRUE", + "ALIGN_PCOMMA_VALUE": "0101111100", + "CBCC_DATA_SOURCE_SEL": "DECODED", + "CFOK_CFG": "1001001000000000000000001000000111010000000", + "CFOK_CFG2": "0100000", + "CFOK_CFG3": "0100000", + "CFOK_CFG4": "0", + "CFOK_CFG5": "00", + "CFOK_CFG6": "0000", + "CHAN_BOND_KEEP_ALIGN": "FALSE", + "CHAN_BOND_MAX_SKEW": "00000000000000000000000000000111", + "CHAN_BOND_SEQ_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2": "0000000000", + "CHAN_BOND_SEQ_1_3": "0000000000", + "CHAN_BOND_SEQ_1_4": "0000000000", + "CHAN_BOND_SEQ_1_ENABLE": "1111", + "CHAN_BOND_SEQ_2_1": "0100000000", + "CHAN_BOND_SEQ_2_2": "0100000000", + "CHAN_BOND_SEQ_2_3": "0100000000", + "CHAN_BOND_SEQ_2_4": "0100000000", + "CHAN_BOND_SEQ_2_ENABLE": "1111", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000001", + "CLK_COMMON_SWING": "0", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_KEEP_IDLE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE": "TRUE", + "CLK_COR_REPEAT_WAIT": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1": "0100011100", + "CLK_COR_SEQ_1_2": "0000000000", + "CLK_COR_SEQ_1_3": "0000000000", + "CLK_COR_SEQ_1_4": "0000000000", + "CLK_COR_SEQ_1_ENABLE": "1111", + "CLK_COR_SEQ_2_1": "0100000000", + "CLK_COR_SEQ_2_2": "0100000000", + "CLK_COR_SEQ_2_3": "0100000000", + "CLK_COR_SEQ_2_4": "0100000000", + "CLK_COR_SEQ_2_ENABLE": "1111", + "CLK_COR_SEQ_2_USE": "FALSE", + "CLK_COR_SEQ_LEN": "00000000000000000000000000000001", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DMONITOR_CFG": "000000000000101000000000", + "ES_CLK_PHASE_SEL": "0", + "ES_CONTROL": "000000", + "ES_ERRDET_EN": "FALSE", + "ES_EYE_SCAN_EN": "FALSE", + "ES_HORZ_OFFSET": "000000010000", + "ES_PMA_CFG": "0000000000", + "ES_PRESCALE": "00000", + "ES_QUALIFIER": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_QUAL_MASK": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_SDATA_MASK": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_VERT_OFFSET": "000000000", + "FTS_DESKEW_SEQ_ENABLE": "1111", + "FTS_LANE_DESKEW_CFG": "1111", + "FTS_LANE_DESKEW_EN": "FALSE", + "GEARBOX_MODE": "000", + "IS_CLKRSVD0_INVERTED": "0", + "IS_CLKRSVD1_INVERTED": "0", + "IS_DMONITORCLK_INVERTED": "0", + "IS_DRPCLK_INVERTED": "0", + "IS_RXUSRCLK2_INVERTED": "0", + "IS_RXUSRCLK_INVERTED": "0", + "IS_SIGVALIDCLK_INVERTED": "0", + "IS_TXPHDLYTSTCLK_INVERTED": "0", + "IS_TXUSRCLK2_INVERTED": "0", + "IS_TXUSRCLK_INVERTED": "0", + "LOOPBACK_CFG": "0", + "OUTREFCLK_SEL_INV": "11", + "PCS_PCIE_EN": "FALSE", + "PCS_RSVD_ATTR": "000000000000000000000000000000000000000000000000", + "PD_TRANS_TIME_FROM_P2": "000000111100", + "PD_TRANS_TIME_NONE_P2": "00011001", + "PD_TRANS_TIME_TO_P2": "01100100", + "PMA_LOOPBACK_CFG": "0", + "PMA_RSV": "00000000000000000000001100110011", + "PMA_RSV2": "00000000000000000010000001010000", + "PMA_RSV3": "00", + "PMA_RSV4": "0000", + "PMA_RSV5": "0", + "PMA_RSV6": "0", + "PMA_RSV7": "0", + "RXBUFRESET_TIME": "00001", + "RXBUF_ADDR_MODE": "FULL", + "RXBUF_EIDLE_HI_CNT": "1000", + "RXBUF_EIDLE_LO_CNT": "0000", + "RXBUF_EN": "TRUE", + "RXBUF_RESET_ON_CB_CHANGE": "TRUE", + "RXBUF_RESET_ON_COMMAALIGN": "FALSE", + "RXBUF_RESET_ON_EIDLE": "FALSE", + "RXBUF_RESET_ON_RATE_CHANGE": "TRUE", + "RXBUF_THRESH_OVFLW": "00000000000000000000000000111101", + "RXBUF_THRESH_OVRD": "FALSE", + "RXBUF_THRESH_UNDFLW": "00000000000000000000000000000100", + "RXCDRFREQRESET_TIME": "00001", + "RXCDRPHRESET_TIME": "00001", + "RXCDR_CFG": "00000000000000000010000011111111110010000000110000000000001000001000001000000010000", + "RXCDR_FR_RESET_ON_EIDLE": "0", + "RXCDR_HOLD_DURING_EIDLE": "0", + "RXCDR_LOCK_CFG": "001001", + "RXCDR_PH_RESET_ON_EIDLE": "0", + "RXDLY_CFG": "0000000000010000", + "RXDLY_LCFG": "000100000", + "RXDLY_TAP_CFG": "0000000000000000", + "RXGEARBOX_EN": "FALSE", + "RXISCANRESET_TIME": "00001", + "RXLPMRESET_TIME": "0001111", + "RXLPM_BIAS_STARTUP_DISABLE": "0", + "RXLPM_CFG": "0110", + "RXLPM_CFG1": "0", + "RXLPM_CM_CFG": "0", + "RXLPM_GC_CFG": "111100010", + "RXLPM_GC_CFG2": "001", + "RXLPM_HF_CFG": "00001111110000", + "RXLPM_HF_CFG2": "01010", + "RXLPM_HF_CFG3": "0000", + "RXLPM_HOLD_DURING_EIDLE": "0", + "RXLPM_INCM_CFG": "0", + "RXLPM_IPCM_CFG": "0", + "RXLPM_LF_CFG": "000000001111110000", + "RXLPM_LF_CFG2": "01010", + "RXLPM_OSINT_CFG": "100", + "RXOOB_CFG": "0000110", + "RXOOB_CLK_CFG": "PMA", + "RXOSCALRESET_TIME": "00011", + "RXOSCALRESET_TIMEOUT": "00000", + "RXOUT_DIV": "00000000000000000000000000000010", + "RXPCSRESET_TIME": "00001", + "RXPHDLY_CFG": "000010000100000000000000", + "RXPH_CFG": "110000000000000000000010", + "RXPH_MONITOR_SEL": "00000", + "RXPI_CFG0": "000", + "RXPI_CFG1": "0", + "RXPI_CFG2": "0", + "RXPMARESET_TIME": "00011", + "RXPRBS_ERR_LOOPBACK": "0", + "RXSLIDE_AUTO_WAIT": "00000000000000000000000000000111", + "RXSLIDE_MODE": "OFF", + "RXSYNC_MULTILANE": "0", + "RXSYNC_OVRD": "0", + "RXSYNC_SKIP_DA": "0", + "RX_BIAS_CFG": "0000111100110011", + "RX_BUFFER_CFG": "000000", + "RX_CLK25_DIV": "00000000000000000000000000000111", + "RX_CLKMUX_EN": "1", + "RX_CM_SEL": "11", + "RX_CM_TRIM": "0100", + "RX_DATA_WIDTH": "00000000000000000000000000010100", + "RX_DDI_SEL": "000000", + "RX_DEBUG_CFG": "00000000000000", + "RX_DEFER_RESET_BUF_EN": "TRUE", + "RX_DISPERR_SEQ_MATCH": "TRUE", + "RX_OS_CFG": "0001111110000", + "RX_SIG_VALID_DLY": "00000000000000000000000000001010", + "RX_XCLK_SEL": "RXREC", + "SAS_MAX_COM": "00000000000000000000000001000000", + "SAS_MIN_COM": "00000000000000000000000000100100", + "SATA_BURST_SEQ_LEN": "1111", + "SATA_BURST_VAL": "100", + "SATA_EIDLE_VAL": "100", + "SATA_MAX_BURST": "00000000000000000000000000001000", + "SATA_MAX_INIT": "00000000000000000000000000010101", + "SATA_MAX_WAKE": "00000000000000000000000000000111", + "SATA_MIN_BURST": "00000000000000000000000000000100", + "SATA_MIN_INIT": "00000000000000000000000000001100", + "SATA_MIN_WAKE": "00000000000000000000000000000100", + "SATA_PLL_CFG": "VCO_3000MHZ", + "SHOW_REALIGN_COMMA": "TRUE", + "SIM_RECEIVER_DETECT_PASS": "TRUE", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_TX_EIDLE_DRIVE_LEVEL": "X", + "SIM_VERSION": "1.0", + "TERM_RCAL_CFG": "100001000010000", + "TERM_RCAL_OVRD": "000", + "TRANS_TIME_RATE": "00001110", + "TST_RSV": "00000000000000000000000000000000", + "TXBUF_EN": "TRUE", + "TXBUF_RESET_ON_RATE_CHANGE": "FALSE", + "TXDLY_CFG": "0000000000010000", + "TXDLY_LCFG": "000100000", + "TXDLY_TAP_CFG": "0000000000000000", + "TXGEARBOX_EN": "FALSE", + "TXOOB_CFG": "0", + "TXOUT_DIV": "00000000000000000000000000000010", + "TXPCSRESET_TIME": "00001", + "TXPHDLY_CFG": "000010000100000000000000", + "TXPH_CFG": "0000010000000000", + "TXPH_MONITOR_SEL": "00000", + "TXPI_CFG0": "00", + "TXPI_CFG1": "00", + "TXPI_CFG2": "00", + "TXPI_CFG3": "0", + "TXPI_CFG4": "0", + "TXPI_CFG5": "000", + "TXPI_GREY_SEL": "0", + "TXPI_INVSTROBE_SEL": "0", + "TXPI_PPMCLK_SEL": "TXUSRCLK2", + "TXPI_PPM_CFG": "00000000", + "TXPI_SYNFREQ_PPM": "000", + "TXPMARESET_TIME": "00001", + "TXSYNC_MULTILANE": "0", + "TXSYNC_OVRD": "0", + "TXSYNC_SKIP_DA": "0", + "TX_CLK25_DIV": "00000000000000000000000000000111", + "TX_CLKMUX_EN": "1", + "TX_DATA_WIDTH": "00000000000000000000000000010100", + "TX_DEEMPH0": "000000", + "TX_DEEMPH1": "000000", + "TX_DRIVE_MODE": "DIRECT", + "TX_EIDLE_ASSERT_DELAY": "110", + "TX_EIDLE_DEASSERT_DELAY": "100", + "TX_LOOPBACK_DRIVE_HIZ": "FALSE", + "TX_MAINCURSOR_SEL": "0", + "TX_MARGIN_FULL_0": "1001110", + "TX_MARGIN_FULL_1": "1001001", + "TX_MARGIN_FULL_2": "1000101", + "TX_MARGIN_FULL_3": "1000010", + "TX_MARGIN_FULL_4": "1000000", + "TX_MARGIN_LOW_0": "1000110", + "TX_MARGIN_LOW_1": "1000100", + "TX_MARGIN_LOW_2": "1000010", + "TX_MARGIN_LOW_3": "1000000", + "TX_MARGIN_LOW_4": "1000000", + "TX_PREDRIVER_MODE": "0", + "TX_RXDETECT_CFG": "01100000110010", + "TX_RXDETECT_REF": "100", + "TX_XCLK_SEL": "TXUSR", + "UCODEER_CLR": "0", + "USE_PCS_CLK_PHASE_SEL": "0" + }, + "ports": { + "DRPRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "EYESCANDATAERROR": { + "direction": "output", + "bits": [ 3 ] + }, + "GTPTXN": { + "direction": "output", + "bits": [ 4 ] + }, + "GTPTXP": { + "direction": "output", + "bits": [ 5 ] + }, + "PHYSTATUS": { + "direction": "output", + "bits": [ 6 ] + }, + "PMARSVDOUT0": { + "direction": "output", + "bits": [ 7 ] + }, + "PMARSVDOUT1": { + "direction": "output", + "bits": [ 8 ] + }, + "RXBYTEISALIGNED": { + "direction": "output", + "bits": [ 9 ] + }, + "RXBYTEREALIGN": { + "direction": "output", + "bits": [ 10 ] + }, + "RXCDRLOCK": { + "direction": "output", + "bits": [ 11 ] + }, + "RXCHANBONDSEQ": { + "direction": "output", + "bits": [ 12 ] + }, + "RXCHANISALIGNED": { + "direction": "output", + "bits": [ 13 ] + }, + "RXCHANREALIGN": { + "direction": "output", + "bits": [ 14 ] + }, + "RXCOMINITDET": { + "direction": "output", + "bits": [ 15 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 16 ] + }, + "RXCOMSASDET": { + "direction": "output", + "bits": [ 17 ] + }, + "RXCOMWAKEDET": { + "direction": "output", + "bits": [ 18 ] + }, + "RXDLYSRESETDONE": { + "direction": "output", + "bits": [ 19 ] + }, + "RXELECIDLE": { + "direction": "output", + "bits": [ 20 ] + }, + "RXHEADERVALID": { + "direction": "output", + "bits": [ 21 ] + }, + "RXOSINTDONE": { + "direction": "output", + "bits": [ 22 ] + }, + "RXOSINTSTARTED": { + "direction": "output", + "bits": [ 23 ] + }, + "RXOSINTSTROBEDONE": { + "direction": "output", + "bits": [ 24 ] + }, + "RXOSINTSTROBESTARTED": { + "direction": "output", + "bits": [ 25 ] + }, + "RXOUTCLK": { + "direction": "output", + "bits": [ 26 ] + }, + "RXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 27 ] + }, + "RXOUTCLKPCS": { + "direction": "output", + "bits": [ 28 ] + }, + "RXPHALIGNDONE": { + "direction": "output", + "bits": [ 29 ] + }, + "RXPMARESETDONE": { + "direction": "output", + "bits": [ 30 ] + }, + "RXPRBSERR": { + "direction": "output", + "bits": [ 31 ] + }, + "RXRATEDONE": { + "direction": "output", + "bits": [ 32 ] + }, + "RXRESETDONE": { + "direction": "output", + "bits": [ 33 ] + }, + "RXSYNCDONE": { + "direction": "output", + "bits": [ 34 ] + }, + "RXSYNCOUT": { + "direction": "output", + "bits": [ 35 ] + }, + "RXVALID": { + "direction": "output", + "bits": [ 36 ] + }, + "TXCOMFINISH": { + "direction": "output", + "bits": [ 37 ] + }, + "TXDLYSRESETDONE": { + "direction": "output", + "bits": [ 38 ] + }, + "TXGEARBOXREADY": { + "direction": "output", + "bits": [ 39 ] + }, + "TXOUTCLK": { + "direction": "output", + "bits": [ 40 ] + }, + "TXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 41 ] + }, + "TXOUTCLKPCS": { + "direction": "output", + "bits": [ 42 ] + }, + "TXPHALIGNDONE": { + "direction": "output", + "bits": [ 43 ] + }, + "TXPHINITDONE": { + "direction": "output", + "bits": [ 44 ] + }, + "TXPMARESETDONE": { + "direction": "output", + "bits": [ 45 ] + }, + "TXRATEDONE": { + "direction": "output", + "bits": [ 46 ] + }, + "TXRESETDONE": { + "direction": "output", + "bits": [ 47 ] + }, + "TXSYNCDONE": { + "direction": "output", + "bits": [ 48 ] + }, + "TXSYNCOUT": { + "direction": "output", + "bits": [ 49 ] + }, + "DMONITOROUT": { + "direction": "output", + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80 ] + }, + "PCSRSVDOUT": { + "direction": "output", + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ] + }, + "RXCLKCORCNT": { + "direction": "output", + "bits": [ 97, 98 ] + }, + "RXDATAVALID": { + "direction": "output", + "bits": [ 99, 100 ] + }, + "RXSTARTOFSEQ": { + "direction": "output", + "bits": [ 101, 102 ] + }, + "TXBUFSTATUS": { + "direction": "output", + "bits": [ 103, 104 ] + }, + "RXBUFSTATUS": { + "direction": "output", + "bits": [ 105, 106, 107 ] + }, + "RXHEADER": { + "direction": "output", + "bits": [ 108, 109, 110 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 111, 112, 113 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145 ] + }, + "RXCHARISCOMMA": { + "direction": "output", + "bits": [ 146, 147, 148, 149 ] + }, + "RXCHARISK": { + "direction": "output", + "bits": [ 150, 151, 152, 153 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 154, 155, 156, 157 ] + }, + "RXDISPERR": { + "direction": "output", + "bits": [ 158, 159, 160, 161 ] + }, + "RXNOTINTABLE": { + "direction": "output", + "bits": [ 162, 163, 164, 165 ] + }, + "RXPHMONITOR": { + "direction": "output", + "bits": [ 166, 167, 168, 169, 170 ] + }, + "RXPHSLIPMONITOR": { + "direction": "output", + "bits": [ 171, 172, 173, 174, 175 ] + }, + "CFGRESET": { + "direction": "input", + "bits": [ 176 ] + }, + "CLKRSVD0": { + "direction": "input", + "bits": [ 177 ] + }, + "CLKRSVD1": { + "direction": "input", + "bits": [ 178 ] + }, + "DMONFIFORESET": { + "direction": "input", + "bits": [ 179 ] + }, + "DMONITORCLK": { + "direction": "input", + "bits": [ 180 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 181 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 182 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 183 ] + }, + "EYESCANMODE": { + "direction": "input", + "bits": [ 184 ] + }, + "EYESCANRESET": { + "direction": "input", + "bits": [ 185 ] + }, + "EYESCANTRIGGER": { + "direction": "input", + "bits": [ 186 ] + }, + "GTPRXN": { + "direction": "input", + "bits": [ 187 ] + }, + "GTPRXP": { + "direction": "input", + "bits": [ 188 ] + }, + "GTRESETSEL": { + "direction": "input", + "bits": [ 189 ] + }, + "GTRXRESET": { + "direction": "input", + "bits": [ 190 ] + }, + "GTTXRESET": { + "direction": "input", + "bits": [ 191 ] + }, + "PLL0CLK": { + "direction": "input", + "bits": [ 192 ] + }, + "PLL0REFCLK": { + "direction": "input", + "bits": [ 193 ] + }, + "PLL1CLK": { + "direction": "input", + "bits": [ 194 ] + }, + "PLL1REFCLK": { + "direction": "input", + "bits": [ 195 ] + }, + "PMARSVDIN0": { + "direction": "input", + "bits": [ 196 ] + }, + "PMARSVDIN1": { + "direction": "input", + "bits": [ 197 ] + }, + "PMARSVDIN2": { + "direction": "input", + "bits": [ 198 ] + }, + "PMARSVDIN3": { + "direction": "input", + "bits": [ 199 ] + }, + "PMARSVDIN4": { + "direction": "input", + "bits": [ 200 ] + }, + "RESETOVRD": { + "direction": "input", + "bits": [ 201 ] + }, + "RX8B10BEN": { + "direction": "input", + "bits": [ 202 ] + }, + "RXBUFRESET": { + "direction": "input", + "bits": [ 203 ] + }, + "RXCDRFREQRESET": { + "direction": "input", + "bits": [ 204 ] + }, + "RXCDRHOLD": { + "direction": "input", + "bits": [ 205 ] + }, + "RXCDROVRDEN": { + "direction": "input", + "bits": [ 206 ] + }, + "RXCDRRESET": { + "direction": "input", + "bits": [ 207 ] + }, + "RXCDRRESETRSV": { + "direction": "input", + "bits": [ 208 ] + }, + "RXCHBONDEN": { + "direction": "input", + "bits": [ 209 ] + }, + "RXCHBONDMASTER": { + "direction": "input", + "bits": [ 210 ] + }, + "RXCHBONDSLAVE": { + "direction": "input", + "bits": [ 211 ] + }, + "RXCOMMADETEN": { + "direction": "input", + "bits": [ 212 ] + }, + "RXDDIEN": { + "direction": "input", + "bits": [ 213 ] + }, + "RXDFEXYDEN": { + "direction": "input", + "bits": [ 214 ] + }, + "RXDLYBYPASS": { + "direction": "input", + "bits": [ 215 ] + }, + "RXDLYEN": { + "direction": "input", + "bits": [ 216 ] + }, + "RXDLYOVRDEN": { + "direction": "input", + "bits": [ 217 ] + }, + "RXDLYSRESET": { + "direction": "input", + "bits": [ 218 ] + }, + "RXGEARBOXSLIP": { + "direction": "input", + "bits": [ 219 ] + }, + "RXLPMHFHOLD": { + "direction": "input", + "bits": [ 220 ] + }, + "RXLPMHFOVRDEN": { + "direction": "input", + "bits": [ 221 ] + }, + "RXLPMLFHOLD": { + "direction": "input", + "bits": [ 222 ] + }, + "RXLPMLFOVRDEN": { + "direction": "input", + "bits": [ 223 ] + }, + "RXLPMOSINTNTRLEN": { + "direction": "input", + "bits": [ 224 ] + }, + "RXLPMRESET": { + "direction": "input", + "bits": [ 225 ] + }, + "RXMCOMMAALIGNEN": { + "direction": "input", + "bits": [ 226 ] + }, + "RXOOBRESET": { + "direction": "input", + "bits": [ 227 ] + }, + "RXOSCALRESET": { + "direction": "input", + "bits": [ 228 ] + }, + "RXOSHOLD": { + "direction": "input", + "bits": [ 229 ] + }, + "RXOSINTEN": { + "direction": "input", + "bits": [ 230 ] + }, + "RXOSINTHOLD": { + "direction": "input", + "bits": [ 231 ] + }, + "RXOSINTNTRLEN": { + "direction": "input", + "bits": [ 232 ] + }, + "RXOSINTOVRDEN": { + "direction": "input", + "bits": [ 233 ] + }, + "RXOSINTPD": { + "direction": "input", + "bits": [ 234 ] + }, + "RXOSINTSTROBE": { + "direction": "input", + "bits": [ 235 ] + }, + "RXOSINTTESTOVRDEN": { + "direction": "input", + "bits": [ 236 ] + }, + "RXOSOVRDEN": { + "direction": "input", + "bits": [ 237 ] + }, + "RXPCOMMAALIGNEN": { + "direction": "input", + "bits": [ 238 ] + }, + "RXPCSRESET": { + "direction": "input", + "bits": [ 239 ] + }, + "RXPHALIGN": { + "direction": "input", + "bits": [ 240 ] + }, + "RXPHALIGNEN": { + "direction": "input", + "bits": [ 241 ] + }, + "RXPHDLYPD": { + "direction": "input", + "bits": [ 242 ] + }, + "RXPHDLYRESET": { + "direction": "input", + "bits": [ 243 ] + }, + "RXPHOVRDEN": { + "direction": "input", + "bits": [ 244 ] + }, + "RXPMARESET": { + "direction": "input", + "bits": [ 245 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 246 ] + }, + "RXPRBSCNTRESET": { + "direction": "input", + "bits": [ 247 ] + }, + "RXRATEMODE": { + "direction": "input", + "bits": [ 248 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 249 ] + }, + "RXSYNCALLIN": { + "direction": "input", + "bits": [ 250 ] + }, + "RXSYNCIN": { + "direction": "input", + "bits": [ 251 ] + }, + "RXSYNCMODE": { + "direction": "input", + "bits": [ 252 ] + }, + "RXUSERRDY": { + "direction": "input", + "bits": [ 253 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 254 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 255 ] + }, + "SETERRSTATUS": { + "direction": "input", + "bits": [ 256 ] + }, + "SIGVALIDCLK": { + "direction": "input", + "bits": [ 257 ] + }, + "TX8B10BEN": { + "direction": "input", + "bits": [ 258 ] + }, + "TXCOMINIT": { + "direction": "input", + "bits": [ 259 ] + }, + "TXCOMSAS": { + "direction": "input", + "bits": [ 260 ] + }, + "TXCOMWAKE": { + "direction": "input", + "bits": [ 261 ] + }, + "TXDEEMPH": { + "direction": "input", + "bits": [ 262 ] + }, + "TXDETECTRX": { + "direction": "input", + "bits": [ 263 ] + }, + "TXDIFFPD": { + "direction": "input", + "bits": [ 264 ] + }, + "TXDLYBYPASS": { + "direction": "input", + "bits": [ 265 ] + }, + "TXDLYEN": { + "direction": "input", + "bits": [ 266 ] + }, + "TXDLYHOLD": { + "direction": "input", + "bits": [ 267 ] + }, + "TXDLYOVRDEN": { + "direction": "input", + "bits": [ 268 ] + }, + "TXDLYSRESET": { + "direction": "input", + "bits": [ 269 ] + }, + "TXDLYUPDOWN": { + "direction": "input", + "bits": [ 270 ] + }, + "TXELECIDLE": { + "direction": "input", + "bits": [ 271 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 272 ] + }, + "TXPCSRESET": { + "direction": "input", + "bits": [ 273 ] + }, + "TXPDELECIDLEMODE": { + "direction": "input", + "bits": [ 274 ] + }, + "TXPHALIGN": { + "direction": "input", + "bits": [ 275 ] + }, + "TXPHALIGNEN": { + "direction": "input", + "bits": [ 276 ] + }, + "TXPHDLYPD": { + "direction": "input", + "bits": [ 277 ] + }, + "TXPHDLYRESET": { + "direction": "input", + "bits": [ 278 ] + }, + "TXPHDLYTSTCLK": { + "direction": "input", + "bits": [ 279 ] + }, + "TXPHINIT": { + "direction": "input", + "bits": [ 280 ] + }, + "TXPHOVRDEN": { + "direction": "input", + "bits": [ 281 ] + }, + "TXPIPPMEN": { + "direction": "input", + "bits": [ 282 ] + }, + "TXPIPPMOVRDEN": { + "direction": "input", + "bits": [ 283 ] + }, + "TXPIPPMPD": { + "direction": "input", + "bits": [ 284 ] + }, + "TXPIPPMSEL": { + "direction": "input", + "bits": [ 285 ] + }, + "TXPISOPD": { + "direction": "input", + "bits": [ 286 ] + }, + "TXPMARESET": { + "direction": "input", + "bits": [ 287 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 288 ] + }, + "TXPOSTCURSORINV": { + "direction": "input", + "bits": [ 289 ] + }, + "TXPRBSFORCEERR": { + "direction": "input", + "bits": [ 290 ] + }, + "TXPRECURSORINV": { + "direction": "input", + "bits": [ 291 ] + }, + "TXRATEMODE": { + "direction": "input", + "bits": [ 292 ] + }, + "TXSTARTSEQ": { + "direction": "input", + "bits": [ 293 ] + }, + "TXSWING": { + "direction": "input", + "bits": [ 294 ] + }, + "TXSYNCALLIN": { + "direction": "input", + "bits": [ 295 ] + }, + "TXSYNCIN": { + "direction": "input", + "bits": [ 296 ] + }, + "TXSYNCMODE": { + "direction": "input", + "bits": [ 297 ] + }, + "TXUSERRDY": { + "direction": "input", + "bits": [ 298 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 299 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 300 ] + }, + "RXADAPTSELTEST": { + "direction": "input", + "bits": [ 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330 ] + }, + "GTRSVD": { + "direction": "input", + "bits": [ 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346 ] + }, + "PCSRSVDIN": { + "direction": "input", + "bits": [ 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362 ] + }, + "TSTIN": { + "direction": "input", + "bits": [ 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382 ] + }, + "RXELECIDLEMODE": { + "direction": "input", + "bits": [ 383, 384 ] + }, + "RXPD": { + "direction": "input", + "bits": [ 385, 386 ] + }, + "RXSYSCLKSEL": { + "direction": "input", + "bits": [ 387, 388 ] + }, + "TXPD": { + "direction": "input", + "bits": [ 389, 390 ] + }, + "TXSYSCLKSEL": { + "direction": "input", + "bits": [ 391, 392 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 393, 394, 395 ] + }, + "RXCHBONDLEVEL": { + "direction": "input", + "bits": [ 396, 397, 398 ] + }, + "RXOUTCLKSEL": { + "direction": "input", + "bits": [ 399, 400, 401 ] + }, + "RXPRBSSEL": { + "direction": "input", + "bits": [ 402, 403, 404 ] + }, + "RXRATE": { + "direction": "input", + "bits": [ 405, 406, 407 ] + }, + "TXBUFDIFFCTRL": { + "direction": "input", + "bits": [ 408, 409, 410 ] + }, + "TXHEADER": { + "direction": "input", + "bits": [ 411, 412, 413 ] + }, + "TXMARGIN": { + "direction": "input", + "bits": [ 414, 415, 416 ] + }, + "TXOUTCLKSEL": { + "direction": "input", + "bits": [ 417, 418, 419 ] + }, + "TXPRBSSEL": { + "direction": "input", + "bits": [ 420, 421, 422 ] + }, + "TXRATE": { + "direction": "input", + "bits": [ 423, 424, 425 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 458, 459, 460, 461 ] + }, + "RXOSINTCFG": { + "direction": "input", + "bits": [ 462, 463, 464, 465 ] + }, + "RXOSINTID0": { + "direction": "input", + "bits": [ 466, 467, 468, 469 ] + }, + "TX8B10BBYPASS": { + "direction": "input", + "bits": [ 470, 471, 472, 473 ] + }, + "TXCHARDISPMODE": { + "direction": "input", + "bits": [ 474, 475, 476, 477 ] + }, + "TXCHARDISPVAL": { + "direction": "input", + "bits": [ 478, 479, 480, 481 ] + }, + "TXCHARISK": { + "direction": "input", + "bits": [ 482, 483, 484, 485 ] + }, + "TXDIFFCTRL": { + "direction": "input", + "bits": [ 486, 487, 488, 489 ] + }, + "TXPIPPMSTEPSIZE": { + "direction": "input", + "bits": [ 490, 491, 492, 493, 494 ] + }, + "TXPOSTCURSOR": { + "direction": "input", + "bits": [ 495, 496, 497, 498, 499 ] + }, + "TXPRECURSOR": { + "direction": "input", + "bits": [ 500, 501, 502, 503, 504 ] + }, + "TXMAINCURSOR": { + "direction": "input", + "bits": [ 505, 506, 507, 508, 509, 510, 511 ] + }, + "TXSEQUENCE": { + "direction": "input", + "bits": [ 512, 513, 514, 515, 516, 517, 518 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 519, 520, 521, 522, 523, 524, 525, 526, 527 ] + } + }, + "cells": { + }, + "netnames": { + "CFGRESET": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14222.11-14222.19" + } + }, + "CLKRSVD0": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "invertible_pin": "IS_CLKRSVD0_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14224.11-14224.19" + } + }, + "CLKRSVD1": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "invertible_pin": "IS_CLKRSVD1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14226.11-14226.19" + } + }, + "DMONFIFORESET": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14227.11-14227.24" + } + }, + "DMONITORCLK": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "invertible_pin": "IS_DMONITORCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14229.11-14229.22" + } + }, + "DMONITOROUT": { + "hide_name": 0, + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14204.19-14204.30" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 519, 520, 521, 522, 523, 524, 525, 526, 527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14392.17-14392.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "invertible_pin": "IS_DRPCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14231.11-14231.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14358.18-14358.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14205.19-14205.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14232.11-14232.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14156.12-14156.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14233.11-14233.16" + } + }, + "EYESCANDATAERROR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14157.12-14157.28" + } + }, + "EYESCANMODE": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14234.11-14234.22" + } + }, + "EYESCANRESET": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14235.11-14235.23" + } + }, + "EYESCANTRIGGER": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14236.11-14236.25" + } + }, + "GTPRXN": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14237.11-14237.17" + } + }, + "GTPRXP": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14238.11-14238.17" + } + }, + "GTPTXN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14158.12-14158.18" + } + }, + "GTPTXP": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14159.12-14159.18" + } + }, + "GTRESETSEL": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14239.11-14239.21" + } + }, + "GTRSVD": { + "hide_name": 0, + "bits": [ 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14359.18-14359.24" + } + }, + "GTRXRESET": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14240.11-14240.20" + } + }, + "GTTXRESET": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14241.11-14241.20" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 393, 394, 395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14367.17-14367.25" + } + }, + "PCSRSVDIN": { + "hide_name": 0, + "bits": [ 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14360.18-14360.27" + } + }, + "PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14206.19-14206.29" + } + }, + "PHYSTATUS": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14160.12-14160.21" + } + }, + "PLL0CLK": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14242.11-14242.18" + } + }, + "PLL0REFCLK": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14243.11-14243.21" + } + }, + "PLL1CLK": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14244.11-14244.18" + } + }, + "PLL1REFCLK": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14245.11-14245.21" + } + }, + "PMARSVDIN0": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14246.11-14246.21" + } + }, + "PMARSVDIN1": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14247.11-14247.21" + } + }, + "PMARSVDIN2": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14248.11-14248.21" + } + }, + "PMARSVDIN3": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14249.11-14249.21" + } + }, + "PMARSVDIN4": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14250.11-14250.21" + } + }, + "PMARSVDOUT0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14161.12-14161.23" + } + }, + "PMARSVDOUT1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14162.12-14162.23" + } + }, + "RESETOVRD": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14251.11-14251.20" + } + }, + "RX8B10BEN": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14252.11-14252.20" + } + }, + "RXADAPTSELTEST": { + "hide_name": 0, + "bits": [ 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14357.18-14357.32" + } + }, + "RXBUFRESET": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14253.11-14253.21" + } + }, + "RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 105, 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14211.18-14211.29" + } + }, + "RXBYTEISALIGNED": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14163.12-14163.27" + } + }, + "RXBYTEREALIGN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14164.12-14164.25" + } + }, + "RXCDRFREQRESET": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14254.11-14254.25" + } + }, + "RXCDRHOLD": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14255.11-14255.20" + } + }, + "RXCDRLOCK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14165.12-14165.21" + } + }, + "RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14256.11-14256.22" + } + }, + "RXCDRRESET": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14257.11-14257.21" + } + }, + "RXCDRRESETRSV": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14258.11-14258.24" + } + }, + "RXCHANBONDSEQ": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14166.12-14166.25" + } + }, + "RXCHANISALIGNED": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14167.12-14167.27" + } + }, + "RXCHANREALIGN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14168.12-14168.25" + } + }, + "RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 146, 147, 148, 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14215.18-14215.31" + } + }, + "RXCHARISK": { + "hide_name": 0, + "bits": [ 150, 151, 152, 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14216.18-14216.27" + } + }, + "RXCHBONDEN": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14259.11-14259.21" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 458, 459, 460, 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14379.17-14379.26" + } + }, + "RXCHBONDLEVEL": { + "hide_name": 0, + "bits": [ 396, 397, 398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14368.17-14368.30" + } + }, + "RXCHBONDMASTER": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14260.11-14260.25" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 154, 155, 156, 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14217.18-14217.27" + } + }, + "RXCHBONDSLAVE": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14261.11-14261.24" + } + }, + "RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14207.18-14207.29" + } + }, + "RXCOMINITDET": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14169.12-14169.24" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14170.12-14170.22" + } + }, + "RXCOMMADETEN": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14262.11-14262.23" + } + }, + "RXCOMSASDET": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14171.12-14171.23" + } + }, + "RXCOMWAKEDET": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14172.12-14172.24" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14214.19-14214.25" + } + }, + "RXDATAVALID": { + "hide_name": 0, + "bits": [ 99, 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14208.18-14208.29" + } + }, + "RXDDIEN": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14263.11-14263.18" + } + }, + "RXDFEXYDEN": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14264.11-14264.21" + } + }, + "RXDISPERR": { + "hide_name": 0, + "bits": [ 158, 159, 160, 161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14218.18-14218.27" + } + }, + "RXDLYBYPASS": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14265.11-14265.22" + } + }, + "RXDLYEN": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14266.11-14266.18" + } + }, + "RXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14267.11-14267.22" + } + }, + "RXDLYSRESET": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14268.11-14268.22" + } + }, + "RXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14173.12-14173.27" + } + }, + "RXELECIDLE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14174.12-14174.22" + } + }, + "RXELECIDLEMODE": { + "hide_name": 0, + "bits": [ 383, 384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14362.17-14362.31" + } + }, + "RXGEARBOXSLIP": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14269.11-14269.24" + } + }, + "RXHEADER": { + "hide_name": 0, + "bits": [ 108, 109, 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14212.18-14212.26" + } + }, + "RXHEADERVALID": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14175.12-14175.25" + } + }, + "RXLPMHFHOLD": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14270.11-14270.22" + } + }, + "RXLPMHFOVRDEN": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14271.11-14271.24" + } + }, + "RXLPMLFHOLD": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14272.11-14272.22" + } + }, + "RXLPMLFOVRDEN": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14273.11-14273.24" + } + }, + "RXLPMOSINTNTRLEN": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14274.11-14274.27" + } + }, + "RXLPMRESET": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14275.11-14275.21" + } + }, + "RXMCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14276.11-14276.26" + } + }, + "RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 162, 163, 164, 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14219.18-14219.30" + } + }, + "RXOOBRESET": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14277.11-14277.21" + } + }, + "RXOSCALRESET": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14278.11-14278.23" + } + }, + "RXOSHOLD": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14279.11-14279.19" + } + }, + "RXOSINTCFG": { + "hide_name": 0, + "bits": [ 462, 463, 464, 465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14380.17-14380.27" + } + }, + "RXOSINTDONE": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14176.12-14176.23" + } + }, + "RXOSINTEN": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14280.11-14280.20" + } + }, + "RXOSINTHOLD": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14281.11-14281.22" + } + }, + "RXOSINTID0": { + "hide_name": 0, + "bits": [ 466, 467, 468, 469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14381.17-14381.27" + } + }, + "RXOSINTNTRLEN": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14282.11-14282.24" + } + }, + "RXOSINTOVRDEN": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14283.11-14283.24" + } + }, + "RXOSINTPD": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14284.11-14284.20" + } + }, + "RXOSINTSTARTED": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14177.12-14177.26" + } + }, + "RXOSINTSTROBE": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14285.11-14285.24" + } + }, + "RXOSINTSTROBEDONE": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14178.12-14178.29" + } + }, + "RXOSINTSTROBESTARTED": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14179.12-14179.32" + } + }, + "RXOSINTTESTOVRDEN": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14286.11-14286.28" + } + }, + "RXOSOVRDEN": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14287.11-14287.21" + } + }, + "RXOUTCLK": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14180.12-14180.20" + } + }, + "RXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14181.12-14181.26" + } + }, + "RXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14182.12-14182.23" + } + }, + "RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 399, 400, 401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14369.17-14369.28" + } + }, + "RXPCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14288.11-14288.26" + } + }, + "RXPCSRESET": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14289.11-14289.21" + } + }, + "RXPD": { + "hide_name": 0, + "bits": [ 385, 386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14363.17-14363.21" + } + }, + "RXPHALIGN": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14290.11-14290.20" + } + }, + "RXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14183.12-14183.25" + } + }, + "RXPHALIGNEN": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14291.11-14291.22" + } + }, + "RXPHDLYPD": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14292.11-14292.20" + } + }, + "RXPHDLYRESET": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14293.11-14293.23" + } + }, + "RXPHMONITOR": { + "hide_name": 0, + "bits": [ 166, 167, 168, 169, 170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14220.18-14220.29" + } + }, + "RXPHOVRDEN": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14294.11-14294.21" + } + }, + "RXPHSLIPMONITOR": { + "hide_name": 0, + "bits": [ 171, 172, 173, 174, 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14221.18-14221.33" + } + }, + "RXPMARESET": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14295.11-14295.21" + } + }, + "RXPMARESETDONE": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14184.12-14184.26" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14296.11-14296.21" + } + }, + "RXPRBSCNTRESET": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14297.11-14297.25" + } + }, + "RXPRBSERR": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14185.12-14185.21" + } + }, + "RXPRBSSEL": { + "hide_name": 0, + "bits": [ 402, 403, 404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14370.17-14370.26" + } + }, + "RXRATE": { + "hide_name": 0, + "bits": [ 405, 406, 407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14371.17-14371.23" + } + }, + "RXRATEDONE": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14186.12-14186.22" + } + }, + "RXRATEMODE": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14298.11-14298.21" + } + }, + "RXRESETDONE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14187.12-14187.23" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14299.11-14299.18" + } + }, + "RXSTARTOFSEQ": { + "hide_name": 0, + "bits": [ 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14209.18-14209.30" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 111, 112, 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14213.18-14213.26" + } + }, + "RXSYNCALLIN": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14300.11-14300.22" + } + }, + "RXSYNCDONE": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14188.12-14188.22" + } + }, + "RXSYNCIN": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14301.11-14301.19" + } + }, + "RXSYNCMODE": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14302.11-14302.21" + } + }, + "RXSYNCOUT": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14189.12-14189.21" + } + }, + "RXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 387, 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14364.17-14364.28" + } + }, + "RXUSERRDY": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14303.11-14303.20" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "invertible_pin": "IS_RXUSRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14307.11-14307.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "invertible_pin": "IS_RXUSRCLK2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14305.11-14305.20" + } + }, + "RXVALID": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14190.12-14190.19" + } + }, + "SETERRSTATUS": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14308.11-14308.23" + } + }, + "SIGVALIDCLK": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "invertible_pin": "IS_SIGVALIDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14310.11-14310.22" + } + }, + "TSTIN": { + "hide_name": 0, + "bits": [ 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14361.18-14361.23" + } + }, + "TX8B10BBYPASS": { + "hide_name": 0, + "bits": [ 470, 471, 472, 473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14382.17-14382.30" + } + }, + "TX8B10BEN": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14311.11-14311.20" + } + }, + "TXBUFDIFFCTRL": { + "hide_name": 0, + "bits": [ 408, 409, 410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14372.17-14372.30" + } + }, + "TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14210.18-14210.29" + } + }, + "TXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 474, 475, 476, 477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14383.17-14383.31" + } + }, + "TXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 478, 479, 480, 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14384.17-14384.30" + } + }, + "TXCHARISK": { + "hide_name": 0, + "bits": [ 482, 483, 484, 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14385.17-14385.26" + } + }, + "TXCOMFINISH": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14191.12-14191.23" + } + }, + "TXCOMINIT": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14312.11-14312.20" + } + }, + "TXCOMSAS": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14313.11-14313.19" + } + }, + "TXCOMWAKE": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14314.11-14314.20" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14378.18-14378.24" + } + }, + "TXDEEMPH": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14315.11-14315.19" + } + }, + "TXDETECTRX": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14316.11-14316.21" + } + }, + "TXDIFFCTRL": { + "hide_name": 0, + "bits": [ 486, 487, 488, 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14386.17-14386.27" + } + }, + "TXDIFFPD": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14317.11-14317.19" + } + }, + "TXDLYBYPASS": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14318.11-14318.22" + } + }, + "TXDLYEN": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14319.11-14319.18" + } + }, + "TXDLYHOLD": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14320.11-14320.20" + } + }, + "TXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14321.11-14321.22" + } + }, + "TXDLYSRESET": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14322.11-14322.22" + } + }, + "TXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14192.12-14192.27" + } + }, + "TXDLYUPDOWN": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14323.11-14323.22" + } + }, + "TXELECIDLE": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14324.11-14324.21" + } + }, + "TXGEARBOXREADY": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14193.12-14193.26" + } + }, + "TXHEADER": { + "hide_name": 0, + "bits": [ 411, 412, 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14373.17-14373.25" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14325.11-14325.20" + } + }, + "TXMAINCURSOR": { + "hide_name": 0, + "bits": [ 505, 506, 507, 508, 509, 510, 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14390.17-14390.29" + } + }, + "TXMARGIN": { + "hide_name": 0, + "bits": [ 414, 415, 416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14374.17-14374.25" + } + }, + "TXOUTCLK": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14194.12-14194.20" + } + }, + "TXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14195.12-14195.26" + } + }, + "TXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14196.12-14196.23" + } + }, + "TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 417, 418, 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14375.17-14375.28" + } + }, + "TXPCSRESET": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14326.11-14326.21" + } + }, + "TXPD": { + "hide_name": 0, + "bits": [ 389, 390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14365.17-14365.21" + } + }, + "TXPDELECIDLEMODE": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14327.11-14327.27" + } + }, + "TXPHALIGN": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14328.11-14328.20" + } + }, + "TXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14197.12-14197.25" + } + }, + "TXPHALIGNEN": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14329.11-14329.22" + } + }, + "TXPHDLYPD": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14330.11-14330.20" + } + }, + "TXPHDLYRESET": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14331.11-14331.23" + } + }, + "TXPHDLYTSTCLK": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "invertible_pin": "IS_TXPHDLYTSTCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14333.11-14333.24" + } + }, + "TXPHINIT": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14334.11-14334.19" + } + }, + "TXPHINITDONE": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14198.12-14198.24" + } + }, + "TXPHOVRDEN": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14335.11-14335.21" + } + }, + "TXPIPPMEN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14336.11-14336.20" + } + }, + "TXPIPPMOVRDEN": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14337.11-14337.24" + } + }, + "TXPIPPMPD": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14338.11-14338.20" + } + }, + "TXPIPPMSEL": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14339.11-14339.21" + } + }, + "TXPIPPMSTEPSIZE": { + "hide_name": 0, + "bits": [ 490, 491, 492, 493, 494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14387.17-14387.32" + } + }, + "TXPISOPD": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14340.11-14340.19" + } + }, + "TXPMARESET": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14341.11-14341.21" + } + }, + "TXPMARESETDONE": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14199.12-14199.26" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14342.11-14342.21" + } + }, + "TXPOSTCURSOR": { + "hide_name": 0, + "bits": [ 495, 496, 497, 498, 499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14388.17-14388.29" + } + }, + "TXPOSTCURSORINV": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14343.11-14343.26" + } + }, + "TXPRBSFORCEERR": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14344.11-14344.25" + } + }, + "TXPRBSSEL": { + "hide_name": 0, + "bits": [ 420, 421, 422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14376.17-14376.26" + } + }, + "TXPRECURSOR": { + "hide_name": 0, + "bits": [ 500, 501, 502, 503, 504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14389.17-14389.28" + } + }, + "TXPRECURSORINV": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14345.11-14345.25" + } + }, + "TXRATE": { + "hide_name": 0, + "bits": [ 423, 424, 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14377.17-14377.23" + } + }, + "TXRATEDONE": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14200.12-14200.22" + } + }, + "TXRATEMODE": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14346.11-14346.21" + } + }, + "TXRESETDONE": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14201.12-14201.23" + } + }, + "TXSEQUENCE": { + "hide_name": 0, + "bits": [ 512, 513, 514, 515, 516, 517, 518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14391.17-14391.27" + } + }, + "TXSTARTSEQ": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14347.11-14347.21" + } + }, + "TXSWING": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14348.11-14348.18" + } + }, + "TXSYNCALLIN": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14349.11-14349.22" + } + }, + "TXSYNCDONE": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14202.12-14202.22" + } + }, + "TXSYNCIN": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14350.11-14350.19" + } + }, + "TXSYNCMODE": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14351.11-14351.21" + } + }, + "TXSYNCOUT": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14203.12-14203.21" + } + }, + "TXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 391, 392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14366.17-14366.28" + } + }, + "TXUSERRDY": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14352.11-14352.20" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "invertible_pin": "IS_TXUSRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14356.11-14356.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "invertible_pin": "IS_TXUSRCLK2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14354.11-14354.20" + } + } + } + }, + "GTPE2_COMMON": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14395.1-14477.10" + }, + "parameter_default_values": { + "BIAS_CFG": "0000000000000000000000000000000000000000000000000000000000000000", + "COMMON_CFG": "00000000000000000000000000000000", + "IS_DRPCLK_INVERTED": "0", + "IS_GTGREFCLK0_INVERTED": "0", + "IS_GTGREFCLK1_INVERTED": "0", + "IS_PLL0LOCKDETCLK_INVERTED": "0", + "IS_PLL1LOCKDETCLK_INVERTED": "0", + "PLL0_CFG": "000000111110000001111011100", + "PLL0_DMON_CFG": "0", + "PLL0_FBDIV": "00000000000000000000000000000100", + "PLL0_FBDIV_45": "00000000000000000000000000000101", + "PLL0_INIT_CFG": "000000000000000000011110", + "PLL0_LOCK_CFG": "111101000", + "PLL0_REFCLK_DIV": "00000000000000000000000000000001", + "PLL1_CFG": "000000111110000001111011100", + "PLL1_DMON_CFG": "0", + "PLL1_FBDIV": "00000000000000000000000000000100", + "PLL1_FBDIV_45": "00000000000000000000000000000101", + "PLL1_INIT_CFG": "000000000000000000011110", + "PLL1_LOCK_CFG": "111101000", + "PLL1_REFCLK_DIV": "00000000000000000000000000000001", + "PLL_CLKOUT_CFG": "00000000", + "RSVD_ATTR0": "0000000000000000", + "RSVD_ATTR1": "0000000000000000", + "SIM_PLL0REFCLK_SEL": "001", + "SIM_PLL1REFCLK_SEL": "001", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_VERSION": "1.0" + }, + "ports": { + "DRPRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "PLL0FBCLKLOST": { + "direction": "output", + "bits": [ 3 ] + }, + "PLL0LOCK": { + "direction": "output", + "bits": [ 4 ] + }, + "PLL0OUTCLK": { + "direction": "output", + "bits": [ 5 ] + }, + "PLL0OUTREFCLK": { + "direction": "output", + "bits": [ 6 ] + }, + "PLL0REFCLKLOST": { + "direction": "output", + "bits": [ 7 ] + }, + "PLL1FBCLKLOST": { + "direction": "output", + "bits": [ 8 ] + }, + "PLL1LOCK": { + "direction": "output", + "bits": [ 9 ] + }, + "PLL1OUTCLK": { + "direction": "output", + "bits": [ 10 ] + }, + "PLL1OUTREFCLK": { + "direction": "output", + "bits": [ 11 ] + }, + "PLL1REFCLKLOST": { + "direction": "output", + "bits": [ 12 ] + }, + "REFCLKOUTMONITOR0": { + "direction": "output", + "bits": [ 13 ] + }, + "REFCLKOUTMONITOR1": { + "direction": "output", + "bits": [ 14 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30 ] + }, + "PMARSVDOUT": { + "direction": "output", + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46 ] + }, + "DMONITOROUT": { + "direction": "output", + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "BGBYPASSB": { + "direction": "input", + "bits": [ 55 ] + }, + "BGMONITORENB": { + "direction": "input", + "bits": [ 56 ] + }, + "BGPDB": { + "direction": "input", + "bits": [ 57 ] + }, + "BGRCALOVRDENB": { + "direction": "input", + "bits": [ 58 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 59 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 60 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 61 ] + }, + "GTEASTREFCLK0": { + "direction": "input", + "bits": [ 62 ] + }, + "GTEASTREFCLK1": { + "direction": "input", + "bits": [ 63 ] + }, + "GTGREFCLK0": { + "direction": "input", + "bits": [ 64 ] + }, + "GTGREFCLK1": { + "direction": "input", + "bits": [ 65 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 66 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 67 ] + }, + "GTWESTREFCLK0": { + "direction": "input", + "bits": [ 68 ] + }, + "GTWESTREFCLK1": { + "direction": "input", + "bits": [ 69 ] + }, + "PLL0LOCKDETCLK": { + "direction": "input", + "bits": [ 70 ] + }, + "PLL0LOCKEN": { + "direction": "input", + "bits": [ 71 ] + }, + "PLL0PD": { + "direction": "input", + "bits": [ 72 ] + }, + "PLL0RESET": { + "direction": "input", + "bits": [ 73 ] + }, + "PLL1LOCKDETCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "PLL1LOCKEN": { + "direction": "input", + "bits": [ 75 ] + }, + "PLL1PD": { + "direction": "input", + "bits": [ 76 ] + }, + "PLL1RESET": { + "direction": "input", + "bits": [ 77 ] + }, + "RCALENB": { + "direction": "input", + "bits": [ 78 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94 ] + }, + "PLLRSVD1": { + "direction": "input", + "bits": [ 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ] + }, + "PLL0REFCLKSEL": { + "direction": "input", + "bits": [ 111, 112, 113 ] + }, + "PLL1REFCLKSEL": { + "direction": "input", + "bits": [ 114, 115, 116 ] + }, + "BGRCALOVRD": { + "direction": "input", + "bits": [ 117, 118, 119, 120, 121 ] + }, + "PLLRSVD2": { + "direction": "input", + "bits": [ 122, 123, 124, 125, 126 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 127, 128, 129, 130, 131, 132, 133, 134 ] + }, + "PMARSVD": { + "direction": "input", + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142 ] + } + }, + "cells": { + }, + "netnames": { + "BGBYPASSB": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14440.11-14440.20" + } + }, + "BGMONITORENB": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14441.11-14441.23" + } + }, + "BGPDB": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14442.11-14442.16" + } + }, + "BGRCALOVRD": { + "hide_name": 0, + "bits": [ 117, 118, 119, 120, 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14473.17-14473.27" + } + }, + "BGRCALOVRDENB": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14443.11-14443.24" + } + }, + "DMONITOROUT": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14439.18-14439.29" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 127, 128, 129, 130, 131, 132, 133, 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14475.17-14475.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "invertible_pin": "IS_DRPCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14445.11-14445.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14469.18-14469.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14437.19-14437.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14446.11-14446.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14424.12-14424.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14447.11-14447.16" + } + }, + "GTEASTREFCLK0": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14448.11-14448.24" + } + }, + "GTEASTREFCLK1": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14449.11-14449.24" + } + }, + "GTGREFCLK0": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "invertible_pin": "IS_GTGREFCLK0_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14451.11-14451.21" + } + }, + "GTGREFCLK1": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "invertible_pin": "IS_GTGREFCLK1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14453.11-14453.21" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14454.11-14454.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14455.11-14455.20" + } + }, + "GTWESTREFCLK0": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14456.11-14456.24" + } + }, + "GTWESTREFCLK1": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14457.11-14457.24" + } + }, + "PLL0FBCLKLOST": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14425.12-14425.25" + } + }, + "PLL0LOCK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14426.12-14426.20" + } + }, + "PLL0LOCKDETCLK": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "invertible_pin": "IS_PLL0LOCKDETCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14459.11-14459.25" + } + }, + "PLL0LOCKEN": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14460.11-14460.21" + } + }, + "PLL0OUTCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14427.12-14427.22" + } + }, + "PLL0OUTREFCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14428.12-14428.25" + } + }, + "PLL0PD": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14461.11-14461.17" + } + }, + "PLL0REFCLKLOST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14429.12-14429.26" + } + }, + "PLL0REFCLKSEL": { + "hide_name": 0, + "bits": [ 111, 112, 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14471.17-14471.30" + } + }, + "PLL0RESET": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14462.11-14462.20" + } + }, + "PLL1FBCLKLOST": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14430.12-14430.25" + } + }, + "PLL1LOCK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14431.12-14431.20" + } + }, + "PLL1LOCKDETCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "invertible_pin": "IS_PLL1LOCKDETCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14464.11-14464.25" + } + }, + "PLL1LOCKEN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14465.11-14465.21" + } + }, + "PLL1OUTCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14432.12-14432.22" + } + }, + "PLL1OUTREFCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14433.12-14433.25" + } + }, + "PLL1PD": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14466.11-14466.17" + } + }, + "PLL1REFCLKLOST": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14434.12-14434.26" + } + }, + "PLL1REFCLKSEL": { + "hide_name": 0, + "bits": [ 114, 115, 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14472.17-14472.30" + } + }, + "PLL1RESET": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14467.11-14467.20" + } + }, + "PLLRSVD1": { + "hide_name": 0, + "bits": [ 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14470.18-14470.26" + } + }, + "PLLRSVD2": { + "hide_name": 0, + "bits": [ 122, 123, 124, 125, 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14474.17-14474.25" + } + }, + "PMARSVD": { + "hide_name": 0, + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14476.17-14476.24" + } + }, + "PMARSVDOUT": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14438.19-14438.29" + } + }, + "RCALENB": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14468.11-14468.18" + } + }, + "REFCLKOUTMONITOR0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14435.12-14435.29" + } + }, + "REFCLKOUTMONITOR1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14436.12-14436.29" + } + } + } + }, + "GTP_DUAL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11624.1-11979.10" + }, + "parameter_default_values": { + "AC_CAP_DIS_0": "TRUE", + "AC_CAP_DIS_1": "TRUE", + "ALIGN_COMMA_WORD_0": "00000000000000000000000000000001", + "ALIGN_COMMA_WORD_1": "00000000000000000000000000000001", + "CHAN_BOND_1_MAX_SKEW_0": "00000000000000000000000000000111", + "CHAN_BOND_1_MAX_SKEW_1": "00000000000000000000000000000111", + "CHAN_BOND_2_MAX_SKEW_0": "00000000000000000000000000000001", + "CHAN_BOND_2_MAX_SKEW_1": "00000000000000000000000000000001", + "CHAN_BOND_LEVEL_0": "00000000000000000000000000000000", + "CHAN_BOND_LEVEL_1": "00000000000000000000000000000000", + "CHAN_BOND_MODE_0": "OFF", + "CHAN_BOND_MODE_1": "OFF", + "CHAN_BOND_SEQ_1_1_0": "0001001010", + "CHAN_BOND_SEQ_1_1_1": "0001001010", + "CHAN_BOND_SEQ_1_2_0": "0001001010", + "CHAN_BOND_SEQ_1_2_1": "0001001010", + "CHAN_BOND_SEQ_1_3_0": "0001001010", + "CHAN_BOND_SEQ_1_3_1": "0001001010", + "CHAN_BOND_SEQ_1_4_0": "0110111100", + "CHAN_BOND_SEQ_1_4_1": "0110111100", + "CHAN_BOND_SEQ_1_ENABLE_0": "1111", + "CHAN_BOND_SEQ_1_ENABLE_1": "1111", + "CHAN_BOND_SEQ_2_1_0": "0110111100", + "CHAN_BOND_SEQ_2_1_1": "0110111100", + "CHAN_BOND_SEQ_2_2_0": "0100111100", + "CHAN_BOND_SEQ_2_2_1": "0100111100", + "CHAN_BOND_SEQ_2_3_0": "0100111100", + "CHAN_BOND_SEQ_2_3_1": "0100111100", + "CHAN_BOND_SEQ_2_4_0": "0100111100", + "CHAN_BOND_SEQ_2_4_1": "0100111100", + "CHAN_BOND_SEQ_2_ENABLE_0": "1111", + "CHAN_BOND_SEQ_2_ENABLE_1": "1111", + "CHAN_BOND_SEQ_2_USE_0": "TRUE", + "CHAN_BOND_SEQ_2_USE_1": "TRUE", + "CHAN_BOND_SEQ_LEN_0": "00000000000000000000000000000100", + "CHAN_BOND_SEQ_LEN_1": "00000000000000000000000000000100", + "CLK25_DIVIDER": "00000000000000000000000000000100", + "CLKINDC_B": "TRUE", + "CLK_CORRECT_USE_0": "TRUE", + "CLK_CORRECT_USE_1": "TRUE", + "CLK_COR_ADJ_LEN_0": "00000000000000000000000000000001", + "CLK_COR_ADJ_LEN_1": "00000000000000000000000000000001", + "CLK_COR_DET_LEN_0": "00000000000000000000000000000001", + "CLK_COR_DET_LEN_1": "00000000000000000000000000000001", + "CLK_COR_INSERT_IDLE_FLAG_0": "FALSE", + "CLK_COR_INSERT_IDLE_FLAG_1": "FALSE", + "CLK_COR_KEEP_IDLE_0": "FALSE", + "CLK_COR_KEEP_IDLE_1": "FALSE", + "CLK_COR_MAX_LAT_0": "00000000000000000000000000010010", + "CLK_COR_MAX_LAT_1": "00000000000000000000000000010010", + "CLK_COR_MIN_LAT_0": "00000000000000000000000000010000", + "CLK_COR_MIN_LAT_1": "00000000000000000000000000010000", + "CLK_COR_PRECEDENCE_0": "TRUE", + "CLK_COR_PRECEDENCE_1": "TRUE", + "CLK_COR_REPEAT_WAIT_0": "00000000000000000000000000000101", + "CLK_COR_REPEAT_WAIT_1": "00000000000000000000000000000101", + "CLK_COR_SEQ_1_1_0": "0100011100", + "CLK_COR_SEQ_1_1_1": "0100011100", + "CLK_COR_SEQ_1_2_0": "0000000000", + "CLK_COR_SEQ_1_2_1": "0000000000", + "CLK_COR_SEQ_1_3_0": "0000000000", + "CLK_COR_SEQ_1_3_1": "0000000000", + "CLK_COR_SEQ_1_4_0": "0000000000", + "CLK_COR_SEQ_1_4_1": "0000000000", + "CLK_COR_SEQ_1_ENABLE_0": "1111", + "CLK_COR_SEQ_1_ENABLE_1": "1111", + "CLK_COR_SEQ_2_1_0": "0000000000", + "CLK_COR_SEQ_2_1_1": "0000000000", + "CLK_COR_SEQ_2_2_0": "0000000000", + "CLK_COR_SEQ_2_2_1": "0000000000", + "CLK_COR_SEQ_2_3_0": "0000000000", + "CLK_COR_SEQ_2_3_1": "0000000000", + "CLK_COR_SEQ_2_4_0": "0000000000", + "CLK_COR_SEQ_2_4_1": "0000000000", + "CLK_COR_SEQ_2_ENABLE_0": "1111", + "CLK_COR_SEQ_2_ENABLE_1": "1111", + "CLK_COR_SEQ_2_USE_0": "FALSE", + "CLK_COR_SEQ_2_USE_1": "FALSE", + "COMMA_10B_ENABLE_0": "1111111111", + "COMMA_10B_ENABLE_1": "1111111111", + "COMMA_DOUBLE_0": "FALSE", + "COMMA_DOUBLE_1": "FALSE", + "COM_BURST_VAL_0": "1111", + "COM_BURST_VAL_1": "1111", + "DEC_MCOMMA_DETECT_0": "TRUE", + "DEC_MCOMMA_DETECT_1": "TRUE", + "DEC_PCOMMA_DETECT_0": "TRUE", + "DEC_PCOMMA_DETECT_1": "TRUE", + "DEC_VALID_COMMA_ONLY_0": "TRUE", + "DEC_VALID_COMMA_ONLY_1": "TRUE", + "MCOMMA_10B_VALUE_0": "1010000011", + "MCOMMA_10B_VALUE_1": "1010000011", + "MCOMMA_DETECT_0": "TRUE", + "MCOMMA_DETECT_1": "TRUE", + "OOBDETECT_THRESHOLD_0": "001", + "OOBDETECT_THRESHOLD_1": "001", + "OOB_CLK_DIVIDER": "00000000000000000000000000000100", + "OVERSAMPLE_MODE": "FALSE", + "PCI_EXPRESS_MODE_0": "TRUE", + "PCI_EXPRESS_MODE_1": "TRUE", + "PCOMMA_10B_VALUE_0": "0101111100", + "PCOMMA_10B_VALUE_1": "0101111100", + "PCOMMA_DETECT_0": "TRUE", + "PCOMMA_DETECT_1": "TRUE", + "PCS_COM_CFG": "0001011010000000101000001110", + "PLL_DIVSEL_FB": "00000000000000000000000000000101", + "PLL_DIVSEL_REF": "00000000000000000000000000000010", + "PLL_RXDIVSEL_OUT_0": "00000000000000000000000000000001", + "PLL_RXDIVSEL_OUT_1": "00000000000000000000000000000001", + "PLL_SATA_0": "FALSE", + "PLL_SATA_1": "FALSE", + "PLL_TXDIVSEL_COMM_OUT": "00000000000000000000000000000001", + "PLL_TXDIVSEL_OUT_0": "00000000000000000000000000000001", + "PLL_TXDIVSEL_OUT_1": "00000000000000000000000000000001", + "PMA_CDR_SCAN_0": "110110000000111011001000000", + "PMA_CDR_SCAN_1": "110110000000111011001000000", + "PMA_RX_CFG_0": "0100111110000000010001001", + "PMA_RX_CFG_1": "0100111110000000010001001", + "PRBS_ERR_THRESHOLD_0": "00000000000000000000000000000001", + "PRBS_ERR_THRESHOLD_1": "00000000000000000000000000000001", + "RCV_TERM_GND_0": "TRUE", + "RCV_TERM_GND_1": "TRUE", + "RCV_TERM_MID_0": "FALSE", + "RCV_TERM_MID_1": "FALSE", + "RCV_TERM_VTTRX_0": "FALSE", + "RCV_TERM_VTTRX_1": "FALSE", + "RX_BUFFER_USE_0": "TRUE", + "RX_BUFFER_USE_1": "TRUE", + "RX_DECODE_SEQ_MATCH_0": "TRUE", + "RX_DECODE_SEQ_MATCH_1": "TRUE", + "RX_LOSS_OF_SYNC_FSM_0": "FALSE", + "RX_LOSS_OF_SYNC_FSM_1": "FALSE", + "RX_LOS_INVALID_INCR_0": "00000000000000000000000000001000", + "RX_LOS_INVALID_INCR_1": "00000000000000000000000000001000", + "RX_LOS_THRESHOLD_0": "00000000000000000000000010000000", + "RX_LOS_THRESHOLD_1": "00000000000000000000000010000000", + "RX_SLIDE_MODE_0": "PCS", + "RX_SLIDE_MODE_1": "PCS", + "RX_STATUS_FMT_0": "PCIE", + "RX_STATUS_FMT_1": "PCIE", + "RX_XCLK_SEL_0": "RXREC", + "RX_XCLK_SEL_1": "RXREC", + "SATA_BURST_VAL_0": "100", + "SATA_BURST_VAL_1": "100", + "SATA_IDLE_VAL_0": "011", + "SATA_IDLE_VAL_1": "011", + "SATA_MAX_BURST_0": "00000000000000000000000000000111", + "SATA_MAX_BURST_1": "00000000000000000000000000000111", + "SATA_MAX_INIT_0": "00000000000000000000000000010110", + "SATA_MAX_INIT_1": "00000000000000000000000000010110", + "SATA_MAX_WAKE_0": "00000000000000000000000000000111", + "SATA_MAX_WAKE_1": "00000000000000000000000000000111", + "SATA_MIN_BURST_0": "00000000000000000000000000000100", + "SATA_MIN_BURST_1": "00000000000000000000000000000100", + "SATA_MIN_INIT_0": "00000000000000000000000000001100", + "SATA_MIN_INIT_1": "00000000000000000000000000001100", + "SATA_MIN_WAKE_0": "00000000000000000000000000000100", + "SATA_MIN_WAKE_1": "00000000000000000000000000000100", + "SIM_GTPRESET_SPEEDUP": "00000000000000000000000000000000", + "SIM_PLL_PERDIV2": "110010000", + "SIM_RECEIVER_DETECT_PASS0": "FALSE", + "SIM_RECEIVER_DETECT_PASS1": "FALSE", + "TERMINATION_CTRL": "10100", + "TERMINATION_IMP_0": "00000000000000000000000000110010", + "TERMINATION_IMP_1": "00000000000000000000000000110010", + "TERMINATION_OVRD": "FALSE", + "TRANS_TIME_FROM_P2_0": "0000000000111100", + "TRANS_TIME_FROM_P2_1": "0000000000111100", + "TRANS_TIME_NON_P2_0": "0000000000011001", + "TRANS_TIME_NON_P2_1": "0000000000011001", + "TRANS_TIME_TO_P2_0": "0000000001100100", + "TRANS_TIME_TO_P2_1": "0000000001100100", + "TXRX_INVERT_0": "00000", + "TXRX_INVERT_1": "00000", + "TX_BUFFER_USE_0": "TRUE", + "TX_BUFFER_USE_1": "TRUE", + "TX_DIFF_BOOST_0": "TRUE", + "TX_DIFF_BOOST_1": "TRUE", + "TX_SYNC_FILTERB": "00000000000000000000000000000001", + "TX_XCLK_SEL_0": "TXUSR", + "TX_XCLK_SEL_1": "TXUSR" + }, + "ports": { + "DRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "PHYSTATUS0": { + "direction": "output", + "bits": [ 3 ] + }, + "PHYSTATUS1": { + "direction": "output", + "bits": [ 4 ] + }, + "PLLLKDET": { + "direction": "output", + "bits": [ 5 ] + }, + "REFCLKOUT": { + "direction": "output", + "bits": [ 6 ] + }, + "RESETDONE0": { + "direction": "output", + "bits": [ 7 ] + }, + "RESETDONE1": { + "direction": "output", + "bits": [ 8 ] + }, + "RXBYTEISALIGNED0": { + "direction": "output", + "bits": [ 9 ] + }, + "RXBYTEISALIGNED1": { + "direction": "output", + "bits": [ 10 ] + }, + "RXBYTEREALIGN0": { + "direction": "output", + "bits": [ 11 ] + }, + "RXBYTEREALIGN1": { + "direction": "output", + "bits": [ 12 ] + }, + "RXCHANBONDSEQ0": { + "direction": "output", + "bits": [ 13 ] + }, + "RXCHANBONDSEQ1": { + "direction": "output", + "bits": [ 14 ] + }, + "RXCHANISALIGNED0": { + "direction": "output", + "bits": [ 15 ] + }, + "RXCHANISALIGNED1": { + "direction": "output", + "bits": [ 16 ] + }, + "RXCHANREALIGN0": { + "direction": "output", + "bits": [ 17 ] + }, + "RXCHANREALIGN1": { + "direction": "output", + "bits": [ 18 ] + }, + "RXCOMMADET0": { + "direction": "output", + "bits": [ 19 ] + }, + "RXCOMMADET1": { + "direction": "output", + "bits": [ 20 ] + }, + "RXELECIDLE0": { + "direction": "output", + "bits": [ 21 ] + }, + "RXELECIDLE1": { + "direction": "output", + "bits": [ 22 ] + }, + "RXOVERSAMPLEERR0": { + "direction": "output", + "bits": [ 23 ] + }, + "RXOVERSAMPLEERR1": { + "direction": "output", + "bits": [ 24 ] + }, + "RXPRBSERR0": { + "direction": "output", + "bits": [ 25 ] + }, + "RXPRBSERR1": { + "direction": "output", + "bits": [ 26 ] + }, + "RXRECCLK0": { + "direction": "output", + "bits": [ 27 ] + }, + "RXRECCLK1": { + "direction": "output", + "bits": [ 28 ] + }, + "RXVALID0": { + "direction": "output", + "bits": [ 29 ] + }, + "RXVALID1": { + "direction": "output", + "bits": [ 30 ] + }, + "TXN0": { + "direction": "output", + "bits": [ 31 ] + }, + "TXN1": { + "direction": "output", + "bits": [ 32 ] + }, + "TXOUTCLK0": { + "direction": "output", + "bits": [ 33 ] + }, + "TXOUTCLK1": { + "direction": "output", + "bits": [ 34 ] + }, + "TXP0": { + "direction": "output", + "bits": [ 35 ] + }, + "TXP1": { + "direction": "output", + "bits": [ 36 ] + }, + "DO": { + "direction": "output", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "RXDATA0": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + }, + "RXDATA1": { + "direction": "output", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ] + }, + "RXCHARISCOMMA0": { + "direction": "output", + "bits": [ 85, 86 ] + }, + "RXCHARISCOMMA1": { + "direction": "output", + "bits": [ 87, 88 ] + }, + "RXCHARISK0": { + "direction": "output", + "bits": [ 89, 90 ] + }, + "RXCHARISK1": { + "direction": "output", + "bits": [ 91, 92 ] + }, + "RXDISPERR0": { + "direction": "output", + "bits": [ 93, 94 ] + }, + "RXDISPERR1": { + "direction": "output", + "bits": [ 95, 96 ] + }, + "RXLOSSOFSYNC0": { + "direction": "output", + "bits": [ 97, 98 ] + }, + "RXLOSSOFSYNC1": { + "direction": "output", + "bits": [ 99, 100 ] + }, + "RXNOTINTABLE0": { + "direction": "output", + "bits": [ 101, 102 ] + }, + "RXNOTINTABLE1": { + "direction": "output", + "bits": [ 103, 104 ] + }, + "RXRUNDISP0": { + "direction": "output", + "bits": [ 105, 106 ] + }, + "RXRUNDISP1": { + "direction": "output", + "bits": [ 107, 108 ] + }, + "TXBUFSTATUS0": { + "direction": "output", + "bits": [ 109, 110 ] + }, + "TXBUFSTATUS1": { + "direction": "output", + "bits": [ 111, 112 ] + }, + "TXKERR0": { + "direction": "output", + "bits": [ 113, 114 ] + }, + "TXKERR1": { + "direction": "output", + "bits": [ 115, 116 ] + }, + "TXRUNDISP0": { + "direction": "output", + "bits": [ 117, 118 ] + }, + "TXRUNDISP1": { + "direction": "output", + "bits": [ 119, 120 ] + }, + "RXBUFSTATUS0": { + "direction": "output", + "bits": [ 121, 122, 123 ] + }, + "RXBUFSTATUS1": { + "direction": "output", + "bits": [ 124, 125, 126 ] + }, + "RXCHBONDO0": { + "direction": "output", + "bits": [ 127, 128, 129 ] + }, + "RXCHBONDO1": { + "direction": "output", + "bits": [ 130, 131, 132 ] + }, + "RXCLKCORCNT0": { + "direction": "output", + "bits": [ 133, 134, 135 ] + }, + "RXCLKCORCNT1": { + "direction": "output", + "bits": [ 136, 137, 138 ] + }, + "RXSTATUS0": { + "direction": "output", + "bits": [ 139, 140, 141 ] + }, + "RXSTATUS1": { + "direction": "output", + "bits": [ 142, 143, 144 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 145 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 146 ] + }, + "DEN": { + "direction": "input", + "bits": [ 147 ] + }, + "DWE": { + "direction": "input", + "bits": [ 148 ] + }, + "GTPRESET": { + "direction": "input", + "bits": [ 149 ] + }, + "INTDATAWIDTH": { + "direction": "input", + "bits": [ 150 ] + }, + "PLLLKDETEN": { + "direction": "input", + "bits": [ 151 ] + }, + "PLLPOWERDOWN": { + "direction": "input", + "bits": [ 152 ] + }, + "PRBSCNTRESET0": { + "direction": "input", + "bits": [ 153 ] + }, + "PRBSCNTRESET1": { + "direction": "input", + "bits": [ 154 ] + }, + "REFCLKPWRDNB": { + "direction": "input", + "bits": [ 155 ] + }, + "RXBUFRESET0": { + "direction": "input", + "bits": [ 156 ] + }, + "RXBUFRESET1": { + "direction": "input", + "bits": [ 157 ] + }, + "RXCDRRESET0": { + "direction": "input", + "bits": [ 158 ] + }, + "RXCDRRESET1": { + "direction": "input", + "bits": [ 159 ] + }, + "RXCOMMADETUSE0": { + "direction": "input", + "bits": [ 160 ] + }, + "RXCOMMADETUSE1": { + "direction": "input", + "bits": [ 161 ] + }, + "RXDATAWIDTH0": { + "direction": "input", + "bits": [ 162 ] + }, + "RXDATAWIDTH1": { + "direction": "input", + "bits": [ 163 ] + }, + "RXDEC8B10BUSE0": { + "direction": "input", + "bits": [ 164 ] + }, + "RXDEC8B10BUSE1": { + "direction": "input", + "bits": [ 165 ] + }, + "RXELECIDLERESET0": { + "direction": "input", + "bits": [ 166 ] + }, + "RXELECIDLERESET1": { + "direction": "input", + "bits": [ 167 ] + }, + "RXENCHANSYNC0": { + "direction": "input", + "bits": [ 168 ] + }, + "RXENCHANSYNC1": { + "direction": "input", + "bits": [ 169 ] + }, + "RXENELECIDLERESETB": { + "direction": "input", + "bits": [ 170 ] + }, + "RXENEQB0": { + "direction": "input", + "bits": [ 171 ] + }, + "RXENEQB1": { + "direction": "input", + "bits": [ 172 ] + }, + "RXENMCOMMAALIGN0": { + "direction": "input", + "bits": [ 173 ] + }, + "RXENMCOMMAALIGN1": { + "direction": "input", + "bits": [ 174 ] + }, + "RXENPCOMMAALIGN0": { + "direction": "input", + "bits": [ 175 ] + }, + "RXENPCOMMAALIGN1": { + "direction": "input", + "bits": [ 176 ] + }, + "RXENSAMPLEALIGN0": { + "direction": "input", + "bits": [ 177 ] + }, + "RXENSAMPLEALIGN1": { + "direction": "input", + "bits": [ 178 ] + }, + "RXN0": { + "direction": "input", + "bits": [ 179 ] + }, + "RXN1": { + "direction": "input", + "bits": [ 180 ] + }, + "RXP0": { + "direction": "input", + "bits": [ 181 ] + }, + "RXP1": { + "direction": "input", + "bits": [ 182 ] + }, + "RXPMASETPHASE0": { + "direction": "input", + "bits": [ 183 ] + }, + "RXPMASETPHASE1": { + "direction": "input", + "bits": [ 184 ] + }, + "RXPOLARITY0": { + "direction": "input", + "bits": [ 185 ] + }, + "RXPOLARITY1": { + "direction": "input", + "bits": [ 186 ] + }, + "RXRESET0": { + "direction": "input", + "bits": [ 187 ] + }, + "RXRESET1": { + "direction": "input", + "bits": [ 188 ] + }, + "RXSLIDE0": { + "direction": "input", + "bits": [ 189 ] + }, + "RXSLIDE1": { + "direction": "input", + "bits": [ 190 ] + }, + "RXUSRCLK0": { + "direction": "input", + "bits": [ 191 ] + }, + "RXUSRCLK1": { + "direction": "input", + "bits": [ 192 ] + }, + "RXUSRCLK20": { + "direction": "input", + "bits": [ 193 ] + }, + "RXUSRCLK21": { + "direction": "input", + "bits": [ 194 ] + }, + "TXCOMSTART0": { + "direction": "input", + "bits": [ 195 ] + }, + "TXCOMSTART1": { + "direction": "input", + "bits": [ 196 ] + }, + "TXCOMTYPE0": { + "direction": "input", + "bits": [ 197 ] + }, + "TXCOMTYPE1": { + "direction": "input", + "bits": [ 198 ] + }, + "TXDATAWIDTH0": { + "direction": "input", + "bits": [ 199 ] + }, + "TXDATAWIDTH1": { + "direction": "input", + "bits": [ 200 ] + }, + "TXDETECTRX0": { + "direction": "input", + "bits": [ 201 ] + }, + "TXDETECTRX1": { + "direction": "input", + "bits": [ 202 ] + }, + "TXELECIDLE0": { + "direction": "input", + "bits": [ 203 ] + }, + "TXELECIDLE1": { + "direction": "input", + "bits": [ 204 ] + }, + "TXENC8B10BUSE0": { + "direction": "input", + "bits": [ 205 ] + }, + "TXENC8B10BUSE1": { + "direction": "input", + "bits": [ 206 ] + }, + "TXENPMAPHASEALIGN": { + "direction": "input", + "bits": [ 207 ] + }, + "TXINHIBIT0": { + "direction": "input", + "bits": [ 208 ] + }, + "TXINHIBIT1": { + "direction": "input", + "bits": [ 209 ] + }, + "TXPMASETPHASE": { + "direction": "input", + "bits": [ 210 ] + }, + "TXPOLARITY0": { + "direction": "input", + "bits": [ 211 ] + }, + "TXPOLARITY1": { + "direction": "input", + "bits": [ 212 ] + }, + "TXRESET0": { + "direction": "input", + "bits": [ 213 ] + }, + "TXRESET1": { + "direction": "input", + "bits": [ 214 ] + }, + "TXUSRCLK0": { + "direction": "input", + "bits": [ 215 ] + }, + "TXUSRCLK1": { + "direction": "input", + "bits": [ 216 ] + }, + "TXUSRCLK20": { + "direction": "input", + "bits": [ 217 ] + }, + "TXUSRCLK21": { + "direction": "input", + "bits": [ 218 ] + }, + "DI": { + "direction": "input", + "bits": [ 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234 ] + }, + "TXDATA0": { + "direction": "input", + "bits": [ 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250 ] + }, + "TXDATA1": { + "direction": "input", + "bits": [ 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266 ] + }, + "RXENPRBSTST0": { + "direction": "input", + "bits": [ 267, 268 ] + }, + "RXENPRBSTST1": { + "direction": "input", + "bits": [ 269, 270 ] + }, + "RXEQMIX0": { + "direction": "input", + "bits": [ 271, 272 ] + }, + "RXEQMIX1": { + "direction": "input", + "bits": [ 273, 274 ] + }, + "RXPOWERDOWN0": { + "direction": "input", + "bits": [ 275, 276 ] + }, + "RXPOWERDOWN1": { + "direction": "input", + "bits": [ 277, 278 ] + }, + "TXBYPASS8B10B0": { + "direction": "input", + "bits": [ 279, 280 ] + }, + "TXBYPASS8B10B1": { + "direction": "input", + "bits": [ 281, 282 ] + }, + "TXCHARDISPMODE0": { + "direction": "input", + "bits": [ 283, 284 ] + }, + "TXCHARDISPMODE1": { + "direction": "input", + "bits": [ 285, 286 ] + }, + "TXCHARDISPVAL0": { + "direction": "input", + "bits": [ 287, 288 ] + }, + "TXCHARDISPVAL1": { + "direction": "input", + "bits": [ 289, 290 ] + }, + "TXCHARISK0": { + "direction": "input", + "bits": [ 291, 292 ] + }, + "TXCHARISK1": { + "direction": "input", + "bits": [ 293, 294 ] + }, + "TXENPRBSTST0": { + "direction": "input", + "bits": [ 295, 296 ] + }, + "TXENPRBSTST1": { + "direction": "input", + "bits": [ 297, 298 ] + }, + "TXPOWERDOWN0": { + "direction": "input", + "bits": [ 299, 300 ] + }, + "TXPOWERDOWN1": { + "direction": "input", + "bits": [ 301, 302 ] + }, + "LOOPBACK0": { + "direction": "input", + "bits": [ 303, 304, 305 ] + }, + "LOOPBACK1": { + "direction": "input", + "bits": [ 306, 307, 308 ] + }, + "RXCHBONDI0": { + "direction": "input", + "bits": [ 309, 310, 311 ] + }, + "RXCHBONDI1": { + "direction": "input", + "bits": [ 312, 313, 314 ] + }, + "TXBUFDIFFCTRL0": { + "direction": "input", + "bits": [ 315, 316, 317 ] + }, + "TXBUFDIFFCTRL1": { + "direction": "input", + "bits": [ 318, 319, 320 ] + }, + "TXDIFFCTRL0": { + "direction": "input", + "bits": [ 321, 322, 323 ] + }, + "TXDIFFCTRL1": { + "direction": "input", + "bits": [ 324, 325, 326 ] + }, + "TXPREEMPHASIS0": { + "direction": "input", + "bits": [ 327, 328, 329 ] + }, + "TXPREEMPHASIS1": { + "direction": "input", + "bits": [ 330, 331, 332 ] + }, + "GTPTEST": { + "direction": "input", + "bits": [ 333, 334, 335, 336 ] + }, + "RXEQPOLE0": { + "direction": "input", + "bits": [ 337, 338, 339, 340 ] + }, + "RXEQPOLE1": { + "direction": "input", + "bits": [ 341, 342, 343, 344 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 345, 346, 347, 348, 349, 350, 351 ] + } + }, + "cells": { + }, + "netnames": { + "CLKIN": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11870.11-11870.16" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 345, 346, 347, 348, 349, 350, 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11978.17-11978.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11871.11-11871.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11872.11-11872.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11944.18-11944.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11841.19-11841.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11806.12-11806.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11873.11-11873.14" + } + }, + "GTPRESET": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11874.11-11874.19" + } + }, + "GTPTEST": { + "hide_name": 0, + "bits": [ 333, 334, 335, 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11975.17-11975.24" + } + }, + "INTDATAWIDTH": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11875.11-11875.23" + } + }, + "LOOPBACK0": { + "hide_name": 0, + "bits": [ 303, 304, 305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11965.17-11965.26" + } + }, + "LOOPBACK1": { + "hide_name": 0, + "bits": [ 306, 307, 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11966.17-11966.26" + } + }, + "PHYSTATUS0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11807.12-11807.22" + } + }, + "PHYSTATUS1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11808.12-11808.22" + } + }, + "PLLLKDET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11809.12-11809.20" + } + }, + "PLLLKDETEN": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11876.11-11876.21" + } + }, + "PLLPOWERDOWN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11877.11-11877.23" + } + }, + "PRBSCNTRESET0": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11878.11-11878.24" + } + }, + "PRBSCNTRESET1": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11879.11-11879.24" + } + }, + "REFCLKOUT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11810.12-11810.21" + } + }, + "REFCLKPWRDNB": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11880.11-11880.23" + } + }, + "RESETDONE0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11811.12-11811.22" + } + }, + "RESETDONE1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11812.12-11812.22" + } + }, + "RXBUFRESET0": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11881.11-11881.22" + } + }, + "RXBUFRESET1": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11882.11-11882.22" + } + }, + "RXBUFSTATUS0": { + "hide_name": 0, + "bits": [ 121, 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11862.18-11862.30" + } + }, + "RXBUFSTATUS1": { + "hide_name": 0, + "bits": [ 124, 125, 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11863.18-11863.30" + } + }, + "RXBYTEISALIGNED0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11813.12-11813.28" + } + }, + "RXBYTEISALIGNED1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11814.12-11814.28" + } + }, + "RXBYTEREALIGN0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11815.12-11815.26" + } + }, + "RXBYTEREALIGN1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11816.12-11816.26" + } + }, + "RXCDRRESET0": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11883.11-11883.22" + } + }, + "RXCDRRESET1": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11884.11-11884.22" + } + }, + "RXCHANBONDSEQ0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11817.12-11817.26" + } + }, + "RXCHANBONDSEQ1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11818.12-11818.26" + } + }, + "RXCHANISALIGNED0": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11819.12-11819.28" + } + }, + "RXCHANISALIGNED1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11820.12-11820.28" + } + }, + "RXCHANREALIGN0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11821.12-11821.26" + } + }, + "RXCHANREALIGN1": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11822.12-11822.26" + } + }, + "RXCHARISCOMMA0": { + "hide_name": 0, + "bits": [ 85, 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11844.18-11844.32" + } + }, + "RXCHARISCOMMA1": { + "hide_name": 0, + "bits": [ 87, 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11845.18-11845.32" + } + }, + "RXCHARISK0": { + "hide_name": 0, + "bits": [ 89, 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11846.18-11846.28" + } + }, + "RXCHARISK1": { + "hide_name": 0, + "bits": [ 91, 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11847.18-11847.28" + } + }, + "RXCHBONDI0": { + "hide_name": 0, + "bits": [ 309, 310, 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11967.17-11967.27" + } + }, + "RXCHBONDI1": { + "hide_name": 0, + "bits": [ 312, 313, 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11968.17-11968.27" + } + }, + "RXCHBONDO0": { + "hide_name": 0, + "bits": [ 127, 128, 129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11864.18-11864.28" + } + }, + "RXCHBONDO1": { + "hide_name": 0, + "bits": [ 130, 131, 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11865.18-11865.28" + } + }, + "RXCLKCORCNT0": { + "hide_name": 0, + "bits": [ 133, 134, 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11866.18-11866.30" + } + }, + "RXCLKCORCNT1": { + "hide_name": 0, + "bits": [ 136, 137, 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11867.18-11867.30" + } + }, + "RXCOMMADET0": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11823.12-11823.23" + } + }, + "RXCOMMADET1": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11824.12-11824.23" + } + }, + "RXCOMMADETUSE0": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11885.11-11885.25" + } + }, + "RXCOMMADETUSE1": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11886.11-11886.25" + } + }, + "RXDATA0": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11842.19-11842.26" + } + }, + "RXDATA1": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11843.19-11843.26" + } + }, + "RXDATAWIDTH0": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11887.11-11887.23" + } + }, + "RXDATAWIDTH1": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11888.11-11888.23" + } + }, + "RXDEC8B10BUSE0": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11889.11-11889.25" + } + }, + "RXDEC8B10BUSE1": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11890.11-11890.25" + } + }, + "RXDISPERR0": { + "hide_name": 0, + "bits": [ 93, 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11848.18-11848.28" + } + }, + "RXDISPERR1": { + "hide_name": 0, + "bits": [ 95, 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11849.18-11849.28" + } + }, + "RXELECIDLE0": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11825.12-11825.23" + } + }, + "RXELECIDLE1": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11826.12-11826.23" + } + }, + "RXELECIDLERESET0": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11891.11-11891.27" + } + }, + "RXELECIDLERESET1": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11892.11-11892.27" + } + }, + "RXENCHANSYNC0": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11893.11-11893.24" + } + }, + "RXENCHANSYNC1": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11894.11-11894.24" + } + }, + "RXENELECIDLERESETB": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11895.11-11895.29" + } + }, + "RXENEQB0": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11896.11-11896.19" + } + }, + "RXENEQB1": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11897.11-11897.19" + } + }, + "RXENMCOMMAALIGN0": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11898.11-11898.27" + } + }, + "RXENMCOMMAALIGN1": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11899.11-11899.27" + } + }, + "RXENPCOMMAALIGN0": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11900.11-11900.27" + } + }, + "RXENPCOMMAALIGN1": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11901.11-11901.27" + } + }, + "RXENPRBSTST0": { + "hide_name": 0, + "bits": [ 267, 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11947.17-11947.29" + } + }, + "RXENPRBSTST1": { + "hide_name": 0, + "bits": [ 269, 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11948.17-11948.29" + } + }, + "RXENSAMPLEALIGN0": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11902.11-11902.27" + } + }, + "RXENSAMPLEALIGN1": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11903.11-11903.27" + } + }, + "RXEQMIX0": { + "hide_name": 0, + "bits": [ 271, 272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11949.17-11949.25" + } + }, + "RXEQMIX1": { + "hide_name": 0, + "bits": [ 273, 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11950.17-11950.25" + } + }, + "RXEQPOLE0": { + "hide_name": 0, + "bits": [ 337, 338, 339, 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11976.17-11976.26" + } + }, + "RXEQPOLE1": { + "hide_name": 0, + "bits": [ 341, 342, 343, 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11977.17-11977.26" + } + }, + "RXLOSSOFSYNC0": { + "hide_name": 0, + "bits": [ 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11850.18-11850.31" + } + }, + "RXLOSSOFSYNC1": { + "hide_name": 0, + "bits": [ 99, 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11851.18-11851.31" + } + }, + "RXN0": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11904.11-11904.15" + } + }, + "RXN1": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11905.11-11905.15" + } + }, + "RXNOTINTABLE0": { + "hide_name": 0, + "bits": [ 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11852.18-11852.31" + } + }, + "RXNOTINTABLE1": { + "hide_name": 0, + "bits": [ 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11853.18-11853.31" + } + }, + "RXOVERSAMPLEERR0": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11827.12-11827.28" + } + }, + "RXOVERSAMPLEERR1": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11828.12-11828.28" + } + }, + "RXP0": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11906.11-11906.15" + } + }, + "RXP1": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11907.11-11907.15" + } + }, + "RXPMASETPHASE0": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11908.11-11908.25" + } + }, + "RXPMASETPHASE1": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11909.11-11909.25" + } + }, + "RXPOLARITY0": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11910.11-11910.22" + } + }, + "RXPOLARITY1": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11911.11-11911.22" + } + }, + "RXPOWERDOWN0": { + "hide_name": 0, + "bits": [ 275, 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11951.17-11951.29" + } + }, + "RXPOWERDOWN1": { + "hide_name": 0, + "bits": [ 277, 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11952.17-11952.29" + } + }, + "RXPRBSERR0": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11829.12-11829.22" + } + }, + "RXPRBSERR1": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11830.12-11830.22" + } + }, + "RXRECCLK0": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11831.12-11831.21" + } + }, + "RXRECCLK1": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11832.12-11832.21" + } + }, + "RXRESET0": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11912.11-11912.19" + } + }, + "RXRESET1": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11913.11-11913.19" + } + }, + "RXRUNDISP0": { + "hide_name": 0, + "bits": [ 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11854.18-11854.28" + } + }, + "RXRUNDISP1": { + "hide_name": 0, + "bits": [ 107, 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11855.18-11855.28" + } + }, + "RXSLIDE0": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11914.11-11914.19" + } + }, + "RXSLIDE1": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11915.11-11915.19" + } + }, + "RXSTATUS0": { + "hide_name": 0, + "bits": [ 139, 140, 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11868.18-11868.27" + } + }, + "RXSTATUS1": { + "hide_name": 0, + "bits": [ 142, 143, 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11869.18-11869.27" + } + }, + "RXUSRCLK0": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11916.11-11916.20" + } + }, + "RXUSRCLK1": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11917.11-11917.20" + } + }, + "RXUSRCLK20": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11918.11-11918.21" + } + }, + "RXUSRCLK21": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11919.11-11919.21" + } + }, + "RXVALID0": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11833.12-11833.20" + } + }, + "RXVALID1": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11834.12-11834.20" + } + }, + "TXBUFDIFFCTRL0": { + "hide_name": 0, + "bits": [ 315, 316, 317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11969.17-11969.31" + } + }, + "TXBUFDIFFCTRL1": { + "hide_name": 0, + "bits": [ 318, 319, 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11970.17-11970.31" + } + }, + "TXBUFSTATUS0": { + "hide_name": 0, + "bits": [ 109, 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11856.18-11856.30" + } + }, + "TXBUFSTATUS1": { + "hide_name": 0, + "bits": [ 111, 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11857.18-11857.30" + } + }, + "TXBYPASS8B10B0": { + "hide_name": 0, + "bits": [ 279, 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11953.17-11953.31" + } + }, + "TXBYPASS8B10B1": { + "hide_name": 0, + "bits": [ 281, 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11954.17-11954.31" + } + }, + "TXCHARDISPMODE0": { + "hide_name": 0, + "bits": [ 283, 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11955.17-11955.32" + } + }, + "TXCHARDISPMODE1": { + "hide_name": 0, + "bits": [ 285, 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11956.17-11956.32" + } + }, + "TXCHARDISPVAL0": { + "hide_name": 0, + "bits": [ 287, 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11957.17-11957.31" + } + }, + "TXCHARDISPVAL1": { + "hide_name": 0, + "bits": [ 289, 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11958.17-11958.31" + } + }, + "TXCHARISK0": { + "hide_name": 0, + "bits": [ 291, 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11959.17-11959.27" + } + }, + "TXCHARISK1": { + "hide_name": 0, + "bits": [ 293, 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11960.17-11960.27" + } + }, + "TXCOMSTART0": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11920.11-11920.22" + } + }, + "TXCOMSTART1": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11921.11-11921.22" + } + }, + "TXCOMTYPE0": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11922.11-11922.21" + } + }, + "TXCOMTYPE1": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11923.11-11923.21" + } + }, + "TXDATA0": { + "hide_name": 0, + "bits": [ 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11945.18-11945.25" + } + }, + "TXDATA1": { + "hide_name": 0, + "bits": [ 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11946.18-11946.25" + } + }, + "TXDATAWIDTH0": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11924.11-11924.23" + } + }, + "TXDATAWIDTH1": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11925.11-11925.23" + } + }, + "TXDETECTRX0": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11926.11-11926.22" + } + }, + "TXDETECTRX1": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11927.11-11927.22" + } + }, + "TXDIFFCTRL0": { + "hide_name": 0, + "bits": [ 321, 322, 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11971.17-11971.28" + } + }, + "TXDIFFCTRL1": { + "hide_name": 0, + "bits": [ 324, 325, 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11972.17-11972.28" + } + }, + "TXELECIDLE0": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11928.11-11928.22" + } + }, + "TXELECIDLE1": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11929.11-11929.22" + } + }, + "TXENC8B10BUSE0": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11930.11-11930.25" + } + }, + "TXENC8B10BUSE1": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11931.11-11931.25" + } + }, + "TXENPMAPHASEALIGN": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11932.11-11932.28" + } + }, + "TXENPRBSTST0": { + "hide_name": 0, + "bits": [ 295, 296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11961.17-11961.29" + } + }, + "TXENPRBSTST1": { + "hide_name": 0, + "bits": [ 297, 298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11962.17-11962.29" + } + }, + "TXINHIBIT0": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11933.11-11933.21" + } + }, + "TXINHIBIT1": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11934.11-11934.21" + } + }, + "TXKERR0": { + "hide_name": 0, + "bits": [ 113, 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11858.18-11858.25" + } + }, + "TXKERR1": { + "hide_name": 0, + "bits": [ 115, 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11859.18-11859.25" + } + }, + "TXN0": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11835.12-11835.16" + } + }, + "TXN1": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11836.12-11836.16" + } + }, + "TXOUTCLK0": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11837.12-11837.21" + } + }, + "TXOUTCLK1": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11838.12-11838.21" + } + }, + "TXP0": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11839.12-11839.16" + } + }, + "TXP1": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11840.12-11840.16" + } + }, + "TXPMASETPHASE": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11935.11-11935.24" + } + }, + "TXPOLARITY0": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11936.11-11936.22" + } + }, + "TXPOLARITY1": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11937.11-11937.22" + } + }, + "TXPOWERDOWN0": { + "hide_name": 0, + "bits": [ 299, 300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11963.17-11963.29" + } + }, + "TXPOWERDOWN1": { + "hide_name": 0, + "bits": [ 301, 302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11964.17-11964.29" + } + }, + "TXPREEMPHASIS0": { + "hide_name": 0, + "bits": [ 327, 328, 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11973.17-11973.31" + } + }, + "TXPREEMPHASIS1": { + "hide_name": 0, + "bits": [ 330, 331, 332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11974.17-11974.31" + } + }, + "TXRESET0": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11938.11-11938.19" + } + }, + "TXRESET1": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11939.11-11939.19" + } + }, + "TXRUNDISP0": { + "hide_name": 0, + "bits": [ 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11860.18-11860.28" + } + }, + "TXRUNDISP1": { + "hide_name": 0, + "bits": [ 119, 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11861.18-11861.28" + } + }, + "TXUSRCLK0": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11940.11-11940.20" + } + }, + "TXUSRCLK1": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11941.11-11941.20" + } + }, + "TXUSRCLK20": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11942.11-11942.21" + } + }, + "TXUSRCLK21": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11943.11-11943.21" + } + } + } + }, + "GTXE1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12907.1-13257.10" + }, + "parameter_default_values": { + "AC_CAP_DIS": "TRUE", + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "BGTEST_CFG": "00", + "BIAS_CFG": "00000000000000000", + "CDR_PH_ADJ_TIME": "10100", + "CHAN_BOND_1_MAX_SKEW": "00000000000000000000000000000111", + "CHAN_BOND_2_MAX_SKEW": "00000000000000000000000000000001", + "CHAN_BOND_KEEP_ALIGN": "FALSE", + "CHAN_BOND_SEQ_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2": "0001001010", + "CHAN_BOND_SEQ_1_3": "0001001010", + "CHAN_BOND_SEQ_1_4": "0110111100", + "CHAN_BOND_SEQ_1_ENABLE": "1111", + "CHAN_BOND_SEQ_2_1": "0100111100", + "CHAN_BOND_SEQ_2_2": "0100111100", + "CHAN_BOND_SEQ_2_3": "0110111100", + "CHAN_BOND_SEQ_2_4": "0100111100", + "CHAN_BOND_SEQ_2_CFG": "00000", + "CHAN_BOND_SEQ_2_ENABLE": "1111", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000001", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_ADJ_LEN": "00000000000000000000000000000001", + "CLK_COR_DET_LEN": "00000000000000000000000000000001", + "CLK_COR_INSERT_IDLE_FLAG": "FALSE", + "CLK_COR_KEEP_IDLE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE": "TRUE", + "CLK_COR_REPEAT_WAIT": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1": "0100011100", + "CLK_COR_SEQ_1_2": "0000000000", + "CLK_COR_SEQ_1_3": "0000000000", + "CLK_COR_SEQ_1_4": "0000000000", + "CLK_COR_SEQ_1_ENABLE": "1111", + "CLK_COR_SEQ_2_1": "0000000000", + "CLK_COR_SEQ_2_2": "0000000000", + "CLK_COR_SEQ_2_3": "0000000000", + "CLK_COR_SEQ_2_4": "0000000000", + "CLK_COR_SEQ_2_ENABLE": "1111", + "CLK_COR_SEQ_2_USE": "FALSE", + "CM_TRIM": "01", + "COMMA_10B_ENABLE": "1111111111", + "COMMA_DOUBLE": "FALSE", + "COM_BURST_VAL": "1111", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DFE_CAL_TIME": "01100", + "DFE_CFG": "00011011", + "GEARBOX_ENDEC": "000", + "GEN_RXUSRCLK": "TRUE", + "GEN_TXUSRCLK": "TRUE", + "GTX_CFG_PWRUP": "TRUE", + "MCOMMA_10B_VALUE": "1010000011", + "MCOMMA_DETECT": "TRUE", + "OOBDETECT_THRESHOLD": "011", + "PCI_EXPRESS_MODE": "FALSE", + "PCOMMA_10B_VALUE": "0101111100", + "PCOMMA_DETECT": "TRUE", + "PMA_CAS_CLK_EN": "FALSE", + "PMA_CDR_SCAN": "110010000000100000001001100", + "PMA_CFG": "0000000001000000000000000000000001000000000000000000000000000000000000000011", + "PMA_RXSYNC_CFG": "0000000", + "PMA_RX_CFG": "0010111001110000001001000", + "PMA_TX_CFG": "00000000000010000010", + "POWER_SAVE": "0000110100", + "RCV_TERM_GND": "FALSE", + "RCV_TERM_VTTRX": "TRUE", + "RXGEARBOX_USE": "FALSE", + "RXPLL_COM_CFG": "001000010110100000001010", + "RXPLL_CP_CFG": "00000000", + "RXPLL_DIVSEL45_FB": "00000000000000000000000000000101", + "RXPLL_DIVSEL_FB": "00000000000000000000000000000010", + "RXPLL_DIVSEL_OUT": "00000000000000000000000000000001", + "RXPLL_DIVSEL_REF": "00000000000000000000000000000001", + "RXPLL_LKDET_CFG": "111", + "RXPRBSERR_LOOPBACK": "0", + "RXRECCLK_CTRL": "RXRECCLKPCS", + "RXRECCLK_DLY": "0000000000", + "RXUSRCLK_DLY": "0000000000000000", + "RX_BUFFER_USE": "TRUE", + "RX_CLK25_DIVIDER": "00000000000000000000000000000110", + "RX_DATA_WIDTH": "00000000000000000000000000010100", + "RX_DECODE_SEQ_MATCH": "TRUE", + "RX_DLYALIGN_CTRINC": "0100", + "RX_DLYALIGN_EDGESET": "00110", + "RX_DLYALIGN_LPFINC": "0111", + "RX_DLYALIGN_MONSEL": "000", + "RX_DLYALIGN_OVRDSETTING": "00000000", + "RX_EN_IDLE_HOLD_CDR": "FALSE", + "RX_EN_IDLE_HOLD_DFE": "TRUE", + "RX_EN_IDLE_RESET_BUF": "TRUE", + "RX_EN_IDLE_RESET_FR": "TRUE", + "RX_EN_IDLE_RESET_PH": "TRUE", + "RX_EN_MODE_RESET_BUF": "TRUE", + "RX_EN_RATE_RESET_BUF": "TRUE", + "RX_EN_REALIGN_RESET_BUF": "FALSE", + "RX_EN_REALIGN_RESET_BUF2": "FALSE", + "RX_EYE_OFFSET": "01001100", + "RX_EYE_SCANMODE": "00", + "RX_FIFO_ADDR_MODE": "FULL", + "RX_IDLE_HI_CNT": "1000", + "RX_IDLE_LO_CNT": "0000", + "RX_LOSS_OF_SYNC_FSM": "FALSE", + "RX_LOS_INVALID_INCR": "00000000000000000000000000000001", + "RX_LOS_THRESHOLD": "00000000000000000000000000000100", + "RX_OVERSAMPLE_MODE": "FALSE", + "RX_SLIDE_AUTO_WAIT": "00000000000000000000000000000101", + "RX_SLIDE_MODE": "OFF", + "RX_XCLK_SEL": "RXREC", + "SAS_MAX_COMSAS": "00000000000000000000000000110100", + "SAS_MIN_COMSAS": "00000000000000000000000000101000", + "SATA_BURST_VAL": "100", + "SATA_IDLE_VAL": "100", + "SATA_MAX_BURST": "00000000000000000000000000000111", + "SATA_MAX_INIT": "00000000000000000000000000010110", + "SATA_MAX_WAKE": "00000000000000000000000000000111", + "SATA_MIN_BURST": "00000000000000000000000000000100", + "SATA_MIN_INIT": "00000000000000000000000000001100", + "SATA_MIN_WAKE": "00000000000000000000000000000100", + "SHOW_REALIGN_COMMA": "TRUE", + "SIM_GTXRESET_SPEEDUP": "00000000000000000000000000000001", + "SIM_RECEIVER_DETECT_PASS": "TRUE", + "SIM_RXREFCLK_SOURCE": "000", + "SIM_TXREFCLK_SOURCE": "000", + "SIM_TX_ELEC_IDLE_LEVEL": "X", + "SIM_VERSION": "2.0", + "TERMINATION_CTRL": "10100", + "TERMINATION_OVRD": "FALSE", + "TRANS_TIME_FROM_P2": "000000111100", + "TRANS_TIME_NON_P2": "00011001", + "TRANS_TIME_RATE": "00001110", + "TRANS_TIME_TO_P2": "0001100100", + "TST_ATTR": "00000000000000000000000000000000", + "TXDRIVE_LOOPBACK_HIZ": "FALSE", + "TXDRIVE_LOOPBACK_PD": "FALSE", + "TXGEARBOX_USE": "FALSE", + "TXOUTCLK_CTRL": "TXOUTCLKPCS", + "TXOUTCLK_DLY": "0000000000", + "TXPLL_COM_CFG": "001000010110100000001010", + "TXPLL_CP_CFG": "00000000", + "TXPLL_DIVSEL45_FB": "00000000000000000000000000000101", + "TXPLL_DIVSEL_FB": "00000000000000000000000000000010", + "TXPLL_DIVSEL_OUT": "00000000000000000000000000000001", + "TXPLL_DIVSEL_REF": "00000000000000000000000000000001", + "TXPLL_LKDET_CFG": "111", + "TXPLL_SATA": "00", + "TX_BUFFER_USE": "TRUE", + "TX_BYTECLK_CFG": "000000", + "TX_CLK25_DIVIDER": "00000000000000000000000000000110", + "TX_CLK_SOURCE": "RXPLL", + "TX_DATA_WIDTH": "00000000000000000000000000010100", + "TX_DEEMPH_0": "11010", + "TX_DEEMPH_1": "10000", + "TX_DETECT_RX_CFG": "01100000110010", + "TX_DLYALIGN_CTRINC": "0100", + "TX_DLYALIGN_LPFINC": "0110", + "TX_DLYALIGN_MONSEL": "000", + "TX_DLYALIGN_OVRDSETTING": "10000000", + "TX_DRIVE_MODE": "DIRECT", + "TX_EN_RATE_RESET_BUF": "TRUE", + "TX_IDLE_ASSERT_DELAY": "100", + "TX_IDLE_DEASSERT_DELAY": "010", + "TX_MARGIN_FULL_0": "1001110", + "TX_MARGIN_FULL_1": "1001001", + "TX_MARGIN_FULL_2": "1000101", + "TX_MARGIN_FULL_3": "1000010", + "TX_MARGIN_FULL_4": "1000000", + "TX_MARGIN_LOW_0": "1000110", + "TX_MARGIN_LOW_1": "1000100", + "TX_MARGIN_LOW_2": "1000010", + "TX_MARGIN_LOW_3": "1000000", + "TX_MARGIN_LOW_4": "1000000", + "TX_OVERSAMPLE_MODE": "FALSE", + "TX_PMADATA_OPT": "0", + "TX_TDCC_CFG": "11", + "TX_USRCLK_CFG": "000000", + "TX_XCLK_SEL": "TXUSR" + }, + "ports": { + "COMFINISH": { + "direction": "output", + "bits": [ 2 ] + }, + "COMINITDET": { + "direction": "output", + "bits": [ 3 ] + }, + "COMSASDET": { + "direction": "output", + "bits": [ 4 ] + }, + "COMWAKEDET": { + "direction": "output", + "bits": [ 5 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 6 ] + }, + "PHYSTATUS": { + "direction": "output", + "bits": [ 7 ] + }, + "RXBYTEISALIGNED": { + "direction": "output", + "bits": [ 8 ] + }, + "RXBYTEREALIGN": { + "direction": "output", + "bits": [ 9 ] + }, + "RXCHANBONDSEQ": { + "direction": "output", + "bits": [ 10 ] + }, + "RXCHANISALIGNED": { + "direction": "output", + "bits": [ 11 ] + }, + "RXCHANREALIGN": { + "direction": "output", + "bits": [ 12 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 13 ] + }, + "RXDATAVALID": { + "direction": "output", + "bits": [ 14 ] + }, + "RXELECIDLE": { + "direction": "output", + "bits": [ 15 ] + }, + "RXHEADERVALID": { + "direction": "output", + "bits": [ 16 ] + }, + "RXOVERSAMPLEERR": { + "direction": "output", + "bits": [ 17 ] + }, + "RXPLLLKDET": { + "direction": "output", + "bits": [ 18 ] + }, + "RXPRBSERR": { + "direction": "output", + "bits": [ 19 ] + }, + "RXRATEDONE": { + "direction": "output", + "bits": [ 20 ] + }, + "RXRECCLK": { + "direction": "output", + "bits": [ 21 ] + }, + "RXRECCLKPCS": { + "direction": "output", + "bits": [ 22 ] + }, + "RXRESETDONE": { + "direction": "output", + "bits": [ 23 ] + }, + "RXSTARTOFSEQ": { + "direction": "output", + "bits": [ 24 ] + }, + "RXVALID": { + "direction": "output", + "bits": [ 25 ] + }, + "TXGEARBOXREADY": { + "direction": "output", + "bits": [ 26 ] + }, + "TXN": { + "direction": "output", + "bits": [ 27 ] + }, + "TXOUTCLK": { + "direction": "output", + "bits": [ 28 ] + }, + "TXOUTCLKPCS": { + "direction": "output", + "bits": [ 29 ] + }, + "TXP": { + "direction": "output", + "bits": [ 30 ] + }, + "TXPLLLKDET": { + "direction": "output", + "bits": [ 31 ] + }, + "TXRATEDONE": { + "direction": "output", + "bits": [ 32 ] + }, + "TXRESETDONE": { + "direction": "output", + "bits": [ 33 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "MGTREFCLKFAB": { + "direction": "output", + "bits": [ 50, 51 ] + }, + "RXLOSSOFSYNC": { + "direction": "output", + "bits": [ 52, 53 ] + }, + "TXBUFSTATUS": { + "direction": "output", + "bits": [ 54, 55 ] + }, + "DFESENSCAL": { + "direction": "output", + "bits": [ 56, 57, 58 ] + }, + "RXBUFSTATUS": { + "direction": "output", + "bits": [ 59, 60, 61 ] + }, + "RXCLKCORCNT": { + "direction": "output", + "bits": [ 62, 63, 64 ] + }, + "RXHEADER": { + "direction": "output", + "bits": [ 65, 66, 67 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 68, 69, 70 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + }, + "DFETAP3MONITOR": { + "direction": "output", + "bits": [ 103, 104, 105, 106 ] + }, + "DFETAP4MONITOR": { + "direction": "output", + "bits": [ 107, 108, 109, 110 ] + }, + "RXCHARISCOMMA": { + "direction": "output", + "bits": [ 111, 112, 113, 114 ] + }, + "RXCHARISK": { + "direction": "output", + "bits": [ 115, 116, 117, 118 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 119, 120, 121, 122 ] + }, + "RXDISPERR": { + "direction": "output", + "bits": [ 123, 124, 125, 126 ] + }, + "RXNOTINTABLE": { + "direction": "output", + "bits": [ 127, 128, 129, 130 ] + }, + "RXRUNDISP": { + "direction": "output", + "bits": [ 131, 132, 133, 134 ] + }, + "TXKERR": { + "direction": "output", + "bits": [ 135, 136, 137, 138 ] + }, + "TXRUNDISP": { + "direction": "output", + "bits": [ 139, 140, 141, 142 ] + }, + "DFEEYEDACMON": { + "direction": "output", + "bits": [ 143, 144, 145, 146, 147 ] + }, + "DFETAP1MONITOR": { + "direction": "output", + "bits": [ 148, 149, 150, 151, 152 ] + }, + "DFETAP2MONITOR": { + "direction": "output", + "bits": [ 153, 154, 155, 156, 157 ] + }, + "DFECLKDLYADJMON": { + "direction": "output", + "bits": [ 158, 159, 160, 161, 162, 163 ] + }, + "RXDLYALIGNMONITOR": { + "direction": "output", + "bits": [ 164, 165, 166, 167, 168, 169, 170, 171 ] + }, + "TXDLYALIGNMONITOR": { + "direction": "output", + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179 ] + }, + "TSTOUT": { + "direction": "output", + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 190 ] + }, + "DEN": { + "direction": "input", + "bits": [ 191 ] + }, + "DFEDLYOVRD": { + "direction": "input", + "bits": [ 192 ] + }, + "DFETAPOVRD": { + "direction": "input", + "bits": [ 193 ] + }, + "DWE": { + "direction": "input", + "bits": [ 194 ] + }, + "GATERXELECIDLE": { + "direction": "input", + "bits": [ 195 ] + }, + "GREFCLKRX": { + "direction": "input", + "bits": [ 196 ] + }, + "GREFCLKTX": { + "direction": "input", + "bits": [ 197 ] + }, + "GTXRXRESET": { + "direction": "input", + "bits": [ 198 ] + }, + "GTXTXRESET": { + "direction": "input", + "bits": [ 199 ] + }, + "IGNORESIGDET": { + "direction": "input", + "bits": [ 200 ] + }, + "PERFCLKRX": { + "direction": "input", + "bits": [ 201 ] + }, + "PERFCLKTX": { + "direction": "input", + "bits": [ 202 ] + }, + "PLLRXRESET": { + "direction": "input", + "bits": [ 203 ] + }, + "PLLTXRESET": { + "direction": "input", + "bits": [ 204 ] + }, + "PRBSCNTRESET": { + "direction": "input", + "bits": [ 205 ] + }, + "RXBUFRESET": { + "direction": "input", + "bits": [ 206 ] + }, + "RXCDRRESET": { + "direction": "input", + "bits": [ 207 ] + }, + "RXCHBONDMASTER": { + "direction": "input", + "bits": [ 208 ] + }, + "RXCHBONDSLAVE": { + "direction": "input", + "bits": [ 209 ] + }, + "RXCOMMADETUSE": { + "direction": "input", + "bits": [ 210 ] + }, + "RXDEC8B10BUSE": { + "direction": "input", + "bits": [ 211 ] + }, + "RXDLYALIGNDISABLE": { + "direction": "input", + "bits": [ 212 ] + }, + "RXDLYALIGNMONENB": { + "direction": "input", + "bits": [ 213 ] + }, + "RXDLYALIGNOVERRIDE": { + "direction": "input", + "bits": [ 214 ] + }, + "RXDLYALIGNRESET": { + "direction": "input", + "bits": [ 215 ] + }, + "RXDLYALIGNSWPPRECURB": { + "direction": "input", + "bits": [ 216 ] + }, + "RXDLYALIGNUPDSW": { + "direction": "input", + "bits": [ 217 ] + }, + "RXENCHANSYNC": { + "direction": "input", + "bits": [ 218 ] + }, + "RXENMCOMMAALIGN": { + "direction": "input", + "bits": [ 219 ] + }, + "RXENPCOMMAALIGN": { + "direction": "input", + "bits": [ 220 ] + }, + "RXENPMAPHASEALIGN": { + "direction": "input", + "bits": [ 221 ] + }, + "RXENSAMPLEALIGN": { + "direction": "input", + "bits": [ 222 ] + }, + "RXGEARBOXSLIP": { + "direction": "input", + "bits": [ 223 ] + }, + "RXN": { + "direction": "input", + "bits": [ 224 ] + }, + "RXP": { + "direction": "input", + "bits": [ 225 ] + }, + "RXPLLLKDETEN": { + "direction": "input", + "bits": [ 226 ] + }, + "RXPLLPOWERDOWN": { + "direction": "input", + "bits": [ 227 ] + }, + "RXPMASETPHASE": { + "direction": "input", + "bits": [ 228 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 229 ] + }, + "RXRESET": { + "direction": "input", + "bits": [ 230 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 231 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 232 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 233 ] + }, + "TSTCLK0": { + "direction": "input", + "bits": [ 234 ] + }, + "TSTCLK1": { + "direction": "input", + "bits": [ 235 ] + }, + "TXCOMINIT": { + "direction": "input", + "bits": [ 236 ] + }, + "TXCOMSAS": { + "direction": "input", + "bits": [ 237 ] + }, + "TXCOMWAKE": { + "direction": "input", + "bits": [ 238 ] + }, + "TXDEEMPH": { + "direction": "input", + "bits": [ 239 ] + }, + "TXDETECTRX": { + "direction": "input", + "bits": [ 240 ] + }, + "TXDLYALIGNDISABLE": { + "direction": "input", + "bits": [ 241 ] + }, + "TXDLYALIGNMONENB": { + "direction": "input", + "bits": [ 242 ] + }, + "TXDLYALIGNOVERRIDE": { + "direction": "input", + "bits": [ 243 ] + }, + "TXDLYALIGNRESET": { + "direction": "input", + "bits": [ 244 ] + }, + "TXDLYALIGNUPDSW": { + "direction": "input", + "bits": [ 245 ] + }, + "TXELECIDLE": { + "direction": "input", + "bits": [ 246 ] + }, + "TXENC8B10BUSE": { + "direction": "input", + "bits": [ 247 ] + }, + "TXENPMAPHASEALIGN": { + "direction": "input", + "bits": [ 248 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 249 ] + }, + "TXPDOWNASYNCH": { + "direction": "input", + "bits": [ 250 ] + }, + "TXPLLLKDETEN": { + "direction": "input", + "bits": [ 251 ] + }, + "TXPLLPOWERDOWN": { + "direction": "input", + "bits": [ 252 ] + }, + "TXPMASETPHASE": { + "direction": "input", + "bits": [ 253 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 254 ] + }, + "TXPRBSFORCEERR": { + "direction": "input", + "bits": [ 255 ] + }, + "TXRESET": { + "direction": "input", + "bits": [ 256 ] + }, + "TXSTARTSEQ": { + "direction": "input", + "bits": [ 257 ] + }, + "TXSWING": { + "direction": "input", + "bits": [ 258 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 259 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 260 ] + }, + "USRCODEERR": { + "direction": "input", + "bits": [ 261 ] + }, + "GTXTEST": { + "direction": "input", + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ] + }, + "DI": { + "direction": "input", + "bits": [ 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290 ] + }, + "TSTIN": { + "direction": "input", + "bits": [ 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ] + }, + "MGTREFCLKRX": { + "direction": "input", + "bits": [ 311, 312 ] + }, + "MGTREFCLKTX": { + "direction": "input", + "bits": [ 313, 314 ] + }, + "NORTHREFCLKRX": { + "direction": "input", + "bits": [ 315, 316 ] + }, + "NORTHREFCLKTX": { + "direction": "input", + "bits": [ 317, 318 ] + }, + "RXPOWERDOWN": { + "direction": "input", + "bits": [ 319, 320 ] + }, + "RXRATE": { + "direction": "input", + "bits": [ 321, 322 ] + }, + "SOUTHREFCLKRX": { + "direction": "input", + "bits": [ 323, 324 ] + }, + "SOUTHREFCLKTX": { + "direction": "input", + "bits": [ 325, 326 ] + }, + "TXPOWERDOWN": { + "direction": "input", + "bits": [ 327, 328 ] + }, + "TXRATE": { + "direction": "input", + "bits": [ 329, 330 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 331, 332, 333 ] + }, + "RXCHBONDLEVEL": { + "direction": "input", + "bits": [ 334, 335, 336 ] + }, + "RXENPRBSTST": { + "direction": "input", + "bits": [ 337, 338, 339 ] + }, + "RXPLLREFSELDY": { + "direction": "input", + "bits": [ 340, 341, 342 ] + }, + "TXBUFDIFFCTRL": { + "direction": "input", + "bits": [ 343, 344, 345 ] + }, + "TXENPRBSTST": { + "direction": "input", + "bits": [ 346, 347, 348 ] + }, + "TXHEADER": { + "direction": "input", + "bits": [ 349, 350, 351 ] + }, + "TXMARGIN": { + "direction": "input", + "bits": [ 352, 353, 354 ] + }, + "TXPLLREFSELDY": { + "direction": "input", + "bits": [ 355, 356, 357 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389 ] + }, + "DFETAP3": { + "direction": "input", + "bits": [ 390, 391, 392, 393 ] + }, + "DFETAP4": { + "direction": "input", + "bits": [ 394, 395, 396, 397 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 398, 399, 400, 401 ] + }, + "TXBYPASS8B10B": { + "direction": "input", + "bits": [ 402, 403, 404, 405 ] + }, + "TXCHARDISPMODE": { + "direction": "input", + "bits": [ 406, 407, 408, 409 ] + }, + "TXCHARDISPVAL": { + "direction": "input", + "bits": [ 410, 411, 412, 413 ] + }, + "TXCHARISK": { + "direction": "input", + "bits": [ 414, 415, 416, 417 ] + }, + "TXDIFFCTRL": { + "direction": "input", + "bits": [ 418, 419, 420, 421 ] + }, + "TXPREEMPHASIS": { + "direction": "input", + "bits": [ 422, 423, 424, 425 ] + }, + "DFETAP1": { + "direction": "input", + "bits": [ 426, 427, 428, 429, 430 ] + }, + "DFETAP2": { + "direction": "input", + "bits": [ 431, 432, 433, 434, 435 ] + }, + "TXPOSTEMPHASIS": { + "direction": "input", + "bits": [ 436, 437, 438, 439, 440 ] + }, + "DFECLKDLYADJ": { + "direction": "input", + "bits": [ 441, 442, 443, 444, 445, 446 ] + }, + "TXSEQUENCE": { + "direction": "input", + "bits": [ 447, 448, 449, 450, 451, 452, 453 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ] + }, + "RXEQMIX": { + "direction": "input", + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469, 470, 471 ] + } + }, + "cells": { + }, + "netnames": { + "COMFINISH": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13087.12-13087.21" + } + }, + "COMINITDET": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13088.12-13088.22" + } + }, + "COMSASDET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13089.12-13089.21" + } + }, + "COMWAKEDET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13090.12-13090.22" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13255.17-13255.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13146.11-13146.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13147.11-13147.14" + } + }, + "DFECLKDLYADJ": { + "hide_name": 0, + "bits": [ 441, 442, 443, 444, 445, 446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13253.17-13253.29" + } + }, + "DFECLKDLYADJMON": { + "hide_name": 0, + "bits": [ 158, 159, 160, 161, 162, 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13142.18-13142.33" + } + }, + "DFEDLYOVRD": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13148.11-13148.21" + } + }, + "DFEEYEDACMON": { + "hide_name": 0, + "bits": [ 143, 144, 145, 146, 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13139.18-13139.30" + } + }, + "DFESENSCAL": { + "hide_name": 0, + "bits": [ 56, 57, 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13123.18-13123.28" + } + }, + "DFETAP1": { + "hide_name": 0, + "bits": [ 426, 427, 428, 429, 430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13250.17-13250.24" + } + }, + "DFETAP1MONITOR": { + "hide_name": 0, + "bits": [ 148, 149, 150, 151, 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13140.18-13140.32" + } + }, + "DFETAP2": { + "hide_name": 0, + "bits": [ 431, 432, 433, 434, 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13251.17-13251.24" + } + }, + "DFETAP2MONITOR": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13141.18-13141.32" + } + }, + "DFETAP3": { + "hide_name": 0, + "bits": [ 390, 391, 392, 393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13241.17-13241.24" + } + }, + "DFETAP3MONITOR": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13129.18-13129.32" + } + }, + "DFETAP4": { + "hide_name": 0, + "bits": [ 394, 395, 396, 397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13242.17-13242.24" + } + }, + "DFETAP4MONITOR": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13130.18-13130.32" + } + }, + "DFETAPOVRD": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13149.11-13149.21" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13219.18-13219.20" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13091.12-13091.16" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13119.19-13119.24" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13150.11-13150.14" + } + }, + "GATERXELECIDLE": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13151.11-13151.25" + } + }, + "GREFCLKRX": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13152.11-13152.20" + } + }, + "GREFCLKTX": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13153.11-13153.20" + } + }, + "GTXRXRESET": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13154.11-13154.21" + } + }, + "GTXTEST": { + "hide_name": 0, + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13218.18-13218.25" + } + }, + "GTXTXRESET": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13155.11-13155.21" + } + }, + "IGNORESIGDET": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13156.11-13156.23" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 331, 332, 333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13231.17-13231.25" + } + }, + "MGTREFCLKFAB": { + "hide_name": 0, + "bits": [ 50, 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13120.18-13120.30" + } + }, + "MGTREFCLKRX": { + "hide_name": 0, + "bits": [ 311, 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13221.17-13221.28" + } + }, + "MGTREFCLKTX": { + "hide_name": 0, + "bits": [ 313, 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13222.17-13222.28" + } + }, + "NORTHREFCLKRX": { + "hide_name": 0, + "bits": [ 315, 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13223.17-13223.30" + } + }, + "NORTHREFCLKTX": { + "hide_name": 0, + "bits": [ 317, 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13224.17-13224.30" + } + }, + "PERFCLKRX": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13157.11-13157.20" + } + }, + "PERFCLKTX": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13158.11-13158.20" + } + }, + "PHYSTATUS": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13092.12-13092.21" + } + }, + "PLLRXRESET": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13159.11-13159.21" + } + }, + "PLLTXRESET": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13160.11-13160.21" + } + }, + "PRBSCNTRESET": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13161.11-13161.23" + } + }, + "RXBUFRESET": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13162.11-13162.21" + } + }, + "RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13124.18-13124.29" + } + }, + "RXBYTEISALIGNED": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13093.12-13093.27" + } + }, + "RXBYTEREALIGN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13094.12-13094.25" + } + }, + "RXCDRRESET": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13163.11-13163.21" + } + }, + "RXCHANBONDSEQ": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13095.12-13095.25" + } + }, + "RXCHANISALIGNED": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13096.12-13096.27" + } + }, + "RXCHANREALIGN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13097.12-13097.25" + } + }, + "RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 111, 112, 113, 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13131.18-13131.31" + } + }, + "RXCHARISK": { + "hide_name": 0, + "bits": [ 115, 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13132.18-13132.27" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 398, 399, 400, 401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13243.17-13243.26" + } + }, + "RXCHBONDLEVEL": { + "hide_name": 0, + "bits": [ 334, 335, 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13232.17-13232.30" + } + }, + "RXCHBONDMASTER": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13164.11-13164.25" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 119, 120, 121, 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13133.18-13133.27" + } + }, + "RXCHBONDSLAVE": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13165.11-13165.24" + } + }, + "RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 62, 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13125.18-13125.29" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13098.12-13098.22" + } + }, + "RXCOMMADETUSE": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13166.11-13166.24" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13128.19-13128.25" + } + }, + "RXDATAVALID": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13099.12-13099.23" + } + }, + "RXDEC8B10BUSE": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13167.11-13167.24" + } + }, + "RXDISPERR": { + "hide_name": 0, + "bits": [ 123, 124, 125, 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13134.18-13134.27" + } + }, + "RXDLYALIGNDISABLE": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13168.11-13168.28" + } + }, + "RXDLYALIGNMONENB": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13169.11-13169.27" + } + }, + "RXDLYALIGNMONITOR": { + "hide_name": 0, + "bits": [ 164, 165, 166, 167, 168, 169, 170, 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13143.18-13143.35" + } + }, + "RXDLYALIGNOVERRIDE": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13170.11-13170.29" + } + }, + "RXDLYALIGNRESET": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13171.11-13171.26" + } + }, + "RXDLYALIGNSWPPRECURB": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13172.11-13172.31" + } + }, + "RXDLYALIGNUPDSW": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13173.11-13173.26" + } + }, + "RXELECIDLE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13100.12-13100.22" + } + }, + "RXENCHANSYNC": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13174.11-13174.23" + } + }, + "RXENMCOMMAALIGN": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13175.11-13175.26" + } + }, + "RXENPCOMMAALIGN": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13176.11-13176.26" + } + }, + "RXENPMAPHASEALIGN": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13177.11-13177.28" + } + }, + "RXENPRBSTST": { + "hide_name": 0, + "bits": [ 337, 338, 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13233.17-13233.28" + } + }, + "RXENSAMPLEALIGN": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13178.11-13178.26" + } + }, + "RXEQMIX": { + "hide_name": 0, + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469, 470, 471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13256.17-13256.24" + } + }, + "RXGEARBOXSLIP": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13179.11-13179.24" + } + }, + "RXHEADER": { + "hide_name": 0, + "bits": [ 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13126.18-13126.26" + } + }, + "RXHEADERVALID": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13101.12-13101.25" + } + }, + "RXLOSSOFSYNC": { + "hide_name": 0, + "bits": [ 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13121.18-13121.30" + } + }, + "RXN": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13180.11-13180.14" + } + }, + "RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 127, 128, 129, 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13135.18-13135.30" + } + }, + "RXOVERSAMPLEERR": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13102.12-13102.27" + } + }, + "RXP": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13181.11-13181.14" + } + }, + "RXPLLLKDET": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13103.12-13103.22" + } + }, + "RXPLLLKDETEN": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13182.11-13182.23" + } + }, + "RXPLLPOWERDOWN": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13183.11-13183.25" + } + }, + "RXPLLREFSELDY": { + "hide_name": 0, + "bits": [ 340, 341, 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13234.17-13234.30" + } + }, + "RXPMASETPHASE": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13184.11-13184.24" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13185.11-13185.21" + } + }, + "RXPOWERDOWN": { + "hide_name": 0, + "bits": [ 319, 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13225.17-13225.28" + } + }, + "RXPRBSERR": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13104.12-13104.21" + } + }, + "RXRATE": { + "hide_name": 0, + "bits": [ 321, 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13226.17-13226.23" + } + }, + "RXRATEDONE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13105.12-13105.22" + } + }, + "RXRECCLK": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13106.12-13106.20" + } + }, + "RXRECCLKPCS": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13107.12-13107.23" + } + }, + "RXRESET": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13186.11-13186.18" + } + }, + "RXRESETDONE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13108.12-13108.23" + } + }, + "RXRUNDISP": { + "hide_name": 0, + "bits": [ 131, 132, 133, 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13136.18-13136.27" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13187.11-13187.18" + } + }, + "RXSTARTOFSEQ": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13109.12-13109.24" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13127.18-13127.26" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13189.11-13189.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13188.11-13188.20" + } + }, + "RXVALID": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13110.12-13110.19" + } + }, + "SOUTHREFCLKRX": { + "hide_name": 0, + "bits": [ 323, 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13227.17-13227.30" + } + }, + "SOUTHREFCLKTX": { + "hide_name": 0, + "bits": [ 325, 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13228.17-13228.30" + } + }, + "TSTCLK0": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13190.11-13190.18" + } + }, + "TSTCLK1": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13191.11-13191.18" + } + }, + "TSTIN": { + "hide_name": 0, + "bits": [ 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13220.18-13220.23" + } + }, + "TSTOUT": { + "hide_name": 0, + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13145.18-13145.24" + } + }, + "TXBUFDIFFCTRL": { + "hide_name": 0, + "bits": [ 343, 344, 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13235.17-13235.30" + } + }, + "TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 54, 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13122.18-13122.29" + } + }, + "TXBYPASS8B10B": { + "hide_name": 0, + "bits": [ 402, 403, 404, 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13244.17-13244.30" + } + }, + "TXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 406, 407, 408, 409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13245.17-13245.31" + } + }, + "TXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 410, 411, 412, 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13246.17-13246.30" + } + }, + "TXCHARISK": { + "hide_name": 0, + "bits": [ 414, 415, 416, 417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13247.17-13247.26" + } + }, + "TXCOMINIT": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13192.11-13192.20" + } + }, + "TXCOMSAS": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13193.11-13193.19" + } + }, + "TXCOMWAKE": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13194.11-13194.20" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13240.18-13240.24" + } + }, + "TXDEEMPH": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13195.11-13195.19" + } + }, + "TXDETECTRX": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13196.11-13196.21" + } + }, + "TXDIFFCTRL": { + "hide_name": 0, + "bits": [ 418, 419, 420, 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13248.17-13248.27" + } + }, + "TXDLYALIGNDISABLE": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13197.11-13197.28" + } + }, + "TXDLYALIGNMONENB": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13198.11-13198.27" + } + }, + "TXDLYALIGNMONITOR": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13144.18-13144.35" + } + }, + "TXDLYALIGNOVERRIDE": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13199.11-13199.29" + } + }, + "TXDLYALIGNRESET": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13200.11-13200.26" + } + }, + "TXDLYALIGNUPDSW": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13201.11-13201.26" + } + }, + "TXELECIDLE": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13202.11-13202.21" + } + }, + "TXENC8B10BUSE": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13203.11-13203.24" + } + }, + "TXENPMAPHASEALIGN": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13204.11-13204.28" + } + }, + "TXENPRBSTST": { + "hide_name": 0, + "bits": [ 346, 347, 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13236.17-13236.28" + } + }, + "TXGEARBOXREADY": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13111.12-13111.26" + } + }, + "TXHEADER": { + "hide_name": 0, + "bits": [ 349, 350, 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13237.17-13237.25" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13205.11-13205.20" + } + }, + "TXKERR": { + "hide_name": 0, + "bits": [ 135, 136, 137, 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13137.18-13137.24" + } + }, + "TXMARGIN": { + "hide_name": 0, + "bits": [ 352, 353, 354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13238.17-13238.25" + } + }, + "TXN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13112.12-13112.15" + } + }, + "TXOUTCLK": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13113.12-13113.20" + } + }, + "TXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13114.12-13114.23" + } + }, + "TXP": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13115.12-13115.15" + } + }, + "TXPDOWNASYNCH": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13206.11-13206.24" + } + }, + "TXPLLLKDET": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13116.12-13116.22" + } + }, + "TXPLLLKDETEN": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13207.11-13207.23" + } + }, + "TXPLLPOWERDOWN": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13208.11-13208.25" + } + }, + "TXPLLREFSELDY": { + "hide_name": 0, + "bits": [ 355, 356, 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13239.17-13239.30" + } + }, + "TXPMASETPHASE": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13209.11-13209.24" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13210.11-13210.21" + } + }, + "TXPOSTEMPHASIS": { + "hide_name": 0, + "bits": [ 436, 437, 438, 439, 440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13252.17-13252.31" + } + }, + "TXPOWERDOWN": { + "hide_name": 0, + "bits": [ 327, 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13229.17-13229.28" + } + }, + "TXPRBSFORCEERR": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13211.11-13211.25" + } + }, + "TXPREEMPHASIS": { + "hide_name": 0, + "bits": [ 422, 423, 424, 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13249.17-13249.30" + } + }, + "TXRATE": { + "hide_name": 0, + "bits": [ 329, 330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13230.17-13230.23" + } + }, + "TXRATEDONE": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13117.12-13117.22" + } + }, + "TXRESET": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13212.11-13212.18" + } + }, + "TXRESETDONE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13118.12-13118.23" + } + }, + "TXRUNDISP": { + "hide_name": 0, + "bits": [ 139, 140, 141, 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13138.18-13138.27" + } + }, + "TXSEQUENCE": { + "hide_name": 0, + "bits": [ 447, 448, 449, 450, 451, 452, 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13254.17-13254.27" + } + }, + "TXSTARTSEQ": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13213.11-13213.21" + } + }, + "TXSWING": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13214.11-13214.18" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13216.11-13216.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13215.11-13215.20" + } + }, + "USRCODEERR": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13217.11-13217.21" + } + } + } + }, + "GTXE2_CHANNEL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14479.1-14925.10" + }, + "parameter_default_values": { + "ALIGN_COMMA_DOUBLE": "FALSE", + "ALIGN_COMMA_ENABLE": "0001111111", + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "ALIGN_MCOMMA_DET": "TRUE", + "ALIGN_MCOMMA_VALUE": "1010000011", + "ALIGN_PCOMMA_DET": "TRUE", + "ALIGN_PCOMMA_VALUE": "0101111100", + "CBCC_DATA_SOURCE_SEL": "DECODED", + "CHAN_BOND_KEEP_ALIGN": "FALSE", + "CHAN_BOND_MAX_SKEW": "00000000000000000000000000000111", + "CHAN_BOND_SEQ_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2": "0000000000", + "CHAN_BOND_SEQ_1_3": "0000000000", + "CHAN_BOND_SEQ_1_4": "0000000000", + "CHAN_BOND_SEQ_1_ENABLE": "1111", + "CHAN_BOND_SEQ_2_1": "0100000000", + "CHAN_BOND_SEQ_2_2": "0100000000", + "CHAN_BOND_SEQ_2_3": "0100000000", + "CHAN_BOND_SEQ_2_4": "0100000000", + "CHAN_BOND_SEQ_2_ENABLE": "1111", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000001", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_KEEP_IDLE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE": "TRUE", + "CLK_COR_REPEAT_WAIT": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1": "0100011100", + "CLK_COR_SEQ_1_2": "0000000000", + "CLK_COR_SEQ_1_3": "0000000000", + "CLK_COR_SEQ_1_4": "0000000000", + "CLK_COR_SEQ_1_ENABLE": "1111", + "CLK_COR_SEQ_2_1": "0100000000", + "CLK_COR_SEQ_2_2": "0100000000", + "CLK_COR_SEQ_2_3": "0100000000", + "CLK_COR_SEQ_2_4": "0100000000", + "CLK_COR_SEQ_2_ENABLE": "1111", + "CLK_COR_SEQ_2_USE": "FALSE", + "CLK_COR_SEQ_LEN": "00000000000000000000000000000001", + "CPLL_CFG": "101100000000011111011000", + "CPLL_FBDIV": "00000000000000000000000000000100", + "CPLL_FBDIV_45": "00000000000000000000000000000101", + "CPLL_INIT_CFG": "000000000000000000011110", + "CPLL_LOCK_CFG": "0000000111101000", + "CPLL_REFCLK_DIV": "00000000000000000000000000000001", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DMONITOR_CFG": "000000000000101000000000", + "ES_CONTROL": "000000", + "ES_ERRDET_EN": "FALSE", + "ES_EYE_SCAN_EN": "FALSE", + "ES_HORZ_OFFSET": "000000000000", + "ES_PMA_CFG": "0000000000", + "ES_PRESCALE": "00000", + "ES_QUALIFIER": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_QUAL_MASK": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_SDATA_MASK": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", + "ES_VERT_OFFSET": "000000000", + "FTS_DESKEW_SEQ_ENABLE": "1111", + "FTS_LANE_DESKEW_CFG": "1111", + "FTS_LANE_DESKEW_EN": "FALSE", + "GEARBOX_MODE": "000", + "IS_CPLLLOCKDETCLK_INVERTED": "0", + "IS_DRPCLK_INVERTED": "0", + "IS_GTGREFCLK_INVERTED": "0", + "IS_RXUSRCLK2_INVERTED": "0", + "IS_RXUSRCLK_INVERTED": "0", + "IS_TXPHDLYTSTCLK_INVERTED": "0", + "IS_TXUSRCLK2_INVERTED": "0", + "IS_TXUSRCLK_INVERTED": "0", + "OUTREFCLK_SEL_INV": "11", + "PCS_PCIE_EN": "FALSE", + "PCS_RSVD_ATTR": "000000000000000000000000000000000000000000000000", + "PD_TRANS_TIME_FROM_P2": "000000111100", + "PD_TRANS_TIME_NONE_P2": "00011001", + "PD_TRANS_TIME_TO_P2": "01100100", + "PMA_RSV": "00000000000000000000000000000000", + "PMA_RSV2": "0010000001010000", + "PMA_RSV3": "00", + "PMA_RSV4": "00000000000000000000000000000000", + "RXBUFRESET_TIME": "00001", + "RXBUF_ADDR_MODE": "FULL", + "RXBUF_EIDLE_HI_CNT": "1000", + "RXBUF_EIDLE_LO_CNT": "0000", + "RXBUF_EN": "TRUE", + "RXBUF_RESET_ON_CB_CHANGE": "TRUE", + "RXBUF_RESET_ON_COMMAALIGN": "FALSE", + "RXBUF_RESET_ON_EIDLE": "FALSE", + "RXBUF_RESET_ON_RATE_CHANGE": "TRUE", + "RXBUF_THRESH_OVFLW": "00000000000000000000000000111101", + "RXBUF_THRESH_OVRD": "FALSE", + "RXBUF_THRESH_UNDFLW": "00000000000000000000000000000100", + "RXCDRFREQRESET_TIME": "00001", + "RXCDRPHRESET_TIME": "00001", + "RXCDR_CFG": "000010110000000000000000001000111111111100100000010000000000000000100000", + "RXCDR_FR_RESET_ON_EIDLE": "0", + "RXCDR_HOLD_DURING_EIDLE": "0", + "RXCDR_LOCK_CFG": "010101", + "RXCDR_PH_RESET_ON_EIDLE": "0", + "RXDFELPMRESET_TIME": "0001111", + "RXDLY_CFG": "0000000000011111", + "RXDLY_LCFG": "000110000", + "RXDLY_TAP_CFG": "0000000000000000", + "RXGEARBOX_EN": "FALSE", + "RXISCANRESET_TIME": "00001", + "RXLPM_HF_CFG": "00000011110000", + "RXLPM_LF_CFG": "00000011110000", + "RXOOB_CFG": "0000110", + "RXOUT_DIV": "00000000000000000000000000000010", + "RXPCSRESET_TIME": "00001", + "RXPHDLY_CFG": "000010000100000000100000", + "RXPH_CFG": "000000000000000000000000", + "RXPH_MONITOR_SEL": "00000", + "RXPMARESET_TIME": "00011", + "RXPRBS_ERR_LOOPBACK": "0", + "RXSLIDE_AUTO_WAIT": "00000000000000000000000000000111", + "RXSLIDE_MODE": "OFF", + "RX_BIAS_CFG": "000000000000", + "RX_BUFFER_CFG": "000000", + "RX_CLK25_DIV": "00000000000000000000000000000111", + "RX_CLKMUX_PD": "1", + "RX_CM_SEL": "11", + "RX_CM_TRIM": "100", + "RX_DATA_WIDTH": "00000000000000000000000000010100", + "RX_DDI_SEL": "000000", + "RX_DEBUG_CFG": "000000000000", + "RX_DEFER_RESET_BUF_EN": "TRUE", + "RX_DFE_GAIN_CFG": "00110000000111000001111", + "RX_DFE_H2_CFG": "000111100000", + "RX_DFE_H3_CFG": "000111100000", + "RX_DFE_H4_CFG": "00011110000", + "RX_DFE_H5_CFG": "00011110000", + "RX_DFE_KL_CFG": "0001111110000", + "RX_DFE_KL_CFG2": "00110000000010001110010101101010", + "RX_DFE_LPM_CFG": "0000100100000100", + "RX_DFE_LPM_HOLD_DURING_EIDLE": "0", + "RX_DFE_UT_CFG": "00111111000000000", + "RX_DFE_VP_CFG": "00011111100000000", + "RX_DFE_XYD_CFG": "0000000010000", + "RX_DISPERR_SEQ_MATCH": "TRUE", + "RX_INT_DATAWIDTH": "00000000000000000000000000000000", + "RX_OS_CFG": "0001111110000", + "RX_SIG_VALID_DLY": "00000000000000000000000000001010", + "RX_XCLK_SEL": "RXREC", + "SAS_MAX_COM": "00000000000000000000000001000000", + "SAS_MIN_COM": "00000000000000000000000000100100", + "SATA_BURST_SEQ_LEN": "1111", + "SATA_BURST_VAL": "100", + "SATA_CPLL_CFG": "VCO_3000MHZ", + "SATA_EIDLE_VAL": "100", + "SATA_MAX_BURST": "00000000000000000000000000001000", + "SATA_MAX_INIT": "00000000000000000000000000010101", + "SATA_MAX_WAKE": "00000000000000000000000000000111", + "SATA_MIN_BURST": "00000000000000000000000000000100", + "SATA_MIN_INIT": "00000000000000000000000000001100", + "SATA_MIN_WAKE": "00000000000000000000000000000100", + "SHOW_REALIGN_COMMA": "TRUE", + "SIM_CPLLREFCLK_SEL": "001", + "SIM_RECEIVER_DETECT_PASS": "TRUE", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_TX_EIDLE_DRIVE_LEVEL": "X", + "SIM_VERSION": "4.0", + "TERM_RCAL_CFG": "10000", + "TERM_RCAL_OVRD": "0", + "TRANS_TIME_RATE": "00001110", + "TST_RSV": "00000000000000000000000000000000", + "TXBUF_EN": "TRUE", + "TXBUF_RESET_ON_RATE_CHANGE": "FALSE", + "TXDLY_CFG": "0000000000011111", + "TXDLY_LCFG": "000110000", + "TXDLY_TAP_CFG": "0000000000000000", + "TXGEARBOX_EN": "FALSE", + "TXOUT_DIV": "00000000000000000000000000000010", + "TXPCSRESET_TIME": "00001", + "TXPHDLY_CFG": "000010000100000000100000", + "TXPH_CFG": "0000011110000000", + "TXPH_MONITOR_SEL": "00000", + "TXPMARESET_TIME": "00001", + "TX_CLK25_DIV": "00000000000000000000000000000111", + "TX_CLKMUX_PD": "1", + "TX_DATA_WIDTH": "00000000000000000000000000010100", + "TX_DEEMPH0": "00000", + "TX_DEEMPH1": "00000", + "TX_DRIVE_MODE": "DIRECT", + "TX_EIDLE_ASSERT_DELAY": "110", + "TX_EIDLE_DEASSERT_DELAY": "100", + "TX_INT_DATAWIDTH": "00000000000000000000000000000000", + "TX_LOOPBACK_DRIVE_HIZ": "FALSE", + "TX_MAINCURSOR_SEL": "0", + "TX_MARGIN_FULL_0": "1001110", + "TX_MARGIN_FULL_1": "1001001", + "TX_MARGIN_FULL_2": "1000101", + "TX_MARGIN_FULL_3": "1000010", + "TX_MARGIN_FULL_4": "1000000", + "TX_MARGIN_LOW_0": "1000110", + "TX_MARGIN_LOW_1": "1000100", + "TX_MARGIN_LOW_2": "1000010", + "TX_MARGIN_LOW_3": "1000000", + "TX_MARGIN_LOW_4": "1000000", + "TX_PREDRIVER_MODE": "0", + "TX_QPI_STATUS_EN": "0", + "TX_RXDETECT_CFG": "01100000110010", + "TX_RXDETECT_REF": "100", + "TX_XCLK_SEL": "TXUSR", + "UCODEER_CLR": "0" + }, + "ports": { + "CPLLFBCLKLOST": { + "direction": "output", + "bits": [ 2 ] + }, + "CPLLLOCK": { + "direction": "output", + "bits": [ 3 ] + }, + "CPLLREFCLKLOST": { + "direction": "output", + "bits": [ 4 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 5 ] + }, + "EYESCANDATAERROR": { + "direction": "output", + "bits": [ 6 ] + }, + "GTREFCLKMONITOR": { + "direction": "output", + "bits": [ 7 ] + }, + "GTXTXN": { + "direction": "output", + "bits": [ 8 ] + }, + "GTXTXP": { + "direction": "output", + "bits": [ 9 ] + }, + "PHYSTATUS": { + "direction": "output", + "bits": [ 10 ] + }, + "RXBYTEISALIGNED": { + "direction": "output", + "bits": [ 11 ] + }, + "RXBYTEREALIGN": { + "direction": "output", + "bits": [ 12 ] + }, + "RXCDRLOCK": { + "direction": "output", + "bits": [ 13 ] + }, + "RXCHANBONDSEQ": { + "direction": "output", + "bits": [ 14 ] + }, + "RXCHANISALIGNED": { + "direction": "output", + "bits": [ 15 ] + }, + "RXCHANREALIGN": { + "direction": "output", + "bits": [ 16 ] + }, + "RXCOMINITDET": { + "direction": "output", + "bits": [ 17 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 18 ] + }, + "RXCOMSASDET": { + "direction": "output", + "bits": [ 19 ] + }, + "RXCOMWAKEDET": { + "direction": "output", + "bits": [ 20 ] + }, + "RXDATAVALID": { + "direction": "output", + "bits": [ 21 ] + }, + "RXDLYSRESETDONE": { + "direction": "output", + "bits": [ 22 ] + }, + "RXELECIDLE": { + "direction": "output", + "bits": [ 23 ] + }, + "RXHEADERVALID": { + "direction": "output", + "bits": [ 24 ] + }, + "RXOUTCLK": { + "direction": "output", + "bits": [ 25 ] + }, + "RXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 26 ] + }, + "RXOUTCLKPCS": { + "direction": "output", + "bits": [ 27 ] + }, + "RXPHALIGNDONE": { + "direction": "output", + "bits": [ 28 ] + }, + "RXPRBSERR": { + "direction": "output", + "bits": [ 29 ] + }, + "RXQPISENN": { + "direction": "output", + "bits": [ 30 ] + }, + "RXQPISENP": { + "direction": "output", + "bits": [ 31 ] + }, + "RXRATEDONE": { + "direction": "output", + "bits": [ 32 ] + }, + "RXRESETDONE": { + "direction": "output", + "bits": [ 33 ] + }, + "RXSTARTOFSEQ": { + "direction": "output", + "bits": [ 34 ] + }, + "RXVALID": { + "direction": "output", + "bits": [ 35 ] + }, + "TXCOMFINISH": { + "direction": "output", + "bits": [ 36 ] + }, + "TXDLYSRESETDONE": { + "direction": "output", + "bits": [ 37 ] + }, + "TXGEARBOXREADY": { + "direction": "output", + "bits": [ 38 ] + }, + "TXOUTCLK": { + "direction": "output", + "bits": [ 39 ] + }, + "TXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 40 ] + }, + "TXOUTCLKPCS": { + "direction": "output", + "bits": [ 41 ] + }, + "TXPHALIGNDONE": { + "direction": "output", + "bits": [ 42 ] + }, + "TXPHINITDONE": { + "direction": "output", + "bits": [ 43 ] + }, + "TXQPISENN": { + "direction": "output", + "bits": [ 44 ] + }, + "TXQPISENP": { + "direction": "output", + "bits": [ 45 ] + }, + "TXRATEDONE": { + "direction": "output", + "bits": [ 46 ] + }, + "TXRESETDONE": { + "direction": "output", + "bits": [ 47 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ] + }, + "PCSRSVDOUT": { + "direction": "output", + "bits": [ 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79 ] + }, + "RXCLKCORCNT": { + "direction": "output", + "bits": [ 80, 81 ] + }, + "TXBUFSTATUS": { + "direction": "output", + "bits": [ 82, 83 ] + }, + "RXBUFSTATUS": { + "direction": "output", + "bits": [ 84, 85, 86 ] + }, + "RXHEADER": { + "direction": "output", + "bits": [ 87, 88, 89 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 90, 91, 92 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 93, 94, 95, 96, 97 ] + }, + "RXPHMONITOR": { + "direction": "output", + "bits": [ 98, 99, 100, 101, 102 ] + }, + "RXPHSLIPMONITOR": { + "direction": "output", + "bits": [ 103, 104, 105, 106, 107 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171 ] + }, + "RXMONITOROUT": { + "direction": "output", + "bits": [ 172, 173, 174, 175, 176, 177, 178 ] + }, + "DMONITOROUT": { + "direction": "output", + "bits": [ 179, 180, 181, 182, 183, 184, 185, 186 ] + }, + "RXCHARISCOMMA": { + "direction": "output", + "bits": [ 187, 188, 189, 190, 191, 192, 193, 194 ] + }, + "RXCHARISK": { + "direction": "output", + "bits": [ 195, 196, 197, 198, 199, 200, 201, 202 ] + }, + "RXDISPERR": { + "direction": "output", + "bits": [ 203, 204, 205, 206, 207, 208, 209, 210 ] + }, + "RXNOTINTABLE": { + "direction": "output", + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218 ] + }, + "TSTOUT": { + "direction": "output", + "bits": [ 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ] + }, + "CFGRESET": { + "direction": "input", + "bits": [ 229 ] + }, + "CPLLLOCKDETCLK": { + "direction": "input", + "bits": [ 230 ] + }, + "CPLLLOCKEN": { + "direction": "input", + "bits": [ 231 ] + }, + "CPLLPD": { + "direction": "input", + "bits": [ 232 ] + }, + "CPLLRESET": { + "direction": "input", + "bits": [ 233 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 234 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 235 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 236 ] + }, + "EYESCANMODE": { + "direction": "input", + "bits": [ 237 ] + }, + "EYESCANRESET": { + "direction": "input", + "bits": [ 238 ] + }, + "EYESCANTRIGGER": { + "direction": "input", + "bits": [ 239 ] + }, + "GTGREFCLK": { + "direction": "input", + "bits": [ 240 ] + }, + "GTNORTHREFCLK0": { + "direction": "input", + "bits": [ 241 ] + }, + "GTNORTHREFCLK1": { + "direction": "input", + "bits": [ 242 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 243 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 244 ] + }, + "GTRESETSEL": { + "direction": "input", + "bits": [ 245 ] + }, + "GTRXRESET": { + "direction": "input", + "bits": [ 246 ] + }, + "GTSOUTHREFCLK0": { + "direction": "input", + "bits": [ 247 ] + }, + "GTSOUTHREFCLK1": { + "direction": "input", + "bits": [ 248 ] + }, + "GTTXRESET": { + "direction": "input", + "bits": [ 249 ] + }, + "GTXRXN": { + "direction": "input", + "bits": [ 250 ] + }, + "GTXRXP": { + "direction": "input", + "bits": [ 251 ] + }, + "QPLLCLK": { + "direction": "input", + "bits": [ 252 ] + }, + "QPLLREFCLK": { + "direction": "input", + "bits": [ 253 ] + }, + "RESETOVRD": { + "direction": "input", + "bits": [ 254 ] + }, + "RX8B10BEN": { + "direction": "input", + "bits": [ 255 ] + }, + "RXBUFRESET": { + "direction": "input", + "bits": [ 256 ] + }, + "RXCDRFREQRESET": { + "direction": "input", + "bits": [ 257 ] + }, + "RXCDRHOLD": { + "direction": "input", + "bits": [ 258 ] + }, + "RXCDROVRDEN": { + "direction": "input", + "bits": [ 259 ] + }, + "RXCDRRESET": { + "direction": "input", + "bits": [ 260 ] + }, + "RXCDRRESETRSV": { + "direction": "input", + "bits": [ 261 ] + }, + "RXCHBONDEN": { + "direction": "input", + "bits": [ 262 ] + }, + "RXCHBONDMASTER": { + "direction": "input", + "bits": [ 263 ] + }, + "RXCHBONDSLAVE": { + "direction": "input", + "bits": [ 264 ] + }, + "RXCOMMADETEN": { + "direction": "input", + "bits": [ 265 ] + }, + "RXDDIEN": { + "direction": "input", + "bits": [ 266 ] + }, + "RXDFEAGCHOLD": { + "direction": "input", + "bits": [ 267 ] + }, + "RXDFEAGCOVRDEN": { + "direction": "input", + "bits": [ 268 ] + }, + "RXDFECM1EN": { + "direction": "input", + "bits": [ 269 ] + }, + "RXDFELFHOLD": { + "direction": "input", + "bits": [ 270 ] + }, + "RXDFELFOVRDEN": { + "direction": "input", + "bits": [ 271 ] + }, + "RXDFELPMRESET": { + "direction": "input", + "bits": [ 272 ] + }, + "RXDFETAP2HOLD": { + "direction": "input", + "bits": [ 273 ] + }, + "RXDFETAP2OVRDEN": { + "direction": "input", + "bits": [ 274 ] + }, + "RXDFETAP3HOLD": { + "direction": "input", + "bits": [ 275 ] + }, + "RXDFETAP3OVRDEN": { + "direction": "input", + "bits": [ 276 ] + }, + "RXDFETAP4HOLD": { + "direction": "input", + "bits": [ 277 ] + }, + "RXDFETAP4OVRDEN": { + "direction": "input", + "bits": [ 278 ] + }, + "RXDFETAP5HOLD": { + "direction": "input", + "bits": [ 279 ] + }, + "RXDFETAP5OVRDEN": { + "direction": "input", + "bits": [ 280 ] + }, + "RXDFEUTHOLD": { + "direction": "input", + "bits": [ 281 ] + }, + "RXDFEUTOVRDEN": { + "direction": "input", + "bits": [ 282 ] + }, + "RXDFEVPHOLD": { + "direction": "input", + "bits": [ 283 ] + }, + "RXDFEVPOVRDEN": { + "direction": "input", + "bits": [ 284 ] + }, + "RXDFEVSEN": { + "direction": "input", + "bits": [ 285 ] + }, + "RXDFEXYDEN": { + "direction": "input", + "bits": [ 286 ] + }, + "RXDFEXYDHOLD": { + "direction": "input", + "bits": [ 287 ] + }, + "RXDFEXYDOVRDEN": { + "direction": "input", + "bits": [ 288 ] + }, + "RXDLYBYPASS": { + "direction": "input", + "bits": [ 289 ] + }, + "RXDLYEN": { + "direction": "input", + "bits": [ 290 ] + }, + "RXDLYOVRDEN": { + "direction": "input", + "bits": [ 291 ] + }, + "RXDLYSRESET": { + "direction": "input", + "bits": [ 292 ] + }, + "RXGEARBOXSLIP": { + "direction": "input", + "bits": [ 293 ] + }, + "RXLPMEN": { + "direction": "input", + "bits": [ 294 ] + }, + "RXLPMHFHOLD": { + "direction": "input", + "bits": [ 295 ] + }, + "RXLPMHFOVRDEN": { + "direction": "input", + "bits": [ 296 ] + }, + "RXLPMLFHOLD": { + "direction": "input", + "bits": [ 297 ] + }, + "RXLPMLFKLOVRDEN": { + "direction": "input", + "bits": [ 298 ] + }, + "RXMCOMMAALIGNEN": { + "direction": "input", + "bits": [ 299 ] + }, + "RXOOBRESET": { + "direction": "input", + "bits": [ 300 ] + }, + "RXOSHOLD": { + "direction": "input", + "bits": [ 301 ] + }, + "RXOSOVRDEN": { + "direction": "input", + "bits": [ 302 ] + }, + "RXPCOMMAALIGNEN": { + "direction": "input", + "bits": [ 303 ] + }, + "RXPCSRESET": { + "direction": "input", + "bits": [ 304 ] + }, + "RXPHALIGN": { + "direction": "input", + "bits": [ 305 ] + }, + "RXPHALIGNEN": { + "direction": "input", + "bits": [ 306 ] + }, + "RXPHDLYPD": { + "direction": "input", + "bits": [ 307 ] + }, + "RXPHDLYRESET": { + "direction": "input", + "bits": [ 308 ] + }, + "RXPHOVRDEN": { + "direction": "input", + "bits": [ 309 ] + }, + "RXPMARESET": { + "direction": "input", + "bits": [ 310 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 311 ] + }, + "RXPRBSCNTRESET": { + "direction": "input", + "bits": [ 312 ] + }, + "RXQPIEN": { + "direction": "input", + "bits": [ 313 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 314 ] + }, + "RXUSERRDY": { + "direction": "input", + "bits": [ 315 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 316 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 317 ] + }, + "SETERRSTATUS": { + "direction": "input", + "bits": [ 318 ] + }, + "TX8B10BEN": { + "direction": "input", + "bits": [ 319 ] + }, + "TXCOMINIT": { + "direction": "input", + "bits": [ 320 ] + }, + "TXCOMSAS": { + "direction": "input", + "bits": [ 321 ] + }, + "TXCOMWAKE": { + "direction": "input", + "bits": [ 322 ] + }, + "TXDEEMPH": { + "direction": "input", + "bits": [ 323 ] + }, + "TXDETECTRX": { + "direction": "input", + "bits": [ 324 ] + }, + "TXDIFFPD": { + "direction": "input", + "bits": [ 325 ] + }, + "TXDLYBYPASS": { + "direction": "input", + "bits": [ 326 ] + }, + "TXDLYEN": { + "direction": "input", + "bits": [ 327 ] + }, + "TXDLYHOLD": { + "direction": "input", + "bits": [ 328 ] + }, + "TXDLYOVRDEN": { + "direction": "input", + "bits": [ 329 ] + }, + "TXDLYSRESET": { + "direction": "input", + "bits": [ 330 ] + }, + "TXDLYUPDOWN": { + "direction": "input", + "bits": [ 331 ] + }, + "TXELECIDLE": { + "direction": "input", + "bits": [ 332 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 333 ] + }, + "TXPCSRESET": { + "direction": "input", + "bits": [ 334 ] + }, + "TXPDELECIDLEMODE": { + "direction": "input", + "bits": [ 335 ] + }, + "TXPHALIGN": { + "direction": "input", + "bits": [ 336 ] + }, + "TXPHALIGNEN": { + "direction": "input", + "bits": [ 337 ] + }, + "TXPHDLYPD": { + "direction": "input", + "bits": [ 338 ] + }, + "TXPHDLYRESET": { + "direction": "input", + "bits": [ 339 ] + }, + "TXPHDLYTSTCLK": { + "direction": "input", + "bits": [ 340 ] + }, + "TXPHINIT": { + "direction": "input", + "bits": [ 341 ] + }, + "TXPHOVRDEN": { + "direction": "input", + "bits": [ 342 ] + }, + "TXPISOPD": { + "direction": "input", + "bits": [ 343 ] + }, + "TXPMARESET": { + "direction": "input", + "bits": [ 344 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 345 ] + }, + "TXPOSTCURSORINV": { + "direction": "input", + "bits": [ 346 ] + }, + "TXPRBSFORCEERR": { + "direction": "input", + "bits": [ 347 ] + }, + "TXPRECURSORINV": { + "direction": "input", + "bits": [ 348 ] + }, + "TXQPIBIASEN": { + "direction": "input", + "bits": [ 349 ] + }, + "TXQPISTRONGPDOWN": { + "direction": "input", + "bits": [ 350 ] + }, + "TXQPIWEAKPUP": { + "direction": "input", + "bits": [ 351 ] + }, + "TXSTARTSEQ": { + "direction": "input", + "bits": [ 352 ] + }, + "TXSWING": { + "direction": "input", + "bits": [ 353 ] + }, + "TXUSERRDY": { + "direction": "input", + "bits": [ 354 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 355 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 356 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372 ] + }, + "GTRSVD": { + "direction": "input", + "bits": [ 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388 ] + }, + "PCSRSVDIN": { + "direction": "input", + "bits": [ 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404 ] + }, + "TSTIN": { + "direction": "input", + "bits": [ 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424 ] + }, + "RXELECIDLEMODE": { + "direction": "input", + "bits": [ 425, 426 ] + }, + "RXMONITORSEL": { + "direction": "input", + "bits": [ 427, 428 ] + }, + "RXPD": { + "direction": "input", + "bits": [ 429, 430 ] + }, + "RXSYSCLKSEL": { + "direction": "input", + "bits": [ 431, 432 ] + }, + "TXPD": { + "direction": "input", + "bits": [ 433, 434 ] + }, + "TXSYSCLKSEL": { + "direction": "input", + "bits": [ 435, 436 ] + }, + "CPLLREFCLKSEL": { + "direction": "input", + "bits": [ 437, 438, 439 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 440, 441, 442 ] + }, + "RXCHBONDLEVEL": { + "direction": "input", + "bits": [ 443, 444, 445 ] + }, + "RXOUTCLKSEL": { + "direction": "input", + "bits": [ 446, 447, 448 ] + }, + "RXPRBSSEL": { + "direction": "input", + "bits": [ 449, 450, 451 ] + }, + "RXRATE": { + "direction": "input", + "bits": [ 452, 453, 454 ] + }, + "TXBUFDIFFCTRL": { + "direction": "input", + "bits": [ 455, 456, 457 ] + }, + "TXHEADER": { + "direction": "input", + "bits": [ 458, 459, 460 ] + }, + "TXMARGIN": { + "direction": "input", + "bits": [ 461, 462, 463 ] + }, + "TXOUTCLKSEL": { + "direction": "input", + "bits": [ 464, 465, 466 ] + }, + "TXPRBSSEL": { + "direction": "input", + "bits": [ 467, 468, 469 ] + }, + "TXRATE": { + "direction": "input", + "bits": [ 470, 471, 472 ] + }, + "CLKRSVD": { + "direction": "input", + "bits": [ 473, 474, 475, 476 ] + }, + "TXDIFFCTRL": { + "direction": "input", + "bits": [ 477, 478, 479, 480 ] + }, + "PCSRSVDIN2": { + "direction": "input", + "bits": [ 481, 482, 483, 484, 485 ] + }, + "PMARSVDIN2": { + "direction": "input", + "bits": [ 486, 487, 488, 489, 490 ] + }, + "PMARSVDIN": { + "direction": "input", + "bits": [ 491, 492, 493, 494, 495 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 496, 497, 498, 499, 500 ] + }, + "TXPOSTCURSOR": { + "direction": "input", + "bits": [ 501, 502, 503, 504, 505 ] + }, + "TXPRECURSOR": { + "direction": "input", + "bits": [ 506, 507, 508, 509, 510 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574 ] + }, + "TXMAINCURSOR": { + "direction": "input", + "bits": [ 575, 576, 577, 578, 579, 580, 581 ] + }, + "TXSEQUENCE": { + "direction": "input", + "bits": [ 582, 583, 584, 585, 586, 587, 588 ] + }, + "TX8B10BBYPASS": { + "direction": "input", + "bits": [ 589, 590, 591, 592, 593, 594, 595, 596 ] + }, + "TXCHARDISPMODE": { + "direction": "input", + "bits": [ 597, 598, 599, 600, 601, 602, 603, 604 ] + }, + "TXCHARDISPVAL": { + "direction": "input", + "bits": [ 605, 606, 607, 608, 609, 610, 611, 612 ] + }, + "TXCHARISK": { + "direction": "input", + "bits": [ 613, 614, 615, 616, 617, 618, 619, 620 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 621, 622, 623, 624, 625, 626, 627, 628, 629 ] + } + }, + "cells": { + }, + "netnames": { + "CFGRESET": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14751.11-14751.19" + } + }, + "CLKRSVD": { + "hide_name": 0, + "bits": [ 473, 474, 475, 476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14909.17-14909.24" + } + }, + "CPLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14687.12-14687.25" + } + }, + "CPLLLOCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14688.12-14688.20" + } + }, + "CPLLLOCKDETCLK": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "invertible_pin": "IS_CPLLLOCKDETCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14753.11-14753.25" + } + }, + "CPLLLOCKEN": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14754.11-14754.21" + } + }, + "CPLLPD": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14755.11-14755.17" + } + }, + "CPLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14689.12-14689.26" + } + }, + "CPLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 437, 438, 439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14897.17-14897.30" + } + }, + "CPLLRESET": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14756.11-14756.20" + } + }, + "DMONITOROUT": { + "hide_name": 0, + "bits": [ 179, 180, 181, 182, 183, 184, 185, 186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14745.18-14745.29" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 621, 622, 623, 624, 625, 626, 627, 628, 629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14924.17-14924.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "invertible_pin": "IS_DRPCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14758.11-14758.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14887.18-14887.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14733.19-14733.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14759.11-14759.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14690.12-14690.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14760.11-14760.16" + } + }, + "EYESCANDATAERROR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14691.12-14691.28" + } + }, + "EYESCANMODE": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14761.11-14761.22" + } + }, + "EYESCANRESET": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14762.11-14762.23" + } + }, + "EYESCANTRIGGER": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14763.11-14763.25" + } + }, + "GTGREFCLK": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "invertible_pin": "IS_GTGREFCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14765.11-14765.20" + } + }, + "GTNORTHREFCLK0": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14766.11-14766.25" + } + }, + "GTNORTHREFCLK1": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14767.11-14767.25" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14768.11-14768.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14769.11-14769.20" + } + }, + "GTREFCLKMONITOR": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14692.12-14692.27" + } + }, + "GTRESETSEL": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14770.11-14770.21" + } + }, + "GTRSVD": { + "hide_name": 0, + "bits": [ 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14888.18-14888.24" + } + }, + "GTRXRESET": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14771.11-14771.20" + } + }, + "GTSOUTHREFCLK0": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14772.11-14772.25" + } + }, + "GTSOUTHREFCLK1": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14773.11-14773.25" + } + }, + "GTTXRESET": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14774.11-14774.20" + } + }, + "GTXRXN": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14775.11-14775.17" + } + }, + "GTXRXP": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14776.11-14776.17" + } + }, + "GTXTXN": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14693.12-14693.18" + } + }, + "GTXTXP": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14694.12-14694.18" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 440, 441, 442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14898.17-14898.25" + } + }, + "PCSRSVDIN": { + "hide_name": 0, + "bits": [ 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14889.18-14889.27" + } + }, + "PCSRSVDIN2": { + "hide_name": 0, + "bits": [ 481, 482, 483, 484, 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14911.17-14911.27" + } + }, + "PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14734.19-14734.29" + } + }, + "PHYSTATUS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14695.12-14695.21" + } + }, + "PMARSVDIN": { + "hide_name": 0, + "bits": [ 491, 492, 493, 494, 495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14913.17-14913.26" + } + }, + "PMARSVDIN2": { + "hide_name": 0, + "bits": [ 486, 487, 488, 489, 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14912.17-14912.27" + } + }, + "QPLLCLK": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14777.11-14777.18" + } + }, + "QPLLREFCLK": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14778.11-14778.21" + } + }, + "RESETOVRD": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14779.11-14779.20" + } + }, + "RX8B10BEN": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14780.11-14780.20" + } + }, + "RXBUFRESET": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14781.11-14781.21" + } + }, + "RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 84, 85, 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14737.18-14737.29" + } + }, + "RXBYTEISALIGNED": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14696.12-14696.27" + } + }, + "RXBYTEREALIGN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14697.12-14697.25" + } + }, + "RXCDRFREQRESET": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14782.11-14782.25" + } + }, + "RXCDRHOLD": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14783.11-14783.20" + } + }, + "RXCDRLOCK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14698.12-14698.21" + } + }, + "RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14784.11-14784.22" + } + }, + "RXCDRRESET": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14785.11-14785.21" + } + }, + "RXCDRRESETRSV": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14786.11-14786.24" + } + }, + "RXCHANBONDSEQ": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14699.12-14699.25" + } + }, + "RXCHANISALIGNED": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14700.12-14700.27" + } + }, + "RXCHANREALIGN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14701.12-14701.25" + } + }, + "RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 187, 188, 189, 190, 191, 192, 193, 194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14746.18-14746.31" + } + }, + "RXCHARISK": { + "hide_name": 0, + "bits": [ 195, 196, 197, 198, 199, 200, 201, 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14747.18-14747.27" + } + }, + "RXCHBONDEN": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14787.11-14787.21" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 496, 497, 498, 499, 500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14914.17-14914.26" + } + }, + "RXCHBONDLEVEL": { + "hide_name": 0, + "bits": [ 443, 444, 445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14899.17-14899.30" + } + }, + "RXCHBONDMASTER": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14788.11-14788.25" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 93, 94, 95, 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14740.18-14740.27" + } + }, + "RXCHBONDSLAVE": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14789.11-14789.24" + } + }, + "RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 80, 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14735.18-14735.29" + } + }, + "RXCOMINITDET": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14702.12-14702.24" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14703.12-14703.22" + } + }, + "RXCOMMADETEN": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14790.11-14790.23" + } + }, + "RXCOMSASDET": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14704.12-14704.23" + } + }, + "RXCOMWAKEDET": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14705.12-14705.24" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14743.19-14743.25" + } + }, + "RXDATAVALID": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14706.12-14706.23" + } + }, + "RXDDIEN": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14791.11-14791.18" + } + }, + "RXDFEAGCHOLD": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14792.11-14792.23" + } + }, + "RXDFEAGCOVRDEN": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14793.11-14793.25" + } + }, + "RXDFECM1EN": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14794.11-14794.21" + } + }, + "RXDFELFHOLD": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14795.11-14795.22" + } + }, + "RXDFELFOVRDEN": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14796.11-14796.24" + } + }, + "RXDFELPMRESET": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14797.11-14797.24" + } + }, + "RXDFETAP2HOLD": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14798.11-14798.24" + } + }, + "RXDFETAP2OVRDEN": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14799.11-14799.26" + } + }, + "RXDFETAP3HOLD": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14800.11-14800.24" + } + }, + "RXDFETAP3OVRDEN": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14801.11-14801.26" + } + }, + "RXDFETAP4HOLD": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14802.11-14802.24" + } + }, + "RXDFETAP4OVRDEN": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14803.11-14803.26" + } + }, + "RXDFETAP5HOLD": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14804.11-14804.24" + } + }, + "RXDFETAP5OVRDEN": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14805.11-14805.26" + } + }, + "RXDFEUTHOLD": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14806.11-14806.22" + } + }, + "RXDFEUTOVRDEN": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14807.11-14807.24" + } + }, + "RXDFEVPHOLD": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14808.11-14808.22" + } + }, + "RXDFEVPOVRDEN": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14809.11-14809.24" + } + }, + "RXDFEVSEN": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14810.11-14810.20" + } + }, + "RXDFEXYDEN": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14811.11-14811.21" + } + }, + "RXDFEXYDHOLD": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14812.11-14812.23" + } + }, + "RXDFEXYDOVRDEN": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14813.11-14813.25" + } + }, + "RXDISPERR": { + "hide_name": 0, + "bits": [ 203, 204, 205, 206, 207, 208, 209, 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14748.18-14748.27" + } + }, + "RXDLYBYPASS": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14814.11-14814.22" + } + }, + "RXDLYEN": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14815.11-14815.18" + } + }, + "RXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14816.11-14816.22" + } + }, + "RXDLYSRESET": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14817.11-14817.22" + } + }, + "RXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14707.12-14707.27" + } + }, + "RXELECIDLE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14708.12-14708.22" + } + }, + "RXELECIDLEMODE": { + "hide_name": 0, + "bits": [ 425, 426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14891.17-14891.31" + } + }, + "RXGEARBOXSLIP": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14818.11-14818.24" + } + }, + "RXHEADER": { + "hide_name": 0, + "bits": [ 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14738.18-14738.26" + } + }, + "RXHEADERVALID": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14709.12-14709.25" + } + }, + "RXLPMEN": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14819.11-14819.18" + } + }, + "RXLPMHFHOLD": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14820.11-14820.22" + } + }, + "RXLPMHFOVRDEN": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14821.11-14821.24" + } + }, + "RXLPMLFHOLD": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14822.11-14822.22" + } + }, + "RXLPMLFKLOVRDEN": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14823.11-14823.26" + } + }, + "RXMCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14824.11-14824.26" + } + }, + "RXMONITOROUT": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175, 176, 177, 178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14744.18-14744.30" + } + }, + "RXMONITORSEL": { + "hide_name": 0, + "bits": [ 427, 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14892.17-14892.29" + } + }, + "RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14749.18-14749.30" + } + }, + "RXOOBRESET": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14825.11-14825.21" + } + }, + "RXOSHOLD": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14826.11-14826.19" + } + }, + "RXOSOVRDEN": { + "hide_name": 0, + "bits": [ 302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14827.11-14827.21" + } + }, + "RXOUTCLK": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14710.12-14710.20" + } + }, + "RXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14711.12-14711.26" + } + }, + "RXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14712.12-14712.23" + } + }, + "RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 446, 447, 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14900.17-14900.28" + } + }, + "RXPCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14828.11-14828.26" + } + }, + "RXPCSRESET": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14829.11-14829.21" + } + }, + "RXPD": { + "hide_name": 0, + "bits": [ 429, 430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14893.17-14893.21" + } + }, + "RXPHALIGN": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14830.11-14830.20" + } + }, + "RXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14713.12-14713.25" + } + }, + "RXPHALIGNEN": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14831.11-14831.22" + } + }, + "RXPHDLYPD": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14832.11-14832.20" + } + }, + "RXPHDLYRESET": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14833.11-14833.23" + } + }, + "RXPHMONITOR": { + "hide_name": 0, + "bits": [ 98, 99, 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14741.18-14741.29" + } + }, + "RXPHOVRDEN": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14834.11-14834.21" + } + }, + "RXPHSLIPMONITOR": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14742.18-14742.33" + } + }, + "RXPMARESET": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14835.11-14835.21" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14836.11-14836.21" + } + }, + "RXPRBSCNTRESET": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14837.11-14837.25" + } + }, + "RXPRBSERR": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14714.12-14714.21" + } + }, + "RXPRBSSEL": { + "hide_name": 0, + "bits": [ 449, 450, 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14901.17-14901.26" + } + }, + "RXQPIEN": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14838.11-14838.18" + } + }, + "RXQPISENN": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14715.12-14715.21" + } + }, + "RXQPISENP": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14716.12-14716.21" + } + }, + "RXRATE": { + "hide_name": 0, + "bits": [ 452, 453, 454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14902.17-14902.23" + } + }, + "RXRATEDONE": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14717.12-14717.22" + } + }, + "RXRESETDONE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14718.12-14718.23" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14839.11-14839.18" + } + }, + "RXSTARTOFSEQ": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14719.12-14719.24" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 90, 91, 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14739.18-14739.26" + } + }, + "RXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 431, 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14894.17-14894.28" + } + }, + "RXUSERRDY": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14840.11-14840.20" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "invertible_pin": "IS_RXUSRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14844.11-14844.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "invertible_pin": "IS_RXUSRCLK2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14842.11-14842.20" + } + }, + "RXVALID": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14720.12-14720.19" + } + }, + "SETERRSTATUS": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14845.11-14845.23" + } + }, + "TSTIN": { + "hide_name": 0, + "bits": [ 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14890.18-14890.23" + } + }, + "TSTOUT": { + "hide_name": 0, + "bits": [ 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14750.18-14750.24" + } + }, + "TX8B10BBYPASS": { + "hide_name": 0, + "bits": [ 589, 590, 591, 592, 593, 594, 595, 596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14920.17-14920.30" + } + }, + "TX8B10BEN": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14846.11-14846.20" + } + }, + "TXBUFDIFFCTRL": { + "hide_name": 0, + "bits": [ 455, 456, 457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14903.17-14903.30" + } + }, + "TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14736.18-14736.29" + } + }, + "TXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 597, 598, 599, 600, 601, 602, 603, 604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14921.17-14921.31" + } + }, + "TXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 605, 606, 607, 608, 609, 610, 611, 612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14922.17-14922.30" + } + }, + "TXCHARISK": { + "hide_name": 0, + "bits": [ 613, 614, 615, 616, 617, 618, 619, 620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14923.17-14923.26" + } + }, + "TXCOMFINISH": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14721.12-14721.23" + } + }, + "TXCOMINIT": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14847.11-14847.20" + } + }, + "TXCOMSAS": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14848.11-14848.19" + } + }, + "TXCOMWAKE": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14849.11-14849.20" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14917.18-14917.24" + } + }, + "TXDEEMPH": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14850.11-14850.19" + } + }, + "TXDETECTRX": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14851.11-14851.21" + } + }, + "TXDIFFCTRL": { + "hide_name": 0, + "bits": [ 477, 478, 479, 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14910.17-14910.27" + } + }, + "TXDIFFPD": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14852.11-14852.19" + } + }, + "TXDLYBYPASS": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14853.11-14853.22" + } + }, + "TXDLYEN": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14854.11-14854.18" + } + }, + "TXDLYHOLD": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14855.11-14855.20" + } + }, + "TXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14856.11-14856.22" + } + }, + "TXDLYSRESET": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14857.11-14857.22" + } + }, + "TXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14722.12-14722.27" + } + }, + "TXDLYUPDOWN": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14858.11-14858.22" + } + }, + "TXELECIDLE": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14859.11-14859.21" + } + }, + "TXGEARBOXREADY": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14723.12-14723.26" + } + }, + "TXHEADER": { + "hide_name": 0, + "bits": [ 458, 459, 460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14904.17-14904.25" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14860.11-14860.20" + } + }, + "TXMAINCURSOR": { + "hide_name": 0, + "bits": [ 575, 576, 577, 578, 579, 580, 581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14918.17-14918.29" + } + }, + "TXMARGIN": { + "hide_name": 0, + "bits": [ 461, 462, 463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14905.17-14905.25" + } + }, + "TXOUTCLK": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14724.12-14724.20" + } + }, + "TXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14725.12-14725.26" + } + }, + "TXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14726.12-14726.23" + } + }, + "TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 464, 465, 466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14906.17-14906.28" + } + }, + "TXPCSRESET": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14861.11-14861.21" + } + }, + "TXPD": { + "hide_name": 0, + "bits": [ 433, 434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14895.17-14895.21" + } + }, + "TXPDELECIDLEMODE": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14862.11-14862.27" + } + }, + "TXPHALIGN": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14863.11-14863.20" + } + }, + "TXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14727.12-14727.25" + } + }, + "TXPHALIGNEN": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14864.11-14864.22" + } + }, + "TXPHDLYPD": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14865.11-14865.20" + } + }, + "TXPHDLYRESET": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14866.11-14866.23" + } + }, + "TXPHDLYTSTCLK": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "invertible_pin": "IS_TXPHDLYTSTCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14868.11-14868.24" + } + }, + "TXPHINIT": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14869.11-14869.19" + } + }, + "TXPHINITDONE": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14728.12-14728.24" + } + }, + "TXPHOVRDEN": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14870.11-14870.21" + } + }, + "TXPISOPD": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14871.11-14871.19" + } + }, + "TXPMARESET": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14872.11-14872.21" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14873.11-14873.21" + } + }, + "TXPOSTCURSOR": { + "hide_name": 0, + "bits": [ 501, 502, 503, 504, 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14915.17-14915.29" + } + }, + "TXPOSTCURSORINV": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14874.11-14874.26" + } + }, + "TXPRBSFORCEERR": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14875.11-14875.25" + } + }, + "TXPRBSSEL": { + "hide_name": 0, + "bits": [ 467, 468, 469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14907.17-14907.26" + } + }, + "TXPRECURSOR": { + "hide_name": 0, + "bits": [ 506, 507, 508, 509, 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14916.17-14916.28" + } + }, + "TXPRECURSORINV": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14876.11-14876.25" + } + }, + "TXQPIBIASEN": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14877.11-14877.22" + } + }, + "TXQPISENN": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14729.12-14729.21" + } + }, + "TXQPISENP": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14730.12-14730.21" + } + }, + "TXQPISTRONGPDOWN": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14878.11-14878.27" + } + }, + "TXQPIWEAKPUP": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14879.11-14879.23" + } + }, + "TXRATE": { + "hide_name": 0, + "bits": [ 470, 471, 472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14908.17-14908.23" + } + }, + "TXRATEDONE": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14731.12-14731.22" + } + }, + "TXRESETDONE": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14732.12-14732.23" + } + }, + "TXSEQUENCE": { + "hide_name": 0, + "bits": [ 582, 583, 584, 585, 586, 587, 588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14919.17-14919.27" + } + }, + "TXSTARTSEQ": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14880.11-14880.21" + } + }, + "TXSWING": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14881.11-14881.18" + } + }, + "TXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 435, 436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14896.17-14896.28" + } + }, + "TXUSERRDY": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14882.11-14882.20" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "invertible_pin": "IS_TXUSRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14886.11-14886.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "invertible_pin": "IS_TXUSRCLK2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14884.11-14884.20" + } + } + } + }, + "GTXE2_COMMON": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14927.1-14988.10" + }, + "parameter_default_values": { + "BIAS_CFG": "0000000000000000000001000000000000000000000000000001000000000000", + "COMMON_CFG": "00000000000000000000000000000000", + "IS_DRPCLK_INVERTED": "0", + "IS_GTGREFCLK_INVERTED": "0", + "IS_QPLLLOCKDETCLK_INVERTED": "0", + "QPLL_CFG": "000011010000000000110000001", + "QPLL_CLKOUT_CFG": "0000", + "QPLL_COARSE_FREQ_OVRD": "010000", + "QPLL_COARSE_FREQ_OVRD_EN": "0", + "QPLL_CP": "0000011111", + "QPLL_CP_MONITOR_EN": "0", + "QPLL_DMONITOR_SEL": "0", + "QPLL_FBDIV": "0000000000", + "QPLL_FBDIV_MONITOR_EN": "0", + "QPLL_FBDIV_RATIO": "0", + "QPLL_INIT_CFG": "000000000000000000000110", + "QPLL_LOCK_CFG": "0010000111101000", + "QPLL_LPF": "1111", + "QPLL_REFCLK_DIV": "00000000000000000000000000000010", + "SIM_QPLLREFCLK_SEL": "001", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_VERSION": "4.0" + }, + "ports": { + "DRPRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "QPLLFBCLKLOST": { + "direction": "output", + "bits": [ 3 ] + }, + "QPLLLOCK": { + "direction": "output", + "bits": [ 4 ] + }, + "QPLLOUTCLK": { + "direction": "output", + "bits": [ 5 ] + }, + "QPLLOUTREFCLK": { + "direction": "output", + "bits": [ 6 ] + }, + "QPLLREFCLKLOST": { + "direction": "output", + "bits": [ 7 ] + }, + "REFCLKOUTMONITOR": { + "direction": "output", + "bits": [ 8 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24 ] + }, + "QPLLDMONITOR": { + "direction": "output", + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32 ] + }, + "BGBYPASSB": { + "direction": "input", + "bits": [ 33 ] + }, + "BGMONITORENB": { + "direction": "input", + "bits": [ 34 ] + }, + "BGPDB": { + "direction": "input", + "bits": [ 35 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 36 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 37 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 38 ] + }, + "GTGREFCLK": { + "direction": "input", + "bits": [ 39 ] + }, + "GTNORTHREFCLK0": { + "direction": "input", + "bits": [ 40 ] + }, + "GTNORTHREFCLK1": { + "direction": "input", + "bits": [ 41 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 42 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 43 ] + }, + "GTSOUTHREFCLK0": { + "direction": "input", + "bits": [ 44 ] + }, + "GTSOUTHREFCLK1": { + "direction": "input", + "bits": [ 45 ] + }, + "QPLLLOCKDETCLK": { + "direction": "input", + "bits": [ 46 ] + }, + "QPLLLOCKEN": { + "direction": "input", + "bits": [ 47 ] + }, + "QPLLOUTRESET": { + "direction": "input", + "bits": [ 48 ] + }, + "QPLLPD": { + "direction": "input", + "bits": [ 49 ] + }, + "QPLLRESET": { + "direction": "input", + "bits": [ 50 ] + }, + "RCALENB": { + "direction": "input", + "bits": [ 51 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "QPLLRSVD1": { + "direction": "input", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "QPLLREFCLKSEL": { + "direction": "input", + "bits": [ 84, 85, 86 ] + }, + "BGRCALOVRD": { + "direction": "input", + "bits": [ 87, 88, 89, 90, 91 ] + }, + "QPLLRSVD2": { + "direction": "input", + "bits": [ 92, 93, 94, 95, 96 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "PMARSVD": { + "direction": "input", + "bits": [ 105, 106, 107, 108, 109, 110, 111, 112 ] + } + }, + "cells": { + }, + "netnames": { + "BGBYPASSB": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14959.11-14959.20" + } + }, + "BGMONITORENB": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14960.11-14960.23" + } + }, + "BGPDB": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14961.11-14961.16" + } + }, + "BGRCALOVRD": { + "hide_name": 0, + "bits": [ 87, 88, 89, 90, 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14984.17-14984.27" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14986.17-14986.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "invertible_pin": "IS_DRPCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14963.11-14963.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14981.18-14981.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14957.19-14957.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14964.11-14964.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14950.12-14950.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14965.11-14965.16" + } + }, + "GTGREFCLK": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "invertible_pin": "IS_GTGREFCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14967.11-14967.20" + } + }, + "GTNORTHREFCLK0": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14968.11-14968.25" + } + }, + "GTNORTHREFCLK1": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14969.11-14969.25" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14970.11-14970.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14971.11-14971.20" + } + }, + "GTSOUTHREFCLK0": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14972.11-14972.25" + } + }, + "GTSOUTHREFCLK1": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14973.11-14973.25" + } + }, + "PMARSVD": { + "hide_name": 0, + "bits": [ 105, 106, 107, 108, 109, 110, 111, 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14987.17-14987.24" + } + }, + "QPLLDMONITOR": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14958.18-14958.30" + } + }, + "QPLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14951.12-14951.25" + } + }, + "QPLLLOCK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14952.12-14952.20" + } + }, + "QPLLLOCKDETCLK": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "invertible_pin": "IS_QPLLLOCKDETCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14975.11-14975.25" + } + }, + "QPLLLOCKEN": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14976.11-14976.21" + } + }, + "QPLLOUTCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14953.12-14953.22" + } + }, + "QPLLOUTREFCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14954.12-14954.25" + } + }, + "QPLLOUTRESET": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14977.11-14977.23" + } + }, + "QPLLPD": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14978.11-14978.17" + } + }, + "QPLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14955.12-14955.26" + } + }, + "QPLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 84, 85, 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14983.17-14983.30" + } + }, + "QPLLRESET": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14979.11-14979.20" + } + }, + "QPLLRSVD1": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14982.18-14982.27" + } + }, + "QPLLRSVD2": { + "hide_name": 0, + "bits": [ 92, 93, 94, 95, 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14985.17-14985.26" + } + }, + "RCALENB": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14980.11-14980.18" + } + }, + "REFCLKOUTMONITOR": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14956.12-14956.28" + } + } + } + }, + "GTX_DUAL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:11981.1-12415.10" + }, + "parameter_default_values": { + "AC_CAP_DIS_0": "TRUE", + "AC_CAP_DIS_1": "TRUE", + "ALIGN_COMMA_WORD_0": "00000000000000000000000000000001", + "ALIGN_COMMA_WORD_1": "00000000000000000000000000000001", + "CB2_INH_CC_PERIOD_0": "00000000000000000000000000001000", + "CB2_INH_CC_PERIOD_1": "00000000000000000000000000001000", + "CDR_PH_ADJ_TIME": "01010", + "CHAN_BOND_1_MAX_SKEW_0": "00000000000000000000000000000111", + "CHAN_BOND_1_MAX_SKEW_1": "00000000000000000000000000000111", + "CHAN_BOND_2_MAX_SKEW_0": "00000000000000000000000000000001", + "CHAN_BOND_2_MAX_SKEW_1": "00000000000000000000000000000001", + "CHAN_BOND_KEEP_ALIGN_0": "FALSE", + "CHAN_BOND_KEEP_ALIGN_1": "FALSE", + "CHAN_BOND_LEVEL_0": "00000000000000000000000000000000", + "CHAN_BOND_LEVEL_1": "00000000000000000000000000000000", + "CHAN_BOND_MODE_0": "OFF", + "CHAN_BOND_MODE_1": "OFF", + "CHAN_BOND_SEQ_1_1_0": "0001001010", + "CHAN_BOND_SEQ_1_1_1": "0001001010", + "CHAN_BOND_SEQ_1_2_0": "0001001010", + "CHAN_BOND_SEQ_1_2_1": "0001001010", + "CHAN_BOND_SEQ_1_3_0": "0001001010", + "CHAN_BOND_SEQ_1_3_1": "0001001010", + "CHAN_BOND_SEQ_1_4_0": "0110111100", + "CHAN_BOND_SEQ_1_4_1": "0110111100", + "CHAN_BOND_SEQ_1_ENABLE_0": "1111", + "CHAN_BOND_SEQ_1_ENABLE_1": "1111", + "CHAN_BOND_SEQ_2_1_0": "0110111100", + "CHAN_BOND_SEQ_2_1_1": "0110111100", + "CHAN_BOND_SEQ_2_2_0": "0100111100", + "CHAN_BOND_SEQ_2_2_1": "0100111100", + "CHAN_BOND_SEQ_2_3_0": "0100111100", + "CHAN_BOND_SEQ_2_3_1": "0100111100", + "CHAN_BOND_SEQ_2_4_0": "0100111100", + "CHAN_BOND_SEQ_2_4_1": "0100111100", + "CHAN_BOND_SEQ_2_ENABLE_0": "1111", + "CHAN_BOND_SEQ_2_ENABLE_1": "1111", + "CHAN_BOND_SEQ_2_USE_0": "TRUE", + "CHAN_BOND_SEQ_2_USE_1": "TRUE", + "CHAN_BOND_SEQ_LEN_0": "00000000000000000000000000000100", + "CHAN_BOND_SEQ_LEN_1": "00000000000000000000000000000100", + "CLK25_DIVIDER": "00000000000000000000000000000100", + "CLKINDC_B": "TRUE", + "CLKRCV_TRST": "FALSE", + "CLK_CORRECT_USE_0": "TRUE", + "CLK_CORRECT_USE_1": "TRUE", + "CLK_COR_ADJ_LEN_0": "00000000000000000000000000000001", + "CLK_COR_ADJ_LEN_1": "00000000000000000000000000000001", + "CLK_COR_DET_LEN_0": "00000000000000000000000000000001", + "CLK_COR_DET_LEN_1": "00000000000000000000000000000001", + "CLK_COR_INSERT_IDLE_FLAG_0": "FALSE", + "CLK_COR_INSERT_IDLE_FLAG_1": "FALSE", + "CLK_COR_KEEP_IDLE_0": "FALSE", + "CLK_COR_KEEP_IDLE_1": "FALSE", + "CLK_COR_MAX_LAT_0": "00000000000000000000000000010010", + "CLK_COR_MAX_LAT_1": "00000000000000000000000000010010", + "CLK_COR_MIN_LAT_0": "00000000000000000000000000010000", + "CLK_COR_MIN_LAT_1": "00000000000000000000000000010000", + "CLK_COR_PRECEDENCE_0": "TRUE", + "CLK_COR_PRECEDENCE_1": "TRUE", + "CLK_COR_REPEAT_WAIT_0": "00000000000000000000000000000101", + "CLK_COR_REPEAT_WAIT_1": "00000000000000000000000000000101", + "CLK_COR_SEQ_1_1_0": "0100011100", + "CLK_COR_SEQ_1_1_1": "0100011100", + "CLK_COR_SEQ_1_2_0": "0000000000", + "CLK_COR_SEQ_1_2_1": "0000000000", + "CLK_COR_SEQ_1_3_0": "0000000000", + "CLK_COR_SEQ_1_3_1": "0000000000", + "CLK_COR_SEQ_1_4_0": "0000000000", + "CLK_COR_SEQ_1_4_1": "0000000000", + "CLK_COR_SEQ_1_ENABLE_0": "1111", + "CLK_COR_SEQ_1_ENABLE_1": "1111", + "CLK_COR_SEQ_2_1_0": "0000000000", + "CLK_COR_SEQ_2_1_1": "0000000000", + "CLK_COR_SEQ_2_2_0": "0000000000", + "CLK_COR_SEQ_2_2_1": "0000000000", + "CLK_COR_SEQ_2_3_0": "0000000000", + "CLK_COR_SEQ_2_3_1": "0000000000", + "CLK_COR_SEQ_2_4_0": "0000000000", + "CLK_COR_SEQ_2_4_1": "0000000000", + "CLK_COR_SEQ_2_ENABLE_0": "1111", + "CLK_COR_SEQ_2_ENABLE_1": "1111", + "CLK_COR_SEQ_2_USE_0": "FALSE", + "CLK_COR_SEQ_2_USE_1": "FALSE", + "CM_TRIM_0": "10", + "CM_TRIM_1": "10", + "COMMA_10B_ENABLE_0": "1111111111", + "COMMA_10B_ENABLE_1": "1111111111", + "COMMA_DOUBLE_0": "FALSE", + "COMMA_DOUBLE_1": "FALSE", + "COM_BURST_VAL_0": "1111", + "COM_BURST_VAL_1": "1111", + "DEC_MCOMMA_DETECT_0": "TRUE", + "DEC_MCOMMA_DETECT_1": "TRUE", + "DEC_PCOMMA_DETECT_0": "TRUE", + "DEC_PCOMMA_DETECT_1": "TRUE", + "DEC_VALID_COMMA_ONLY_0": "TRUE", + "DEC_VALID_COMMA_ONLY_1": "TRUE", + "DFE_CAL_TIME": "00110", + "DFE_CFG_0": "0001111011", + "DFE_CFG_1": "0001111011", + "GEARBOX_ENDEC_0": "000", + "GEARBOX_ENDEC_1": "000", + "MCOMMA_10B_VALUE_0": "1010000011", + "MCOMMA_10B_VALUE_1": "1010000011", + "MCOMMA_DETECT_0": "TRUE", + "MCOMMA_DETECT_1": "TRUE", + "OOBDETECT_THRESHOLD_0": "111", + "OOBDETECT_THRESHOLD_1": "111", + "OOB_CLK_DIVIDER": "00000000000000000000000000000100", + "OVERSAMPLE_MODE": "FALSE", + "PCI_EXPRESS_MODE_0": "TRUE", + "PCI_EXPRESS_MODE_1": "TRUE", + "PCOMMA_10B_VALUE_0": "0101111100", + "PCOMMA_10B_VALUE_1": "0101111100", + "PCOMMA_DETECT_0": "TRUE", + "PCOMMA_DETECT_1": "TRUE", + "PLL_COM_CFG": "001000010110100000001010", + "PLL_CP_CFG": "00000000", + "PLL_DIVSEL_FB": "00000000000000000000000000000101", + "PLL_DIVSEL_REF": "00000000000000000000000000000010", + "PLL_FB_DCCEN": "FALSE", + "PLL_LKDET_CFG": "111", + "PLL_RXDIVSEL_OUT_0": "00000000000000000000000000000001", + "PLL_RXDIVSEL_OUT_1": "00000000000000000000000000000001", + "PLL_SATA_0": "FALSE", + "PLL_SATA_1": "FALSE", + "PLL_TDCC_CFG": "000", + "PLL_TXDIVSEL_OUT_0": "00000000000000000000000000000001", + "PLL_TXDIVSEL_OUT_1": "00000000000000000000000000000001", + "PMA_CDR_SCAN_0": "110110000001000000001000000", + "PMA_CDR_SCAN_1": "110110000001000000001000000", + "PMA_COM_CFG": "000000000000000000000000000000000000000000000000000000000000000000000", + "PMA_RXSYNC_CFG_0": "0000000", + "PMA_RXSYNC_CFG_1": "0000000", + "PMA_RX_CFG_0": "0010111001110000100001001", + "PMA_RX_CFG_1": "0010111001110000100001001", + "PMA_TX_CFG_0": "00000000000010000010", + "PMA_TX_CFG_1": "00000000000010000010", + "PRBS_ERR_THRESHOLD_0": "00000000000000000000000000000001", + "PRBS_ERR_THRESHOLD_1": "00000000000000000000000000000001", + "RCV_TERM_GND_0": "TRUE", + "RCV_TERM_GND_1": "TRUE", + "RCV_TERM_VTTRX_0": "FALSE", + "RCV_TERM_VTTRX_1": "FALSE", + "RXGEARBOX_USE_0": "FALSE", + "RXGEARBOX_USE_1": "FALSE", + "RX_BUFFER_USE_0": "TRUE", + "RX_BUFFER_USE_1": "TRUE", + "RX_DECODE_SEQ_MATCH_0": "TRUE", + "RX_DECODE_SEQ_MATCH_1": "TRUE", + "RX_EN_IDLE_HOLD_CDR": "FALSE", + "RX_EN_IDLE_HOLD_DFE_0": "TRUE", + "RX_EN_IDLE_HOLD_DFE_1": "TRUE", + "RX_EN_IDLE_RESET_BUF_0": "TRUE", + "RX_EN_IDLE_RESET_BUF_1": "TRUE", + "RX_EN_IDLE_RESET_FR": "TRUE", + "RX_EN_IDLE_RESET_PH": "TRUE", + "RX_IDLE_HI_CNT_0": "1000", + "RX_IDLE_HI_CNT_1": "1000", + "RX_IDLE_LO_CNT_0": "0000", + "RX_IDLE_LO_CNT_1": "0000", + "RX_LOSS_OF_SYNC_FSM_0": "FALSE", + "RX_LOSS_OF_SYNC_FSM_1": "FALSE", + "RX_LOS_INVALID_INCR_0": "00000000000000000000000000001000", + "RX_LOS_INVALID_INCR_1": "00000000000000000000000000001000", + "RX_LOS_THRESHOLD_0": "00000000000000000000000010000000", + "RX_LOS_THRESHOLD_1": "00000000000000000000000010000000", + "RX_SLIDE_MODE_0": "PCS", + "RX_SLIDE_MODE_1": "PCS", + "RX_STATUS_FMT_0": "PCIE", + "RX_STATUS_FMT_1": "PCIE", + "RX_XCLK_SEL_0": "RXREC", + "RX_XCLK_SEL_1": "RXREC", + "SATA_BURST_VAL_0": "100", + "SATA_BURST_VAL_1": "100", + "SATA_IDLE_VAL_0": "011", + "SATA_IDLE_VAL_1": "011", + "SATA_MAX_BURST_0": "00000000000000000000000000000111", + "SATA_MAX_BURST_1": "00000000000000000000000000000111", + "SATA_MAX_INIT_0": "00000000000000000000000000010110", + "SATA_MAX_INIT_1": "00000000000000000000000000010110", + "SATA_MAX_WAKE_0": "00000000000000000000000000000111", + "SATA_MAX_WAKE_1": "00000000000000000000000000000111", + "SATA_MIN_BURST_0": "00000000000000000000000000000100", + "SATA_MIN_BURST_1": "00000000000000000000000000000100", + "SATA_MIN_INIT_0": "00000000000000000000000000001100", + "SATA_MIN_INIT_1": "00000000000000000000000000001100", + "SATA_MIN_WAKE_0": "00000000000000000000000000000100", + "SATA_MIN_WAKE_1": "00000000000000000000000000000100", + "SIM_GTXRESET_SPEEDUP": "00000000000000000000000000000000", + "SIM_PLL_PERDIV2": "110010000", + "SIM_RECEIVER_DETECT_PASS_0": "FALSE", + "SIM_RECEIVER_DETECT_PASS_1": "FALSE", + "STEPPING": "0 ", + "TERMINATION_CTRL": "10100", + "TERMINATION_IMP_0": "00000000000000000000000000110010", + "TERMINATION_IMP_1": "00000000000000000000000000110010", + "TERMINATION_OVRD": "FALSE", + "TRANS_TIME_FROM_P2_0": "000000111100", + "TRANS_TIME_FROM_P2_1": "000000111100", + "TRANS_TIME_NON_P2_0": "00011001", + "TRANS_TIME_NON_P2_1": "00011001", + "TRANS_TIME_TO_P2_0": "0001100100", + "TRANS_TIME_TO_P2_1": "0001100100", + "TXGEARBOX_USE_0": "FALSE", + "TXGEARBOX_USE_1": "FALSE", + "TXRX_INVERT_0": "000", + "TXRX_INVERT_1": "000", + "TX_BUFFER_USE_0": "TRUE", + "TX_BUFFER_USE_1": "TRUE", + "TX_DETECT_RX_CFG_0": "01100000110010", + "TX_DETECT_RX_CFG_1": "01100000110010", + "TX_IDLE_DELAY_0": "010", + "TX_IDLE_DELAY_1": "010", + "TX_XCLK_SEL_0": "TXUSR", + "TX_XCLK_SEL_1": "TXUSR" + }, + "ports": { + "DRDY": { + "direction": "output", + "bits": [ 2 ] + }, + "PHYSTATUS0": { + "direction": "output", + "bits": [ 3 ] + }, + "PHYSTATUS1": { + "direction": "output", + "bits": [ 4 ] + }, + "PLLLKDET": { + "direction": "output", + "bits": [ 5 ] + }, + "REFCLKOUT": { + "direction": "output", + "bits": [ 6 ] + }, + "RESETDONE0": { + "direction": "output", + "bits": [ 7 ] + }, + "RESETDONE1": { + "direction": "output", + "bits": [ 8 ] + }, + "RXBYTEISALIGNED0": { + "direction": "output", + "bits": [ 9 ] + }, + "RXBYTEISALIGNED1": { + "direction": "output", + "bits": [ 10 ] + }, + "RXBYTEREALIGN0": { + "direction": "output", + "bits": [ 11 ] + }, + "RXBYTEREALIGN1": { + "direction": "output", + "bits": [ 12 ] + }, + "RXCHANBONDSEQ0": { + "direction": "output", + "bits": [ 13 ] + }, + "RXCHANBONDSEQ1": { + "direction": "output", + "bits": [ 14 ] + }, + "RXCHANISALIGNED0": { + "direction": "output", + "bits": [ 15 ] + }, + "RXCHANISALIGNED1": { + "direction": "output", + "bits": [ 16 ] + }, + "RXCHANREALIGN0": { + "direction": "output", + "bits": [ 17 ] + }, + "RXCHANREALIGN1": { + "direction": "output", + "bits": [ 18 ] + }, + "RXCOMMADET0": { + "direction": "output", + "bits": [ 19 ] + }, + "RXCOMMADET1": { + "direction": "output", + "bits": [ 20 ] + }, + "RXDATAVALID0": { + "direction": "output", + "bits": [ 21 ] + }, + "RXDATAVALID1": { + "direction": "output", + "bits": [ 22 ] + }, + "RXELECIDLE0": { + "direction": "output", + "bits": [ 23 ] + }, + "RXELECIDLE1": { + "direction": "output", + "bits": [ 24 ] + }, + "RXHEADERVALID0": { + "direction": "output", + "bits": [ 25 ] + }, + "RXHEADERVALID1": { + "direction": "output", + "bits": [ 26 ] + }, + "RXOVERSAMPLEERR0": { + "direction": "output", + "bits": [ 27 ] + }, + "RXOVERSAMPLEERR1": { + "direction": "output", + "bits": [ 28 ] + }, + "RXPRBSERR0": { + "direction": "output", + "bits": [ 29 ] + }, + "RXPRBSERR1": { + "direction": "output", + "bits": [ 30 ] + }, + "RXRECCLK0": { + "direction": "output", + "bits": [ 31 ] + }, + "RXRECCLK1": { + "direction": "output", + "bits": [ 32 ] + }, + "RXSTARTOFSEQ0": { + "direction": "output", + "bits": [ 33 ] + }, + "RXSTARTOFSEQ1": { + "direction": "output", + "bits": [ 34 ] + }, + "RXVALID0": { + "direction": "output", + "bits": [ 35 ] + }, + "RXVALID1": { + "direction": "output", + "bits": [ 36 ] + }, + "TXGEARBOXREADY0": { + "direction": "output", + "bits": [ 37 ] + }, + "TXGEARBOXREADY1": { + "direction": "output", + "bits": [ 38 ] + }, + "TXN0": { + "direction": "output", + "bits": [ 39 ] + }, + "TXN1": { + "direction": "output", + "bits": [ 40 ] + }, + "TXOUTCLK0": { + "direction": "output", + "bits": [ 41 ] + }, + "TXOUTCLK1": { + "direction": "output", + "bits": [ 42 ] + }, + "TXP0": { + "direction": "output", + "bits": [ 43 ] + }, + "TXP1": { + "direction": "output", + "bits": [ 44 ] + }, + "DO": { + "direction": "output", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60 ] + }, + "RXLOSSOFSYNC0": { + "direction": "output", + "bits": [ 61, 62 ] + }, + "RXLOSSOFSYNC1": { + "direction": "output", + "bits": [ 63, 64 ] + }, + "TXBUFSTATUS0": { + "direction": "output", + "bits": [ 65, 66 ] + }, + "TXBUFSTATUS1": { + "direction": "output", + "bits": [ 67, 68 ] + }, + "DFESENSCAL0": { + "direction": "output", + "bits": [ 69, 70, 71 ] + }, + "DFESENSCAL1": { + "direction": "output", + "bits": [ 72, 73, 74 ] + }, + "RXBUFSTATUS0": { + "direction": "output", + "bits": [ 75, 76, 77 ] + }, + "RXBUFSTATUS1": { + "direction": "output", + "bits": [ 78, 79, 80 ] + }, + "RXCLKCORCNT0": { + "direction": "output", + "bits": [ 81, 82, 83 ] + }, + "RXCLKCORCNT1": { + "direction": "output", + "bits": [ 84, 85, 86 ] + }, + "RXHEADER0": { + "direction": "output", + "bits": [ 87, 88, 89 ] + }, + "RXHEADER1": { + "direction": "output", + "bits": [ 90, 91, 92 ] + }, + "RXSTATUS0": { + "direction": "output", + "bits": [ 93, 94, 95 ] + }, + "RXSTATUS1": { + "direction": "output", + "bits": [ 96, 97, 98 ] + }, + "RXDATA0": { + "direction": "output", + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130 ] + }, + "RXDATA1": { + "direction": "output", + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162 ] + }, + "DFETAP3MONITOR0": { + "direction": "output", + "bits": [ 163, 164, 165, 166 ] + }, + "DFETAP3MONITOR1": { + "direction": "output", + "bits": [ 167, 168, 169, 170 ] + }, + "DFETAP4MONITOR0": { + "direction": "output", + "bits": [ 171, 172, 173, 174 ] + }, + "DFETAP4MONITOR1": { + "direction": "output", + "bits": [ 175, 176, 177, 178 ] + }, + "RXCHARISCOMMA0": { + "direction": "output", + "bits": [ 179, 180, 181, 182 ] + }, + "RXCHARISCOMMA1": { + "direction": "output", + "bits": [ 183, 184, 185, 186 ] + }, + "RXCHARISK0": { + "direction": "output", + "bits": [ 187, 188, 189, 190 ] + }, + "RXCHARISK1": { + "direction": "output", + "bits": [ 191, 192, 193, 194 ] + }, + "RXCHBONDO0": { + "direction": "output", + "bits": [ 195, 196, 197, 198 ] + }, + "RXCHBONDO1": { + "direction": "output", + "bits": [ 199, 200, 201, 202 ] + }, + "RXDISPERR0": { + "direction": "output", + "bits": [ 203, 204, 205, 206 ] + }, + "RXDISPERR1": { + "direction": "output", + "bits": [ 207, 208, 209, 210 ] + }, + "RXNOTINTABLE0": { + "direction": "output", + "bits": [ 211, 212, 213, 214 ] + }, + "RXNOTINTABLE1": { + "direction": "output", + "bits": [ 215, 216, 217, 218 ] + }, + "RXRUNDISP0": { + "direction": "output", + "bits": [ 219, 220, 221, 222 ] + }, + "RXRUNDISP1": { + "direction": "output", + "bits": [ 223, 224, 225, 226 ] + }, + "TXKERR0": { + "direction": "output", + "bits": [ 227, 228, 229, 230 ] + }, + "TXKERR1": { + "direction": "output", + "bits": [ 231, 232, 233, 234 ] + }, + "TXRUNDISP0": { + "direction": "output", + "bits": [ 235, 236, 237, 238 ] + }, + "TXRUNDISP1": { + "direction": "output", + "bits": [ 239, 240, 241, 242 ] + }, + "DFEEYEDACMONITOR0": { + "direction": "output", + "bits": [ 243, 244, 245, 246, 247 ] + }, + "DFEEYEDACMONITOR1": { + "direction": "output", + "bits": [ 248, 249, 250, 251, 252 ] + }, + "DFETAP1MONITOR0": { + "direction": "output", + "bits": [ 253, 254, 255, 256, 257 ] + }, + "DFETAP1MONITOR1": { + "direction": "output", + "bits": [ 258, 259, 260, 261, 262 ] + }, + "DFETAP2MONITOR0": { + "direction": "output", + "bits": [ 263, 264, 265, 266, 267 ] + }, + "DFETAP2MONITOR1": { + "direction": "output", + "bits": [ 268, 269, 270, 271, 272 ] + }, + "DFECLKDLYADJMONITOR0": { + "direction": "output", + "bits": [ 273, 274, 275, 276, 277, 278 ] + }, + "DFECLKDLYADJMONITOR1": { + "direction": "output", + "bits": [ 279, 280, 281, 282, 283, 284 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 285 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 286 ] + }, + "DEN": { + "direction": "input", + "bits": [ 287 ] + }, + "DWE": { + "direction": "input", + "bits": [ 288 ] + }, + "GTXRESET": { + "direction": "input", + "bits": [ 289 ] + }, + "INTDATAWIDTH": { + "direction": "input", + "bits": [ 290 ] + }, + "PLLLKDETEN": { + "direction": "input", + "bits": [ 291 ] + }, + "PLLPOWERDOWN": { + "direction": "input", + "bits": [ 292 ] + }, + "PRBSCNTRESET0": { + "direction": "input", + "bits": [ 293 ] + }, + "PRBSCNTRESET1": { + "direction": "input", + "bits": [ 294 ] + }, + "REFCLKPWRDNB": { + "direction": "input", + "bits": [ 295 ] + }, + "RXBUFRESET0": { + "direction": "input", + "bits": [ 296 ] + }, + "RXBUFRESET1": { + "direction": "input", + "bits": [ 297 ] + }, + "RXCDRRESET0": { + "direction": "input", + "bits": [ 298 ] + }, + "RXCDRRESET1": { + "direction": "input", + "bits": [ 299 ] + }, + "RXCOMMADETUSE0": { + "direction": "input", + "bits": [ 300 ] + }, + "RXCOMMADETUSE1": { + "direction": "input", + "bits": [ 301 ] + }, + "RXDEC8B10BUSE0": { + "direction": "input", + "bits": [ 302 ] + }, + "RXDEC8B10BUSE1": { + "direction": "input", + "bits": [ 303 ] + }, + "RXENCHANSYNC0": { + "direction": "input", + "bits": [ 304 ] + }, + "RXENCHANSYNC1": { + "direction": "input", + "bits": [ 305 ] + }, + "RXENEQB0": { + "direction": "input", + "bits": [ 306 ] + }, + "RXENEQB1": { + "direction": "input", + "bits": [ 307 ] + }, + "RXENMCOMMAALIGN0": { + "direction": "input", + "bits": [ 308 ] + }, + "RXENMCOMMAALIGN1": { + "direction": "input", + "bits": [ 309 ] + }, + "RXENPCOMMAALIGN0": { + "direction": "input", + "bits": [ 310 ] + }, + "RXENPCOMMAALIGN1": { + "direction": "input", + "bits": [ 311 ] + }, + "RXENPMAPHASEALIGN0": { + "direction": "input", + "bits": [ 312 ] + }, + "RXENPMAPHASEALIGN1": { + "direction": "input", + "bits": [ 313 ] + }, + "RXENSAMPLEALIGN0": { + "direction": "input", + "bits": [ 314 ] + }, + "RXENSAMPLEALIGN1": { + "direction": "input", + "bits": [ 315 ] + }, + "RXGEARBOXSLIP0": { + "direction": "input", + "bits": [ 316 ] + }, + "RXGEARBOXSLIP1": { + "direction": "input", + "bits": [ 317 ] + }, + "RXN0": { + "direction": "input", + "bits": [ 318 ] + }, + "RXN1": { + "direction": "input", + "bits": [ 319 ] + }, + "RXP0": { + "direction": "input", + "bits": [ 320 ] + }, + "RXP1": { + "direction": "input", + "bits": [ 321 ] + }, + "RXPMASETPHASE0": { + "direction": "input", + "bits": [ 322 ] + }, + "RXPMASETPHASE1": { + "direction": "input", + "bits": [ 323 ] + }, + "RXPOLARITY0": { + "direction": "input", + "bits": [ 324 ] + }, + "RXPOLARITY1": { + "direction": "input", + "bits": [ 325 ] + }, + "RXRESET0": { + "direction": "input", + "bits": [ 326 ] + }, + "RXRESET1": { + "direction": "input", + "bits": [ 327 ] + }, + "RXSLIDE0": { + "direction": "input", + "bits": [ 328 ] + }, + "RXSLIDE1": { + "direction": "input", + "bits": [ 329 ] + }, + "RXUSRCLK0": { + "direction": "input", + "bits": [ 330 ] + }, + "RXUSRCLK1": { + "direction": "input", + "bits": [ 331 ] + }, + "RXUSRCLK20": { + "direction": "input", + "bits": [ 332 ] + }, + "RXUSRCLK21": { + "direction": "input", + "bits": [ 333 ] + }, + "TXCOMSTART0": { + "direction": "input", + "bits": [ 334 ] + }, + "TXCOMSTART1": { + "direction": "input", + "bits": [ 335 ] + }, + "TXCOMTYPE0": { + "direction": "input", + "bits": [ 336 ] + }, + "TXCOMTYPE1": { + "direction": "input", + "bits": [ 337 ] + }, + "TXDETECTRX0": { + "direction": "input", + "bits": [ 338 ] + }, + "TXDETECTRX1": { + "direction": "input", + "bits": [ 339 ] + }, + "TXELECIDLE0": { + "direction": "input", + "bits": [ 340 ] + }, + "TXELECIDLE1": { + "direction": "input", + "bits": [ 341 ] + }, + "TXENC8B10BUSE0": { + "direction": "input", + "bits": [ 342 ] + }, + "TXENC8B10BUSE1": { + "direction": "input", + "bits": [ 343 ] + }, + "TXENPMAPHASEALIGN0": { + "direction": "input", + "bits": [ 344 ] + }, + "TXENPMAPHASEALIGN1": { + "direction": "input", + "bits": [ 345 ] + }, + "TXINHIBIT0": { + "direction": "input", + "bits": [ 346 ] + }, + "TXINHIBIT1": { + "direction": "input", + "bits": [ 347 ] + }, + "TXPMASETPHASE0": { + "direction": "input", + "bits": [ 348 ] + }, + "TXPMASETPHASE1": { + "direction": "input", + "bits": [ 349 ] + }, + "TXPOLARITY0": { + "direction": "input", + "bits": [ 350 ] + }, + "TXPOLARITY1": { + "direction": "input", + "bits": [ 351 ] + }, + "TXRESET0": { + "direction": "input", + "bits": [ 352 ] + }, + "TXRESET1": { + "direction": "input", + "bits": [ 353 ] + }, + "TXSTARTSEQ0": { + "direction": "input", + "bits": [ 354 ] + }, + "TXSTARTSEQ1": { + "direction": "input", + "bits": [ 355 ] + }, + "TXUSRCLK0": { + "direction": "input", + "bits": [ 356 ] + }, + "TXUSRCLK1": { + "direction": "input", + "bits": [ 357 ] + }, + "TXUSRCLK20": { + "direction": "input", + "bits": [ 358 ] + }, + "TXUSRCLK21": { + "direction": "input", + "bits": [ 359 ] + }, + "GTXTEST": { + "direction": "input", + "bits": [ 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373 ] + }, + "DI": { + "direction": "input", + "bits": [ 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389 ] + }, + "RXDATAWIDTH0": { + "direction": "input", + "bits": [ 390, 391 ] + }, + "RXDATAWIDTH1": { + "direction": "input", + "bits": [ 392, 393 ] + }, + "RXENPRBSTST0": { + "direction": "input", + "bits": [ 394, 395 ] + }, + "RXENPRBSTST1": { + "direction": "input", + "bits": [ 396, 397 ] + }, + "RXEQMIX0": { + "direction": "input", + "bits": [ 398, 399 ] + }, + "RXEQMIX1": { + "direction": "input", + "bits": [ 400, 401 ] + }, + "RXPOWERDOWN0": { + "direction": "input", + "bits": [ 402, 403 ] + }, + "RXPOWERDOWN1": { + "direction": "input", + "bits": [ 404, 405 ] + }, + "TXDATAWIDTH0": { + "direction": "input", + "bits": [ 406, 407 ] + }, + "TXDATAWIDTH1": { + "direction": "input", + "bits": [ 408, 409 ] + }, + "TXENPRBSTST0": { + "direction": "input", + "bits": [ 410, 411 ] + }, + "TXENPRBSTST1": { + "direction": "input", + "bits": [ 412, 413 ] + }, + "TXPOWERDOWN0": { + "direction": "input", + "bits": [ 414, 415 ] + }, + "TXPOWERDOWN1": { + "direction": "input", + "bits": [ 416, 417 ] + }, + "LOOPBACK0": { + "direction": "input", + "bits": [ 418, 419, 420 ] + }, + "LOOPBACK1": { + "direction": "input", + "bits": [ 421, 422, 423 ] + }, + "TXBUFDIFFCTRL0": { + "direction": "input", + "bits": [ 424, 425, 426 ] + }, + "TXBUFDIFFCTRL1": { + "direction": "input", + "bits": [ 427, 428, 429 ] + }, + "TXDIFFCTRL0": { + "direction": "input", + "bits": [ 430, 431, 432 ] + }, + "TXDIFFCTRL1": { + "direction": "input", + "bits": [ 433, 434, 435 ] + }, + "TXHEADER0": { + "direction": "input", + "bits": [ 436, 437, 438 ] + }, + "TXHEADER1": { + "direction": "input", + "bits": [ 439, 440, 441 ] + }, + "TXDATA0": { + "direction": "input", + "bits": [ 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473 ] + }, + "TXDATA1": { + "direction": "input", + "bits": [ 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505 ] + }, + "DFETAP30": { + "direction": "input", + "bits": [ 506, 507, 508, 509 ] + }, + "DFETAP31": { + "direction": "input", + "bits": [ 510, 511, 512, 513 ] + }, + "DFETAP40": { + "direction": "input", + "bits": [ 514, 515, 516, 517 ] + }, + "DFETAP41": { + "direction": "input", + "bits": [ 518, 519, 520, 521 ] + }, + "RXCHBONDI0": { + "direction": "input", + "bits": [ 522, 523, 524, 525 ] + }, + "RXCHBONDI1": { + "direction": "input", + "bits": [ 526, 527, 528, 529 ] + }, + "RXEQPOLE0": { + "direction": "input", + "bits": [ 530, 531, 532, 533 ] + }, + "RXEQPOLE1": { + "direction": "input", + "bits": [ 534, 535, 536, 537 ] + }, + "TXBYPASS8B10B0": { + "direction": "input", + "bits": [ 538, 539, 540, 541 ] + }, + "TXBYPASS8B10B1": { + "direction": "input", + "bits": [ 542, 543, 544, 545 ] + }, + "TXCHARDISPMODE0": { + "direction": "input", + "bits": [ 546, 547, 548, 549 ] + }, + "TXCHARDISPMODE1": { + "direction": "input", + "bits": [ 550, 551, 552, 553 ] + }, + "TXCHARDISPVAL0": { + "direction": "input", + "bits": [ 554, 555, 556, 557 ] + }, + "TXCHARDISPVAL1": { + "direction": "input", + "bits": [ 558, 559, 560, 561 ] + }, + "TXCHARISK0": { + "direction": "input", + "bits": [ 562, 563, 564, 565 ] + }, + "TXCHARISK1": { + "direction": "input", + "bits": [ 566, 567, 568, 569 ] + }, + "TXPREEMPHASIS0": { + "direction": "input", + "bits": [ 570, 571, 572, 573 ] + }, + "TXPREEMPHASIS1": { + "direction": "input", + "bits": [ 574, 575, 576, 577 ] + }, + "DFETAP10": { + "direction": "input", + "bits": [ 578, 579, 580, 581, 582 ] + }, + "DFETAP11": { + "direction": "input", + "bits": [ 583, 584, 585, 586, 587 ] + }, + "DFETAP20": { + "direction": "input", + "bits": [ 588, 589, 590, 591, 592 ] + }, + "DFETAP21": { + "direction": "input", + "bits": [ 593, 594, 595, 596, 597 ] + }, + "DFECLKDLYADJ0": { + "direction": "input", + "bits": [ 598, 599, 600, 601, 602, 603 ] + }, + "DFECLKDLYADJ1": { + "direction": "input", + "bits": [ 604, 605, 606, 607, 608, 609 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 610, 611, 612, 613, 614, 615, 616 ] + }, + "TXSEQUENCE0": { + "direction": "input", + "bits": [ 617, 618, 619, 620, 621, 622, 623 ] + }, + "TXSEQUENCE1": { + "direction": "input", + "bits": [ 624, 625, 626, 627, 628, 629, 630 ] + } + }, + "cells": { + }, + "netnames": { + "CLKIN": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12287.11-12287.16" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 610, 611, 612, 613, 614, 615, 616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12412.17-12412.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12288.11-12288.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12289.11-12289.14" + } + }, + "DFECLKDLYADJ0": { + "hide_name": 0, + "bits": [ 598, 599, 600, 601, 602, 603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12410.17-12410.30" + } + }, + "DFECLKDLYADJ1": { + "hide_name": 0, + "bits": [ 604, 605, 606, 607, 608, 609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12411.17-12411.30" + } + }, + "DFECLKDLYADJMONITOR0": { + "hide_name": 0, + "bits": [ 273, 274, 275, 276, 277, 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12285.18-12285.38" + } + }, + "DFECLKDLYADJMONITOR1": { + "hide_name": 0, + "bits": [ 279, 280, 281, 282, 283, 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12286.18-12286.38" + } + }, + "DFEEYEDACMONITOR0": { + "hide_name": 0, + "bits": [ 243, 244, 245, 246, 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12279.18-12279.35" + } + }, + "DFEEYEDACMONITOR1": { + "hide_name": 0, + "bits": [ 248, 249, 250, 251, 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12280.18-12280.35" + } + }, + "DFESENSCAL0": { + "hide_name": 0, + "bits": [ 69, 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12247.18-12247.29" + } + }, + "DFESENSCAL1": { + "hide_name": 0, + "bits": [ 72, 73, 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12248.18-12248.29" + } + }, + "DFETAP10": { + "hide_name": 0, + "bits": [ 578, 579, 580, 581, 582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12406.17-12406.25" + } + }, + "DFETAP11": { + "hide_name": 0, + "bits": [ 583, 584, 585, 586, 587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12407.17-12407.25" + } + }, + "DFETAP1MONITOR0": { + "hide_name": 0, + "bits": [ 253, 254, 255, 256, 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12281.18-12281.33" + } + }, + "DFETAP1MONITOR1": { + "hide_name": 0, + "bits": [ 258, 259, 260, 261, 262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12282.18-12282.33" + } + }, + "DFETAP20": { + "hide_name": 0, + "bits": [ 588, 589, 590, 591, 592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12408.17-12408.25" + } + }, + "DFETAP21": { + "hide_name": 0, + "bits": [ 593, 594, 595, 596, 597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12409.17-12409.25" + } + }, + "DFETAP2MONITOR0": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266, 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12283.18-12283.33" + } + }, + "DFETAP2MONITOR1": { + "hide_name": 0, + "bits": [ 268, 269, 270, 271, 272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12284.18-12284.33" + } + }, + "DFETAP30": { + "hide_name": 0, + "bits": [ 506, 507, 508, 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12388.17-12388.25" + } + }, + "DFETAP31": { + "hide_name": 0, + "bits": [ 510, 511, 512, 513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12389.17-12389.25" + } + }, + "DFETAP3MONITOR0": { + "hide_name": 0, + "bits": [ 163, 164, 165, 166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12259.18-12259.33" + } + }, + "DFETAP3MONITOR1": { + "hide_name": 0, + "bits": [ 167, 168, 169, 170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12260.18-12260.33" + } + }, + "DFETAP40": { + "hide_name": 0, + "bits": [ 514, 515, 516, 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12390.17-12390.25" + } + }, + "DFETAP41": { + "hide_name": 0, + "bits": [ 518, 519, 520, 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12391.17-12391.25" + } + }, + "DFETAP4MONITOR0": { + "hide_name": 0, + "bits": [ 171, 172, 173, 174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12261.18-12261.33" + } + }, + "DFETAP4MONITOR1": { + "hide_name": 0, + "bits": [ 175, 176, 177, 178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12262.18-12262.33" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12363.18-12363.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12242.19-12242.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12199.12-12199.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12290.11-12290.14" + } + }, + "GTXRESET": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12291.11-12291.19" + } + }, + "GTXTEST": { + "hide_name": 0, + "bits": [ 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12362.18-12362.25" + } + }, + "INTDATAWIDTH": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12292.11-12292.23" + } + }, + "LOOPBACK0": { + "hide_name": 0, + "bits": [ 418, 419, 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12378.17-12378.26" + } + }, + "LOOPBACK1": { + "hide_name": 0, + "bits": [ 421, 422, 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12379.17-12379.26" + } + }, + "PHYSTATUS0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12200.12-12200.22" + } + }, + "PHYSTATUS1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12201.12-12201.22" + } + }, + "PLLLKDET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12202.12-12202.20" + } + }, + "PLLLKDETEN": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12293.11-12293.21" + } + }, + "PLLPOWERDOWN": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12294.11-12294.23" + } + }, + "PRBSCNTRESET0": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12295.11-12295.24" + } + }, + "PRBSCNTRESET1": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12296.11-12296.24" + } + }, + "REFCLKOUT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12203.12-12203.21" + } + }, + "REFCLKPWRDNB": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12297.11-12297.23" + } + }, + "RESETDONE0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12204.12-12204.22" + } + }, + "RESETDONE1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12205.12-12205.22" + } + }, + "RXBUFRESET0": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12298.11-12298.22" + } + }, + "RXBUFRESET1": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12299.11-12299.22" + } + }, + "RXBUFSTATUS0": { + "hide_name": 0, + "bits": [ 75, 76, 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12249.18-12249.30" + } + }, + "RXBUFSTATUS1": { + "hide_name": 0, + "bits": [ 78, 79, 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12250.18-12250.30" + } + }, + "RXBYTEISALIGNED0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12206.12-12206.28" + } + }, + "RXBYTEISALIGNED1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12207.12-12207.28" + } + }, + "RXBYTEREALIGN0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12208.12-12208.26" + } + }, + "RXBYTEREALIGN1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12209.12-12209.26" + } + }, + "RXCDRRESET0": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12300.11-12300.22" + } + }, + "RXCDRRESET1": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12301.11-12301.22" + } + }, + "RXCHANBONDSEQ0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12210.12-12210.26" + } + }, + "RXCHANBONDSEQ1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12211.12-12211.26" + } + }, + "RXCHANISALIGNED0": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12212.12-12212.28" + } + }, + "RXCHANISALIGNED1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12213.12-12213.28" + } + }, + "RXCHANREALIGN0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12214.12-12214.26" + } + }, + "RXCHANREALIGN1": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12215.12-12215.26" + } + }, + "RXCHARISCOMMA0": { + "hide_name": 0, + "bits": [ 179, 180, 181, 182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12263.18-12263.32" + } + }, + "RXCHARISCOMMA1": { + "hide_name": 0, + "bits": [ 183, 184, 185, 186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12264.18-12264.32" + } + }, + "RXCHARISK0": { + "hide_name": 0, + "bits": [ 187, 188, 189, 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12265.18-12265.28" + } + }, + "RXCHARISK1": { + "hide_name": 0, + "bits": [ 191, 192, 193, 194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12266.18-12266.28" + } + }, + "RXCHBONDI0": { + "hide_name": 0, + "bits": [ 522, 523, 524, 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12392.17-12392.27" + } + }, + "RXCHBONDI1": { + "hide_name": 0, + "bits": [ 526, 527, 528, 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12393.17-12393.27" + } + }, + "RXCHBONDO0": { + "hide_name": 0, + "bits": [ 195, 196, 197, 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12267.18-12267.28" + } + }, + "RXCHBONDO1": { + "hide_name": 0, + "bits": [ 199, 200, 201, 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12268.18-12268.28" + } + }, + "RXCLKCORCNT0": { + "hide_name": 0, + "bits": [ 81, 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12251.18-12251.30" + } + }, + "RXCLKCORCNT1": { + "hide_name": 0, + "bits": [ 84, 85, 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12252.18-12252.30" + } + }, + "RXCOMMADET0": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12216.12-12216.23" + } + }, + "RXCOMMADET1": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12217.12-12217.23" + } + }, + "RXCOMMADETUSE0": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12302.11-12302.25" + } + }, + "RXCOMMADETUSE1": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12303.11-12303.25" + } + }, + "RXDATA0": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12257.19-12257.26" + } + }, + "RXDATA1": { + "hide_name": 0, + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12258.19-12258.26" + } + }, + "RXDATAVALID0": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12218.12-12218.24" + } + }, + "RXDATAVALID1": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12219.12-12219.24" + } + }, + "RXDATAWIDTH0": { + "hide_name": 0, + "bits": [ 390, 391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12364.17-12364.29" + } + }, + "RXDATAWIDTH1": { + "hide_name": 0, + "bits": [ 392, 393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12365.17-12365.29" + } + }, + "RXDEC8B10BUSE0": { + "hide_name": 0, + "bits": [ 302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12304.11-12304.25" + } + }, + "RXDEC8B10BUSE1": { + "hide_name": 0, + "bits": [ 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12305.11-12305.25" + } + }, + "RXDISPERR0": { + "hide_name": 0, + "bits": [ 203, 204, 205, 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12269.18-12269.28" + } + }, + "RXDISPERR1": { + "hide_name": 0, + "bits": [ 207, 208, 209, 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12270.18-12270.28" + } + }, + "RXELECIDLE0": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12220.12-12220.23" + } + }, + "RXELECIDLE1": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12221.12-12221.23" + } + }, + "RXENCHANSYNC0": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12306.11-12306.24" + } + }, + "RXENCHANSYNC1": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12307.11-12307.24" + } + }, + "RXENEQB0": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12308.11-12308.19" + } + }, + "RXENEQB1": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12309.11-12309.19" + } + }, + "RXENMCOMMAALIGN0": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12310.11-12310.27" + } + }, + "RXENMCOMMAALIGN1": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12311.11-12311.27" + } + }, + "RXENPCOMMAALIGN0": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12312.11-12312.27" + } + }, + "RXENPCOMMAALIGN1": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12313.11-12313.27" + } + }, + "RXENPMAPHASEALIGN0": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12314.11-12314.29" + } + }, + "RXENPMAPHASEALIGN1": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12315.11-12315.29" + } + }, + "RXENPRBSTST0": { + "hide_name": 0, + "bits": [ 394, 395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12366.17-12366.29" + } + }, + "RXENPRBSTST1": { + "hide_name": 0, + "bits": [ 396, 397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12367.17-12367.29" + } + }, + "RXENSAMPLEALIGN0": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12316.11-12316.27" + } + }, + "RXENSAMPLEALIGN1": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12317.11-12317.27" + } + }, + "RXEQMIX0": { + "hide_name": 0, + "bits": [ 398, 399 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12368.17-12368.25" + } + }, + "RXEQMIX1": { + "hide_name": 0, + "bits": [ 400, 401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12369.17-12369.25" + } + }, + "RXEQPOLE0": { + "hide_name": 0, + "bits": [ 530, 531, 532, 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12394.17-12394.26" + } + }, + "RXEQPOLE1": { + "hide_name": 0, + "bits": [ 534, 535, 536, 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12395.17-12395.26" + } + }, + "RXGEARBOXSLIP0": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12318.11-12318.25" + } + }, + "RXGEARBOXSLIP1": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12319.11-12319.25" + } + }, + "RXHEADER0": { + "hide_name": 0, + "bits": [ 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12253.18-12253.27" + } + }, + "RXHEADER1": { + "hide_name": 0, + "bits": [ 90, 91, 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12254.18-12254.27" + } + }, + "RXHEADERVALID0": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12222.12-12222.26" + } + }, + "RXHEADERVALID1": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12223.12-12223.26" + } + }, + "RXLOSSOFSYNC0": { + "hide_name": 0, + "bits": [ 61, 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12243.18-12243.31" + } + }, + "RXLOSSOFSYNC1": { + "hide_name": 0, + "bits": [ 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12244.18-12244.31" + } + }, + "RXN0": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12320.11-12320.15" + } + }, + "RXN1": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12321.11-12321.15" + } + }, + "RXNOTINTABLE0": { + "hide_name": 0, + "bits": [ 211, 212, 213, 214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12271.18-12271.31" + } + }, + "RXNOTINTABLE1": { + "hide_name": 0, + "bits": [ 215, 216, 217, 218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12272.18-12272.31" + } + }, + "RXOVERSAMPLEERR0": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12224.12-12224.28" + } + }, + "RXOVERSAMPLEERR1": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12225.12-12225.28" + } + }, + "RXP0": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12322.11-12322.15" + } + }, + "RXP1": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12323.11-12323.15" + } + }, + "RXPMASETPHASE0": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12324.11-12324.25" + } + }, + "RXPMASETPHASE1": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12325.11-12325.25" + } + }, + "RXPOLARITY0": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12326.11-12326.22" + } + }, + "RXPOLARITY1": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12327.11-12327.22" + } + }, + "RXPOWERDOWN0": { + "hide_name": 0, + "bits": [ 402, 403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12370.17-12370.29" + } + }, + "RXPOWERDOWN1": { + "hide_name": 0, + "bits": [ 404, 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12371.17-12371.29" + } + }, + "RXPRBSERR0": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12226.12-12226.22" + } + }, + "RXPRBSERR1": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12227.12-12227.22" + } + }, + "RXRECCLK0": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12228.12-12228.21" + } + }, + "RXRECCLK1": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12229.12-12229.21" + } + }, + "RXRESET0": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12328.11-12328.19" + } + }, + "RXRESET1": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12329.11-12329.19" + } + }, + "RXRUNDISP0": { + "hide_name": 0, + "bits": [ 219, 220, 221, 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12273.18-12273.28" + } + }, + "RXRUNDISP1": { + "hide_name": 0, + "bits": [ 223, 224, 225, 226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12274.18-12274.28" + } + }, + "RXSLIDE0": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12330.11-12330.19" + } + }, + "RXSLIDE1": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12331.11-12331.19" + } + }, + "RXSTARTOFSEQ0": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12230.12-12230.25" + } + }, + "RXSTARTOFSEQ1": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12231.12-12231.25" + } + }, + "RXSTATUS0": { + "hide_name": 0, + "bits": [ 93, 94, 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12255.18-12255.27" + } + }, + "RXSTATUS1": { + "hide_name": 0, + "bits": [ 96, 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12256.18-12256.27" + } + }, + "RXUSRCLK0": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12332.11-12332.20" + } + }, + "RXUSRCLK1": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12333.11-12333.20" + } + }, + "RXUSRCLK20": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12334.11-12334.21" + } + }, + "RXUSRCLK21": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12335.11-12335.21" + } + }, + "RXVALID0": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12232.12-12232.20" + } + }, + "RXVALID1": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12233.12-12233.20" + } + }, + "TXBUFDIFFCTRL0": { + "hide_name": 0, + "bits": [ 424, 425, 426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12380.17-12380.31" + } + }, + "TXBUFDIFFCTRL1": { + "hide_name": 0, + "bits": [ 427, 428, 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12381.17-12381.31" + } + }, + "TXBUFSTATUS0": { + "hide_name": 0, + "bits": [ 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12245.18-12245.30" + } + }, + "TXBUFSTATUS1": { + "hide_name": 0, + "bits": [ 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12246.18-12246.30" + } + }, + "TXBYPASS8B10B0": { + "hide_name": 0, + "bits": [ 538, 539, 540, 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12396.17-12396.31" + } + }, + "TXBYPASS8B10B1": { + "hide_name": 0, + "bits": [ 542, 543, 544, 545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12397.17-12397.31" + } + }, + "TXCHARDISPMODE0": { + "hide_name": 0, + "bits": [ 546, 547, 548, 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12398.17-12398.32" + } + }, + "TXCHARDISPMODE1": { + "hide_name": 0, + "bits": [ 550, 551, 552, 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12399.17-12399.32" + } + }, + "TXCHARDISPVAL0": { + "hide_name": 0, + "bits": [ 554, 555, 556, 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12400.17-12400.31" + } + }, + "TXCHARDISPVAL1": { + "hide_name": 0, + "bits": [ 558, 559, 560, 561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12401.17-12401.31" + } + }, + "TXCHARISK0": { + "hide_name": 0, + "bits": [ 562, 563, 564, 565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12402.17-12402.27" + } + }, + "TXCHARISK1": { + "hide_name": 0, + "bits": [ 566, 567, 568, 569 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12403.17-12403.27" + } + }, + "TXCOMSTART0": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12336.11-12336.22" + } + }, + "TXCOMSTART1": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12337.11-12337.22" + } + }, + "TXCOMTYPE0": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12338.11-12338.21" + } + }, + "TXCOMTYPE1": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12339.11-12339.21" + } + }, + "TXDATA0": { + "hide_name": 0, + "bits": [ 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12386.18-12386.25" + } + }, + "TXDATA1": { + "hide_name": 0, + "bits": [ 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12387.18-12387.25" + } + }, + "TXDATAWIDTH0": { + "hide_name": 0, + "bits": [ 406, 407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12372.17-12372.29" + } + }, + "TXDATAWIDTH1": { + "hide_name": 0, + "bits": [ 408, 409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12373.17-12373.29" + } + }, + "TXDETECTRX0": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12340.11-12340.22" + } + }, + "TXDETECTRX1": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12341.11-12341.22" + } + }, + "TXDIFFCTRL0": { + "hide_name": 0, + "bits": [ 430, 431, 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12382.17-12382.28" + } + }, + "TXDIFFCTRL1": { + "hide_name": 0, + "bits": [ 433, 434, 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12383.17-12383.28" + } + }, + "TXELECIDLE0": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12342.11-12342.22" + } + }, + "TXELECIDLE1": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12343.11-12343.22" + } + }, + "TXENC8B10BUSE0": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12344.11-12344.25" + } + }, + "TXENC8B10BUSE1": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12345.11-12345.25" + } + }, + "TXENPMAPHASEALIGN0": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12346.11-12346.29" + } + }, + "TXENPMAPHASEALIGN1": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12347.11-12347.29" + } + }, + "TXENPRBSTST0": { + "hide_name": 0, + "bits": [ 410, 411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12374.17-12374.29" + } + }, + "TXENPRBSTST1": { + "hide_name": 0, + "bits": [ 412, 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12375.17-12375.29" + } + }, + "TXGEARBOXREADY0": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12234.12-12234.27" + } + }, + "TXGEARBOXREADY1": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12235.12-12235.27" + } + }, + "TXHEADER0": { + "hide_name": 0, + "bits": [ 436, 437, 438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12384.17-12384.26" + } + }, + "TXHEADER1": { + "hide_name": 0, + "bits": [ 439, 440, 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12385.17-12385.26" + } + }, + "TXINHIBIT0": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12348.11-12348.21" + } + }, + "TXINHIBIT1": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12349.11-12349.21" + } + }, + "TXKERR0": { + "hide_name": 0, + "bits": [ 227, 228, 229, 230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12275.18-12275.25" + } + }, + "TXKERR1": { + "hide_name": 0, + "bits": [ 231, 232, 233, 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12276.18-12276.25" + } + }, + "TXN0": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12236.12-12236.16" + } + }, + "TXN1": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12237.12-12237.16" + } + }, + "TXOUTCLK0": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12238.12-12238.21" + } + }, + "TXOUTCLK1": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12239.12-12239.21" + } + }, + "TXP0": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12240.12-12240.16" + } + }, + "TXP1": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12241.12-12241.16" + } + }, + "TXPMASETPHASE0": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12350.11-12350.25" + } + }, + "TXPMASETPHASE1": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12351.11-12351.25" + } + }, + "TXPOLARITY0": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12352.11-12352.22" + } + }, + "TXPOLARITY1": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12353.11-12353.22" + } + }, + "TXPOWERDOWN0": { + "hide_name": 0, + "bits": [ 414, 415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12376.17-12376.29" + } + }, + "TXPOWERDOWN1": { + "hide_name": 0, + "bits": [ 416, 417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12377.17-12377.29" + } + }, + "TXPREEMPHASIS0": { + "hide_name": 0, + "bits": [ 570, 571, 572, 573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12404.17-12404.31" + } + }, + "TXPREEMPHASIS1": { + "hide_name": 0, + "bits": [ 574, 575, 576, 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12405.17-12405.31" + } + }, + "TXRESET0": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12354.11-12354.19" + } + }, + "TXRESET1": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12355.11-12355.19" + } + }, + "TXRUNDISP0": { + "hide_name": 0, + "bits": [ 235, 236, 237, 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12277.18-12277.28" + } + }, + "TXRUNDISP1": { + "hide_name": 0, + "bits": [ 239, 240, 241, 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12278.18-12278.28" + } + }, + "TXSEQUENCE0": { + "hide_name": 0, + "bits": [ 617, 618, 619, 620, 621, 622, 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12413.17-12413.28" + } + }, + "TXSEQUENCE1": { + "hide_name": 0, + "bits": [ 624, 625, 626, 627, 628, 629, 630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12414.17-12414.28" + } + }, + "TXSTARTSEQ0": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12356.11-12356.22" + } + }, + "TXSTARTSEQ1": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12357.11-12357.22" + } + }, + "TXUSRCLK0": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12358.11-12358.20" + } + }, + "TXUSRCLK1": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12359.11-12359.20" + } + }, + "TXUSRCLK20": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12360.11-12360.21" + } + }, + "TXUSRCLK21": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:12361.11-12361.21" + } + } + } + }, + "GTYE3_CHANNEL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15865.1-16664.10" + }, + "parameter_default_values": { + "ACJTAG_DEBUG_MODE": "0", + "ACJTAG_MODE": "0", + "ACJTAG_RESET": "0", + "ADAPT_CFG0": "1001001000000000", + "ADAPT_CFG1": "1000000000011100", + "ADAPT_CFG2": "0000000000000000", + "ALIGN_COMMA_DOUBLE": "FALSE", + "ALIGN_COMMA_ENABLE": "0001111111", + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "ALIGN_MCOMMA_DET": "TRUE", + "ALIGN_MCOMMA_VALUE": "1010000011", + "ALIGN_PCOMMA_DET": "TRUE", + "ALIGN_PCOMMA_VALUE": "0101111100", + "AUTO_BW_SEL_BYPASS": "0", + "A_RXOSCALRESET": "0", + "A_RXPROGDIVRESET": "0", + "A_TXDIFFCTRL": "01100", + "A_TXPROGDIVRESET": "0", + "CAPBYPASS_FORCE": "0", + "CBCC_DATA_SOURCE_SEL": "DECODED", + "CDR_SWAP_MODE_EN": "0", + "CHAN_BOND_KEEP_ALIGN": "FALSE", + "CHAN_BOND_MAX_SKEW": "00000000000000000000000000000111", + "CHAN_BOND_SEQ_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2": "0000000000", + "CHAN_BOND_SEQ_1_3": "0000000000", + "CHAN_BOND_SEQ_1_4": "0000000000", + "CHAN_BOND_SEQ_1_ENABLE": "1111", + "CHAN_BOND_SEQ_2_1": "0100000000", + "CHAN_BOND_SEQ_2_2": "0100000000", + "CHAN_BOND_SEQ_2_3": "0100000000", + "CHAN_BOND_SEQ_2_4": "0100000000", + "CHAN_BOND_SEQ_2_ENABLE": "1111", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000010", + "CH_HSPMUX": "0000000000000000", + "CKCAL1_CFG_0": "0000000000000000", + "CKCAL1_CFG_1": "0000000000000000", + "CKCAL1_CFG_2": "0000000000000000", + "CKCAL1_CFG_3": "0000000000000000", + "CKCAL2_CFG_0": "0000000000000000", + "CKCAL2_CFG_1": "0000000000000000", + "CKCAL2_CFG_2": "0000000000000000", + "CKCAL2_CFG_3": "0000000000000000", + "CKCAL2_CFG_4": "0000000000000000", + "CKCAL_RSVD0": "0000000000000000", + "CKCAL_RSVD1": "0000000000000000", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_KEEP_IDLE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE": "TRUE", + "CLK_COR_REPEAT_WAIT": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1": "0100011100", + "CLK_COR_SEQ_1_2": "0000000000", + "CLK_COR_SEQ_1_3": "0000000000", + "CLK_COR_SEQ_1_4": "0000000000", + "CLK_COR_SEQ_1_ENABLE": "1111", + "CLK_COR_SEQ_2_1": "0100000000", + "CLK_COR_SEQ_2_2": "0100000000", + "CLK_COR_SEQ_2_3": "0100000000", + "CLK_COR_SEQ_2_4": "0100000000", + "CLK_COR_SEQ_2_ENABLE": "1111", + "CLK_COR_SEQ_2_USE": "FALSE", + "CLK_COR_SEQ_LEN": "00000000000000000000000000000010", + "CPLL_CFG0": "0010000011111000", + "CPLL_CFG1": "1010010010010100", + "CPLL_CFG2": "1111000000000001", + "CPLL_CFG3": "000000", + "CPLL_FBDIV": "00000000000000000000000000000100", + "CPLL_FBDIV_45": "00000000000000000000000000000100", + "CPLL_INIT_CFG0": "0000000000011110", + "CPLL_INIT_CFG1": "00000000", + "CPLL_LOCK_CFG": "0000000111101000", + "CPLL_REFCLK_DIV": "00000000000000000000000000000001", + "CTLE3_OCAP_EXT_CTRL": "000", + "CTLE3_OCAP_EXT_EN": "0", + "DDI_CTRL": "00", + "DDI_REALIGN_WAIT": "00000000000000000000000000001111", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DFE_D_X_REL_POS": "0", + "DFE_VCM_COMP_EN": "0", + "DMONITOR_CFG0": "0000000000", + "DMONITOR_CFG1": "00000000", + "ES_CLK_PHASE_SEL": "0", + "ES_CONTROL": "000000", + "ES_ERRDET_EN": "FALSE", + "ES_EYE_SCAN_EN": "FALSE", + "ES_HORZ_OFFSET": "000000000000", + "ES_PMA_CFG": "0000000000", + "ES_PRESCALE": "00000", + "ES_QUALIFIER0": "0000000000000000", + "ES_QUALIFIER1": "0000000000000000", + "ES_QUALIFIER2": "0000000000000000", + "ES_QUALIFIER3": "0000000000000000", + "ES_QUALIFIER4": "0000000000000000", + "ES_QUALIFIER5": "0000000000000000", + "ES_QUALIFIER6": "0000000000000000", + "ES_QUALIFIER7": "0000000000000000", + "ES_QUALIFIER8": "0000000000000000", + "ES_QUALIFIER9": "0000000000000000", + "ES_QUAL_MASK0": "0000000000000000", + "ES_QUAL_MASK1": "0000000000000000", + "ES_QUAL_MASK2": "0000000000000000", + "ES_QUAL_MASK3": "0000000000000000", + "ES_QUAL_MASK4": "0000000000000000", + "ES_QUAL_MASK5": "0000000000000000", + "ES_QUAL_MASK6": "0000000000000000", + "ES_QUAL_MASK7": "0000000000000000", + "ES_QUAL_MASK8": "0000000000000000", + "ES_QUAL_MASK9": "0000000000000000", + "ES_SDATA_MASK0": "0000000000000000", + "ES_SDATA_MASK1": "0000000000000000", + "ES_SDATA_MASK2": "0000000000000000", + "ES_SDATA_MASK3": "0000000000000000", + "ES_SDATA_MASK4": "0000000000000000", + "ES_SDATA_MASK5": "0000000000000000", + "ES_SDATA_MASK6": "0000000000000000", + "ES_SDATA_MASK7": "0000000000000000", + "ES_SDATA_MASK8": "0000000000000000", + "ES_SDATA_MASK9": "0000000000000000", + "EVODD_PHI_CFG": "00000000000", + "EYE_SCAN_SWAP_EN": "0", + "FTS_DESKEW_SEQ_ENABLE": "1111", + "FTS_LANE_DESKEW_CFG": "1111", + "FTS_LANE_DESKEW_EN": "FALSE", + "GEARBOX_MODE": "00000", + "GM_BIAS_SELECT": "0", + "ISCAN_CK_PH_SEL2": "0", + "LOCAL_MASTER": "0", + "LOOP0_CFG": "0000000000000000", + "LOOP10_CFG": "0000000000000000", + "LOOP11_CFG": "0000000000000000", + "LOOP12_CFG": "0000000000000000", + "LOOP13_CFG": "0000000000000000", + "LOOP1_CFG": "0000000000000000", + "LOOP2_CFG": "0000000000000000", + "LOOP3_CFG": "0000000000000000", + "LOOP4_CFG": "0000000000000000", + "LOOP5_CFG": "0000000000000000", + "LOOP6_CFG": "0000000000000000", + "LOOP7_CFG": "0000000000000000", + "LOOP8_CFG": "0000000000000000", + "LOOP9_CFG": "0000000000000000", + "LPBK_BIAS_CTRL": "000", + "LPBK_EN_RCAL_B": "0", + "LPBK_EXT_RCAL": "0000", + "LPBK_RG_CTRL": "0000", + "OOBDIVCTL": "00", + "OOB_PWRUP": "0", + "PCI3_AUTO_REALIGN": "FRST_SMPL", + "PCI3_PIPE_RX_ELECIDLE": "1", + "PCI3_RX_ASYNC_EBUF_BYPASS": "00", + "PCI3_RX_ELECIDLE_EI2_ENABLE": "0", + "PCI3_RX_ELECIDLE_H2L_COUNT": "000000", + "PCI3_RX_ELECIDLE_H2L_DISABLE": "000", + "PCI3_RX_ELECIDLE_HI_COUNT": "000000", + "PCI3_RX_ELECIDLE_LP4_DISABLE": "0", + "PCI3_RX_FIFO_DISABLE": "0", + "PCIE_BUFG_DIV_CTRL": "0000000000000000", + "PCIE_RXPCS_CFG_GEN3": "0000000000000000", + "PCIE_RXPMA_CFG": "0000000000000000", + "PCIE_TXPCS_CFG_GEN3": "0000000000000000", + "PCIE_TXPMA_CFG": "0000000000000000", + "PCS_PCIE_EN": "FALSE", + "PCS_RSVD0": "0000000000000000", + "PCS_RSVD1": "000", + "PD_TRANS_TIME_FROM_P2": "000000111100", + "PD_TRANS_TIME_NONE_P2": "00011001", + "PD_TRANS_TIME_TO_P2": "01100100", + "PLL_SEL_MODE_GEN12": "00", + "PLL_SEL_MODE_GEN3": "00", + "PMA_RSV0": "0000000000000000", + "PMA_RSV1": "0000000000000000", + "PREIQ_FREQ_BST": "00000000000000000000000000000000", + "PROCESS_PAR": "010", + "RATE_SW_USE_DRP": "0", + "RESET_POWERSAVE_DISABLE": "0", + "RXBUFRESET_TIME": "00001", + "RXBUF_ADDR_MODE": "FULL", + "RXBUF_EIDLE_HI_CNT": "1000", + "RXBUF_EIDLE_LO_CNT": "0000", + "RXBUF_EN": "TRUE", + "RXBUF_RESET_ON_CB_CHANGE": "TRUE", + "RXBUF_RESET_ON_COMMAALIGN": "FALSE", + "RXBUF_RESET_ON_EIDLE": "FALSE", + "RXBUF_RESET_ON_RATE_CHANGE": "TRUE", + "RXBUF_THRESH_OVFLW": "00000000000000000000000000000000", + "RXBUF_THRESH_OVRD": "FALSE", + "RXBUF_THRESH_UNDFLW": "00000000000000000000000000000100", + "RXCDRFREQRESET_TIME": "00001", + "RXCDRPHRESET_TIME": "00001", + "RXCDR_CFG0": "0000000000000000", + "RXCDR_CFG0_GEN3": "0000000000000000", + "RXCDR_CFG1": "0000001100000000", + "RXCDR_CFG1_GEN3": "0000001100000000", + "RXCDR_CFG2": "0000000001100000", + "RXCDR_CFG2_GEN3": "0000000001100000", + "RXCDR_CFG3": "0000000000000000", + "RXCDR_CFG3_GEN3": "0000000000000000", + "RXCDR_CFG4": "0000000000000010", + "RXCDR_CFG4_GEN3": "0000000000000010", + "RXCDR_CFG5": "0000000000000000", + "RXCDR_CFG5_GEN3": "0000000000000000", + "RXCDR_FR_RESET_ON_EIDLE": "0", + "RXCDR_HOLD_DURING_EIDLE": "0", + "RXCDR_LOCK_CFG0": "0000000000000001", + "RXCDR_LOCK_CFG1": "0000000000000000", + "RXCDR_LOCK_CFG2": "0000000000000000", + "RXCDR_LOCK_CFG3": "0000000000000000", + "RXCDR_PH_RESET_ON_EIDLE": "0", + "RXCFOKDONE_SRC": "00", + "RXCFOK_CFG0": "0011111000000000", + "RXCFOK_CFG1": "0000000001000010", + "RXCFOK_CFG2": "0000000000101101", + "RXDFELPMRESET_TIME": "0001111", + "RXDFELPM_KL_CFG0": "0000000000000000", + "RXDFELPM_KL_CFG1": "0000000000100010", + "RXDFELPM_KL_CFG2": "0000000100000000", + "RXDFE_CFG0": "0100110000000000", + "RXDFE_CFG1": "0000000000000000", + "RXDFE_GC_CFG0": "0001111000000000", + "RXDFE_GC_CFG1": "0001100100000000", + "RXDFE_GC_CFG2": "0000000000000000", + "RXDFE_H2_CFG0": "0000000000000000", + "RXDFE_H2_CFG1": "0000000000000010", + "RXDFE_H3_CFG0": "0000000000000000", + "RXDFE_H3_CFG1": "0000000000000010", + "RXDFE_H4_CFG0": "0000000000000000", + "RXDFE_H4_CFG1": "0000000000000011", + "RXDFE_H5_CFG0": "0000000000000000", + "RXDFE_H5_CFG1": "0000000000000010", + "RXDFE_H6_CFG0": "0000000000000000", + "RXDFE_H6_CFG1": "0000000000000010", + "RXDFE_H7_CFG0": "0000000000000000", + "RXDFE_H7_CFG1": "0000000000000010", + "RXDFE_H8_CFG0": "0000000000000000", + "RXDFE_H8_CFG1": "0000000000000010", + "RXDFE_H9_CFG0": "0000000000000000", + "RXDFE_H9_CFG1": "0000000000000010", + "RXDFE_HA_CFG0": "0000000000000000", + "RXDFE_HA_CFG1": "0000000000000010", + "RXDFE_HB_CFG0": "0000000000000000", + "RXDFE_HB_CFG1": "0000000000000010", + "RXDFE_HC_CFG0": "0000000000000000", + "RXDFE_HC_CFG1": "0000000000000010", + "RXDFE_HD_CFG0": "0000000000000000", + "RXDFE_HD_CFG1": "0000000000000010", + "RXDFE_HE_CFG0": "0000000000000000", + "RXDFE_HE_CFG1": "0000000000000010", + "RXDFE_HF_CFG0": "0000000000000000", + "RXDFE_HF_CFG1": "0000000000000010", + "RXDFE_OS_CFG0": "0000000000000000", + "RXDFE_OS_CFG1": "0000001000000000", + "RXDFE_PWR_SAVING": "0", + "RXDFE_UT_CFG0": "0000000000000000", + "RXDFE_UT_CFG1": "0000000000000010", + "RXDFE_VP_CFG0": "0000000000000000", + "RXDFE_VP_CFG1": "0000000000100010", + "RXDLY_CFG": "0000000000011111", + "RXDLY_LCFG": "0000000000110000", + "RXELECIDLE_CFG": "SIGCFG_4", + "RXGBOX_FIFO_INIT_RD_ADDR": "00000000000000000000000000000100", + "RXGEARBOX_EN": "FALSE", + "RXISCANRESET_TIME": "00001", + "RXLPM_CFG": "0000000000000000", + "RXLPM_GC_CFG": "0000001000000000", + "RXLPM_KH_CFG0": "0000000000000000", + "RXLPM_KH_CFG1": "0000000000000010", + "RXLPM_OS_CFG0": "0000010000000000", + "RXLPM_OS_CFG1": "0000000000000000", + "RXOOB_CFG": "000000110", + "RXOOB_CLK_CFG": "PMA", + "RXOSCALRESET_TIME": "00011", + "RXOUT_DIV": "00000000000000000000000000000100", + "RXPCSRESET_TIME": "00001", + "RXPHBEACON_CFG": "0000000000000000", + "RXPHDLY_CFG": "0010000000100000", + "RXPHSAMP_CFG": "0010000100000000", + "RXPHSLIP_CFG": "1001100100110011", + "RXPH_MONITOR_SEL": "00000", + "RXPI_AUTO_BW_SEL_BYPASS": "0", + "RXPI_CFG": "0000000100000000", + "RXPI_LPM": "0", + "RXPI_RSV0": "0000000000000000", + "RXPI_SEL_LC": "00", + "RXPI_STARTCODE": "00", + "RXPI_VREFSEL": "0", + "RXPMACLK_SEL": "DATA", + "RXPMARESET_TIME": "00001", + "RXPRBS_ERR_LOOPBACK": "0", + "RXPRBS_LINKACQ_CNT": "00000000000000000000000000001111", + "RXSLIDE_AUTO_WAIT": "00000000000000000000000000000111", + "RXSLIDE_MODE": "OFF", + "RXSYNC_MULTILANE": "0", + "RXSYNC_OVRD": "0", + "RXSYNC_SKIP_DA": "0", + "RX_AFE_CM_EN": "0", + "RX_BIAS_CFG0": "0001010100110100", + "RX_BUFFER_CFG": "000000", + "RX_CAPFF_SARC_ENB": "0", + "RX_CLK25_DIV": "00000000000000000000000000001000", + "RX_CLKMUX_EN": "1", + "RX_CLK_SLIP_OVRD": "00000", + "RX_CM_BUF_CFG": "1010", + "RX_CM_BUF_PD": "0", + "RX_CM_SEL": "00000000000000000000000000000011", + "RX_CM_TRIM": "00000000000000000000000000001010", + "RX_CTLE1_KHKL": "0", + "RX_CTLE2_KHKL": "0", + "RX_CTLE3_AGC": "0", + "RX_DATA_WIDTH": "00000000000000000000000000010100", + "RX_DDI_SEL": "000000", + "RX_DEFER_RESET_BUF_EN": "TRUE", + "RX_DEGEN_CTRL": "010", + "RX_DFELPM_CFG0": "00000000000000000000000000000110", + "RX_DFELPM_CFG1": "0", + "RX_DFELPM_KLKH_AGC_STUP_EN": "1", + "RX_DFE_AGC_CFG0": "00", + "RX_DFE_AGC_CFG1": "00000000000000000000000000000100", + "RX_DFE_KL_LPM_KH_CFG0": "00000000000000000000000000000001", + "RX_DFE_KL_LPM_KH_CFG1": "00000000000000000000000000000010", + "RX_DFE_KL_LPM_KL_CFG0": "01", + "RX_DFE_KL_LPM_KL_CFG1": "010", + "RX_DFE_LPM_HOLD_DURING_EIDLE": "0", + "RX_DISPERR_SEQ_MATCH": "TRUE", + "RX_DIV2_MODE_B": "0", + "RX_DIVRESET_TIME": "00001", + "RX_EN_CTLE_RCAL_B": "0", + "RX_EN_HI_LR": "0", + "RX_EXT_RL_CTRL": "000000000", + "RX_EYESCAN_VS_CODE": "0000000", + "RX_EYESCAN_VS_NEG_DIR": "0", + "RX_EYESCAN_VS_RANGE": "00", + "RX_EYESCAN_VS_UT_SIGN": "0", + "RX_FABINT_USRCLK_FLOP": "0", + "RX_INT_DATAWIDTH": "00000000000000000000000000000001", + "RX_PMA_POWER_SAVE": "0", + "RX_PROGDIV_RATE": "0000000000000001", + "RX_RESLOAD_CTRL": "0000", + "RX_RESLOAD_OVRD": "0", + "RX_SAMPLE_PERIOD": "101", + "RX_SIG_VALID_DLY": "00000000000000000000000000001011", + "RX_SUM_DFETAPREP_EN": "0", + "RX_SUM_IREF_TUNE": "0000", + "RX_SUM_VCMTUNE": "1000", + "RX_SUM_VCM_OVWR": "0", + "RX_SUM_VREF_TUNE": "100", + "RX_TUNE_AFE_OS": "00", + "RX_VREG_CTRL": "101", + "RX_VREG_PDB": "1", + "RX_WIDEMODE_CDR": "01", + "RX_XCLK_SEL": "RXDES", + "RX_XMODE_SEL": "0", + "SAS_MAX_COM": "00000000000000000000000001000000", + "SAS_MIN_COM": "00000000000000000000000000100100", + "SATA_BURST_SEQ_LEN": "1111", + "SATA_BURST_VAL": "100", + "SATA_CPLL_CFG": "VCO_3000MHZ", + "SATA_EIDLE_VAL": "100", + "SATA_MAX_BURST": "00000000000000000000000000001000", + "SATA_MAX_INIT": "00000000000000000000000000010101", + "SATA_MAX_WAKE": "00000000000000000000000000000111", + "SATA_MIN_BURST": "00000000000000000000000000000100", + "SATA_MIN_INIT": "00000000000000000000000000001100", + "SATA_MIN_WAKE": "00000000000000000000000000000100", + "SHOW_REALIGN_COMMA": "TRUE", + "SIM_MODE": "FAST", + "SIM_RECEIVER_DETECT_PASS": "TRUE", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_TX_EIDLE_DRIVE_LEVEL": "0", + "SIM_VERSION": "00000000000000000000000000000010", + "TAPDLY_SET_TX": "00", + "TEMPERATURE_PAR": "0010", + "TERM_RCAL_CFG": "100001000010000", + "TERM_RCAL_OVRD": "000", + "TRANS_TIME_RATE": "00001110", + "TST_RSV0": "00000000", + "TST_RSV1": "00000000", + "TXBUF_EN": "TRUE", + "TXBUF_RESET_ON_RATE_CHANGE": "FALSE", + "TXDLY_CFG": "0000000000011111", + "TXDLY_LCFG": "0000000000110000", + "TXFIFO_ADDR_CFG": "LOW", + "TXGBOX_FIFO_INIT_RD_ADDR": "00000000000000000000000000000100", + "TXGEARBOX_EN": "FALSE", + "TXOUT_DIV": "00000000000000000000000000000100", + "TXPCSRESET_TIME": "00001", + "TXPHDLY_CFG0": "0010000000100000", + "TXPHDLY_CFG1": "0000000000000001", + "TXPH_CFG": "0000000100100011", + "TXPH_CFG2": "0000000000000000", + "TXPH_MONITOR_SEL": "00000", + "TXPI_CFG0": "00", + "TXPI_CFG1": "00", + "TXPI_CFG2": "00", + "TXPI_CFG3": "0", + "TXPI_CFG4": "1", + "TXPI_CFG5": "000", + "TXPI_GRAY_SEL": "0", + "TXPI_INVSTROBE_SEL": "0", + "TXPI_LPM": "0", + "TXPI_PPMCLK_SEL": "TXUSRCLK2", + "TXPI_PPM_CFG": "00000000", + "TXPI_RSV0": "0000000000000000", + "TXPI_SYNFREQ_PPM": "000", + "TXPI_VREFSEL": "0", + "TXPMARESET_TIME": "00001", + "TXSYNC_MULTILANE": "0", + "TXSYNC_OVRD": "0", + "TXSYNC_SKIP_DA": "0", + "TX_CLK25_DIV": "00000000000000000000000000001000", + "TX_CLKMUX_EN": "1", + "TX_CLKREG_PDB": "0", + "TX_CLKREG_SET": "000", + "TX_DATA_WIDTH": "00000000000000000000000000010100", + "TX_DCD_CFG": "000010", + "TX_DCD_EN": "0", + "TX_DEEMPH0": "000000", + "TX_DEEMPH1": "000000", + "TX_DIVRESET_TIME": "00001", + "TX_DRIVE_MODE": "DIRECT", + "TX_DRVMUX_CTRL": "00000000000000000000000000000010", + "TX_EIDLE_ASSERT_DELAY": "110", + "TX_EIDLE_DEASSERT_DELAY": "100", + "TX_EML_PHI_TUNE": "0", + "TX_FABINT_USRCLK_FLOP": "0", + "TX_FIFO_BYP_EN": "0", + "TX_IDLE_DATA_ZERO": "0", + "TX_INT_DATAWIDTH": "00000000000000000000000000000001", + "TX_LOOPBACK_DRIVE_HIZ": "FALSE", + "TX_MAINCURSOR_SEL": "0", + "TX_MARGIN_FULL_0": "1001110", + "TX_MARGIN_FULL_1": "1001001", + "TX_MARGIN_FULL_2": "1000101", + "TX_MARGIN_FULL_3": "1000010", + "TX_MARGIN_FULL_4": "1000000", + "TX_MARGIN_LOW_0": "1000110", + "TX_MARGIN_LOW_1": "1000100", + "TX_MARGIN_LOW_2": "1000010", + "TX_MARGIN_LOW_3": "1000000", + "TX_MARGIN_LOW_4": "1000000", + "TX_MODE_SEL": "000", + "TX_PHICAL_CFG0": "0000000000000000", + "TX_PHICAL_CFG1": "0111111000000000", + "TX_PHICAL_CFG2": "0000000000000000", + "TX_PI_BIASSET": "00000000000000000000000000000000", + "TX_PI_CFG0": "0000000000000000", + "TX_PI_CFG1": "0000000000000000", + "TX_PI_DIV2_MODE_B": "0", + "TX_PI_SEL_QPLL0": "0", + "TX_PI_SEL_QPLL1": "0", + "TX_PMADATA_OPT": "0", + "TX_PMA_POWER_SAVE": "0", + "TX_PREDRV_CTRL": "00000000000000000000000000000010", + "TX_PROGCLK_SEL": "POSTPI", + "TX_PROGDIV_RATE": "0000000000000001", + "TX_RXDETECT_CFG": "00000000110010", + "TX_RXDETECT_REF": "00000000000000000000000000000100", + "TX_SAMPLE_PERIOD": "101", + "TX_SARC_LPBK_ENB": "0", + "TX_XCLK_SEL": "TXOUT", + "USE_PCS_CLK_PHASE_SEL": "0" + }, + "ports": { + "BUFGTCE": { + "direction": "output", + "bits": [ 2, 3, 4 ] + }, + "BUFGTCEMASK": { + "direction": "output", + "bits": [ 5, 6, 7 ] + }, + "BUFGTDIV": { + "direction": "output", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "BUFGTRESET": { + "direction": "output", + "bits": [ 17, 18, 19 ] + }, + "BUFGTRSTMASK": { + "direction": "output", + "bits": [ 20, 21, 22 ] + }, + "CPLLFBCLKLOST": { + "direction": "output", + "bits": [ 23 ] + }, + "CPLLLOCK": { + "direction": "output", + "bits": [ 24 ] + }, + "CPLLREFCLKLOST": { + "direction": "output", + "bits": [ 25 ] + }, + "DMONITOROUT": { + "direction": "output", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 59 ] + }, + "EYESCANDATAERROR": { + "direction": "output", + "bits": [ 60 ] + }, + "GTPOWERGOOD": { + "direction": "output", + "bits": [ 61 ] + }, + "GTREFCLKMONITOR": { + "direction": "output", + "bits": [ 62 ] + }, + "GTYTXN": { + "direction": "output", + "bits": [ 63 ] + }, + "GTYTXP": { + "direction": "output", + "bits": [ 64 ] + }, + "PCIERATEGEN3": { + "direction": "output", + "bits": [ 65 ] + }, + "PCIERATEIDLE": { + "direction": "output", + "bits": [ 66 ] + }, + "PCIERATEQPLLPD": { + "direction": "output", + "bits": [ 67, 68 ] + }, + "PCIERATEQPLLRESET": { + "direction": "output", + "bits": [ 69, 70 ] + }, + "PCIESYNCTXSYNCDONE": { + "direction": "output", + "bits": [ 71 ] + }, + "PCIEUSERGEN3RDY": { + "direction": "output", + "bits": [ 72 ] + }, + "PCIEUSERPHYSTATUSRST": { + "direction": "output", + "bits": [ 73 ] + }, + "PCIEUSERRATESTART": { + "direction": "output", + "bits": [ 74 ] + }, + "PCSRSVDOUT": { + "direction": "output", + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "PHYSTATUS": { + "direction": "output", + "bits": [ 91 ] + }, + "PINRSRVDAS": { + "direction": "output", + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "RESETEXCEPTION": { + "direction": "output", + "bits": [ 100 ] + }, + "RXBUFSTATUS": { + "direction": "output", + "bits": [ 101, 102, 103 ] + }, + "RXBYTEISALIGNED": { + "direction": "output", + "bits": [ 104 ] + }, + "RXBYTEREALIGN": { + "direction": "output", + "bits": [ 105 ] + }, + "RXCDRLOCK": { + "direction": "output", + "bits": [ 106 ] + }, + "RXCDRPHDONE": { + "direction": "output", + "bits": [ 107 ] + }, + "RXCHANBONDSEQ": { + "direction": "output", + "bits": [ 108 ] + }, + "RXCHANISALIGNED": { + "direction": "output", + "bits": [ 109 ] + }, + "RXCHANREALIGN": { + "direction": "output", + "bits": [ 110 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 111, 112, 113, 114, 115 ] + }, + "RXCKCALDONE": { + "direction": "output", + "bits": [ 116 ] + }, + "RXCLKCORCNT": { + "direction": "output", + "bits": [ 117, 118 ] + }, + "RXCOMINITDET": { + "direction": "output", + "bits": [ 119 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 120 ] + }, + "RXCOMSASDET": { + "direction": "output", + "bits": [ 121 ] + }, + "RXCOMWAKEDET": { + "direction": "output", + "bits": [ 122 ] + }, + "RXCTRL0": { + "direction": "output", + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138 ] + }, + "RXCTRL1": { + "direction": "output", + "bits": [ 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + }, + "RXCTRL2": { + "direction": "output", + "bits": [ 155, 156, 157, 158, 159, 160, 161, 162 ] + }, + "RXCTRL3": { + "direction": "output", + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298 ] + }, + "RXDATAEXTENDRSVD": { + "direction": "output", + "bits": [ 299, 300, 301, 302, 303, 304, 305, 306 ] + }, + "RXDATAVALID": { + "direction": "output", + "bits": [ 307, 308 ] + }, + "RXDLYSRESETDONE": { + "direction": "output", + "bits": [ 309 ] + }, + "RXELECIDLE": { + "direction": "output", + "bits": [ 310 ] + }, + "RXHEADER": { + "direction": "output", + "bits": [ 311, 312, 313, 314, 315, 316 ] + }, + "RXHEADERVALID": { + "direction": "output", + "bits": [ 317, 318 ] + }, + "RXMONITOROUT": { + "direction": "output", + "bits": [ 319, 320, 321, 322, 323, 324, 325 ] + }, + "RXOSINTDONE": { + "direction": "output", + "bits": [ 326 ] + }, + "RXOSINTSTARTED": { + "direction": "output", + "bits": [ 327 ] + }, + "RXOSINTSTROBEDONE": { + "direction": "output", + "bits": [ 328 ] + }, + "RXOSINTSTROBESTARTED": { + "direction": "output", + "bits": [ 329 ] + }, + "RXOUTCLK": { + "direction": "output", + "bits": [ 330 ] + }, + "RXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 331 ] + }, + "RXOUTCLKPCS": { + "direction": "output", + "bits": [ 332 ] + }, + "RXPHALIGNDONE": { + "direction": "output", + "bits": [ 333 ] + }, + "RXPHALIGNERR": { + "direction": "output", + "bits": [ 334 ] + }, + "RXPMARESETDONE": { + "direction": "output", + "bits": [ 335 ] + }, + "RXPRBSERR": { + "direction": "output", + "bits": [ 336 ] + }, + "RXPRBSLOCKED": { + "direction": "output", + "bits": [ 337 ] + }, + "RXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 338 ] + }, + "RXRATEDONE": { + "direction": "output", + "bits": [ 339 ] + }, + "RXRECCLKOUT": { + "direction": "output", + "bits": [ 340 ] + }, + "RXRESETDONE": { + "direction": "output", + "bits": [ 341 ] + }, + "RXSLIDERDY": { + "direction": "output", + "bits": [ 342 ] + }, + "RXSLIPDONE": { + "direction": "output", + "bits": [ 343 ] + }, + "RXSLIPOUTCLKRDY": { + "direction": "output", + "bits": [ 344 ] + }, + "RXSLIPPMARDY": { + "direction": "output", + "bits": [ 345 ] + }, + "RXSTARTOFSEQ": { + "direction": "output", + "bits": [ 346, 347 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 348, 349, 350 ] + }, + "RXSYNCDONE": { + "direction": "output", + "bits": [ 351 ] + }, + "RXSYNCOUT": { + "direction": "output", + "bits": [ 352 ] + }, + "RXVALID": { + "direction": "output", + "bits": [ 353 ] + }, + "TXBUFSTATUS": { + "direction": "output", + "bits": [ 354, 355 ] + }, + "TXCOMFINISH": { + "direction": "output", + "bits": [ 356 ] + }, + "TXDCCDONE": { + "direction": "output", + "bits": [ 357 ] + }, + "TXDLYSRESETDONE": { + "direction": "output", + "bits": [ 358 ] + }, + "TXOUTCLK": { + "direction": "output", + "bits": [ 359 ] + }, + "TXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 360 ] + }, + "TXOUTCLKPCS": { + "direction": "output", + "bits": [ 361 ] + }, + "TXPHALIGNDONE": { + "direction": "output", + "bits": [ 362 ] + }, + "TXPHINITDONE": { + "direction": "output", + "bits": [ 363 ] + }, + "TXPMARESETDONE": { + "direction": "output", + "bits": [ 364 ] + }, + "TXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 365 ] + }, + "TXRATEDONE": { + "direction": "output", + "bits": [ 366 ] + }, + "TXRESETDONE": { + "direction": "output", + "bits": [ 367 ] + }, + "TXSYNCDONE": { + "direction": "output", + "bits": [ 368 ] + }, + "TXSYNCOUT": { + "direction": "output", + "bits": [ 369 ] + }, + "CDRSTEPDIR": { + "direction": "input", + "bits": [ 370 ] + }, + "CDRSTEPSQ": { + "direction": "input", + "bits": [ 371 ] + }, + "CDRSTEPSX": { + "direction": "input", + "bits": [ 372 ] + }, + "CFGRESET": { + "direction": "input", + "bits": [ 373 ] + }, + "CLKRSVD0": { + "direction": "input", + "bits": [ 374 ] + }, + "CLKRSVD1": { + "direction": "input", + "bits": [ 375 ] + }, + "CPLLLOCKDETCLK": { + "direction": "input", + "bits": [ 376 ] + }, + "CPLLLOCKEN": { + "direction": "input", + "bits": [ 377 ] + }, + "CPLLPD": { + "direction": "input", + "bits": [ 378 ] + }, + "CPLLREFCLKSEL": { + "direction": "input", + "bits": [ 379, 380, 381 ] + }, + "CPLLRESET": { + "direction": "input", + "bits": [ 382 ] + }, + "DMONFIFORESET": { + "direction": "input", + "bits": [ 383 ] + }, + "DMONITORCLK": { + "direction": "input", + "bits": [ 384 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 385, 386, 387, 388, 389, 390, 391, 392, 393, 394 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 395 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 412 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 413 ] + }, + "ELPCALDVORWREN": { + "direction": "input", + "bits": [ 414 ] + }, + "ELPCALPAORWREN": { + "direction": "input", + "bits": [ 415 ] + }, + "EVODDPHICALDONE": { + "direction": "input", + "bits": [ 416 ] + }, + "EVODDPHICALSTART": { + "direction": "input", + "bits": [ 417 ] + }, + "EVODDPHIDRDEN": { + "direction": "input", + "bits": [ 418 ] + }, + "EVODDPHIDWREN": { + "direction": "input", + "bits": [ 419 ] + }, + "EVODDPHIXRDEN": { + "direction": "input", + "bits": [ 420 ] + }, + "EVODDPHIXWREN": { + "direction": "input", + "bits": [ 421 ] + }, + "EYESCANMODE": { + "direction": "input", + "bits": [ 422 ] + }, + "EYESCANRESET": { + "direction": "input", + "bits": [ 423 ] + }, + "EYESCANTRIGGER": { + "direction": "input", + "bits": [ 424 ] + }, + "GTGREFCLK": { + "direction": "input", + "bits": [ 425 ] + }, + "GTNORTHREFCLK0": { + "direction": "input", + "bits": [ 426 ] + }, + "GTNORTHREFCLK1": { + "direction": "input", + "bits": [ 427 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 428 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 429 ] + }, + "GTRESETSEL": { + "direction": "input", + "bits": [ 430 ] + }, + "GTRSVD": { + "direction": "input", + "bits": [ 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446 ] + }, + "GTRXRESET": { + "direction": "input", + "bits": [ 447 ] + }, + "GTSOUTHREFCLK0": { + "direction": "input", + "bits": [ 448 ] + }, + "GTSOUTHREFCLK1": { + "direction": "input", + "bits": [ 449 ] + }, + "GTTXRESET": { + "direction": "input", + "bits": [ 450 ] + }, + "GTYRXN": { + "direction": "input", + "bits": [ 451 ] + }, + "GTYRXP": { + "direction": "input", + "bits": [ 452 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 453, 454, 455 ] + }, + "LOOPRSVD": { + "direction": "input", + "bits": [ 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471 ] + }, + "LPBKRXTXSEREN": { + "direction": "input", + "bits": [ 472 ] + }, + "LPBKTXRXSEREN": { + "direction": "input", + "bits": [ 473 ] + }, + "PCIEEQRXEQADAPTDONE": { + "direction": "input", + "bits": [ 474 ] + }, + "PCIERSTIDLE": { + "direction": "input", + "bits": [ 475 ] + }, + "PCIERSTTXSYNCSTART": { + "direction": "input", + "bits": [ 476 ] + }, + "PCIEUSERRATEDONE": { + "direction": "input", + "bits": [ 477 ] + }, + "PCSRSVDIN": { + "direction": "input", + "bits": [ 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493 ] + }, + "PCSRSVDIN2": { + "direction": "input", + "bits": [ 494, 495, 496, 497, 498 ] + }, + "PMARSVDIN": { + "direction": "input", + "bits": [ 499, 500, 501, 502, 503 ] + }, + "QPLL0CLK": { + "direction": "input", + "bits": [ 504 ] + }, + "QPLL0REFCLK": { + "direction": "input", + "bits": [ 505 ] + }, + "QPLL1CLK": { + "direction": "input", + "bits": [ 506 ] + }, + "QPLL1REFCLK": { + "direction": "input", + "bits": [ 507 ] + }, + "RESETOVRD": { + "direction": "input", + "bits": [ 508 ] + }, + "RSTCLKENTX": { + "direction": "input", + "bits": [ 509 ] + }, + "RX8B10BEN": { + "direction": "input", + "bits": [ 510 ] + }, + "RXBUFRESET": { + "direction": "input", + "bits": [ 511 ] + }, + "RXCDRFREQRESET": { + "direction": "input", + "bits": [ 512 ] + }, + "RXCDRHOLD": { + "direction": "input", + "bits": [ 513 ] + }, + "RXCDROVRDEN": { + "direction": "input", + "bits": [ 514 ] + }, + "RXCDRRESET": { + "direction": "input", + "bits": [ 515 ] + }, + "RXCDRRESETRSV": { + "direction": "input", + "bits": [ 516 ] + }, + "RXCHBONDEN": { + "direction": "input", + "bits": [ 517 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 518, 519, 520, 521, 522 ] + }, + "RXCHBONDLEVEL": { + "direction": "input", + "bits": [ 523, 524, 525 ] + }, + "RXCHBONDMASTER": { + "direction": "input", + "bits": [ 526 ] + }, + "RXCHBONDSLAVE": { + "direction": "input", + "bits": [ 527 ] + }, + "RXCKCALRESET": { + "direction": "input", + "bits": [ 528 ] + }, + "RXCOMMADETEN": { + "direction": "input", + "bits": [ 529 ] + }, + "RXDCCFORCESTART": { + "direction": "input", + "bits": [ 530 ] + }, + "RXDFEAGCHOLD": { + "direction": "input", + "bits": [ 531 ] + }, + "RXDFEAGCOVRDEN": { + "direction": "input", + "bits": [ 532 ] + }, + "RXDFELFHOLD": { + "direction": "input", + "bits": [ 533 ] + }, + "RXDFELFOVRDEN": { + "direction": "input", + "bits": [ 534 ] + }, + "RXDFELPMRESET": { + "direction": "input", + "bits": [ 535 ] + }, + "RXDFETAP10HOLD": { + "direction": "input", + "bits": [ 536 ] + }, + "RXDFETAP10OVRDEN": { + "direction": "input", + "bits": [ 537 ] + }, + "RXDFETAP11HOLD": { + "direction": "input", + "bits": [ 538 ] + }, + "RXDFETAP11OVRDEN": { + "direction": "input", + "bits": [ 539 ] + }, + "RXDFETAP12HOLD": { + "direction": "input", + "bits": [ 540 ] + }, + "RXDFETAP12OVRDEN": { + "direction": "input", + "bits": [ 541 ] + }, + "RXDFETAP13HOLD": { + "direction": "input", + "bits": [ 542 ] + }, + "RXDFETAP13OVRDEN": { + "direction": "input", + "bits": [ 543 ] + }, + "RXDFETAP14HOLD": { + "direction": "input", + "bits": [ 544 ] + }, + "RXDFETAP14OVRDEN": { + "direction": "input", + "bits": [ 545 ] + }, + "RXDFETAP15HOLD": { + "direction": "input", + "bits": [ 546 ] + }, + "RXDFETAP15OVRDEN": { + "direction": "input", + "bits": [ 547 ] + }, + "RXDFETAP2HOLD": { + "direction": "input", + "bits": [ 548 ] + }, + "RXDFETAP2OVRDEN": { + "direction": "input", + "bits": [ 549 ] + }, + "RXDFETAP3HOLD": { + "direction": "input", + "bits": [ 550 ] + }, + "RXDFETAP3OVRDEN": { + "direction": "input", + "bits": [ 551 ] + }, + "RXDFETAP4HOLD": { + "direction": "input", + "bits": [ 552 ] + }, + "RXDFETAP4OVRDEN": { + "direction": "input", + "bits": [ 553 ] + }, + "RXDFETAP5HOLD": { + "direction": "input", + "bits": [ 554 ] + }, + "RXDFETAP5OVRDEN": { + "direction": "input", + "bits": [ 555 ] + }, + "RXDFETAP6HOLD": { + "direction": "input", + "bits": [ 556 ] + }, + "RXDFETAP6OVRDEN": { + "direction": "input", + "bits": [ 557 ] + }, + "RXDFETAP7HOLD": { + "direction": "input", + "bits": [ 558 ] + }, + "RXDFETAP7OVRDEN": { + "direction": "input", + "bits": [ 559 ] + }, + "RXDFETAP8HOLD": { + "direction": "input", + "bits": [ 560 ] + }, + "RXDFETAP8OVRDEN": { + "direction": "input", + "bits": [ 561 ] + }, + "RXDFETAP9HOLD": { + "direction": "input", + "bits": [ 562 ] + }, + "RXDFETAP9OVRDEN": { + "direction": "input", + "bits": [ 563 ] + }, + "RXDFEUTHOLD": { + "direction": "input", + "bits": [ 564 ] + }, + "RXDFEUTOVRDEN": { + "direction": "input", + "bits": [ 565 ] + }, + "RXDFEVPHOLD": { + "direction": "input", + "bits": [ 566 ] + }, + "RXDFEVPOVRDEN": { + "direction": "input", + "bits": [ 567 ] + }, + "RXDFEVSEN": { + "direction": "input", + "bits": [ 568 ] + }, + "RXDFEXYDEN": { + "direction": "input", + "bits": [ 569 ] + }, + "RXDLYBYPASS": { + "direction": "input", + "bits": [ 570 ] + }, + "RXDLYEN": { + "direction": "input", + "bits": [ 571 ] + }, + "RXDLYOVRDEN": { + "direction": "input", + "bits": [ 572 ] + }, + "RXDLYSRESET": { + "direction": "input", + "bits": [ 573 ] + }, + "RXELECIDLEMODE": { + "direction": "input", + "bits": [ 574, 575 ] + }, + "RXGEARBOXSLIP": { + "direction": "input", + "bits": [ 576 ] + }, + "RXLATCLK": { + "direction": "input", + "bits": [ 577 ] + }, + "RXLPMEN": { + "direction": "input", + "bits": [ 578 ] + }, + "RXLPMGCHOLD": { + "direction": "input", + "bits": [ 579 ] + }, + "RXLPMGCOVRDEN": { + "direction": "input", + "bits": [ 580 ] + }, + "RXLPMHFHOLD": { + "direction": "input", + "bits": [ 581 ] + }, + "RXLPMHFOVRDEN": { + "direction": "input", + "bits": [ 582 ] + }, + "RXLPMLFHOLD": { + "direction": "input", + "bits": [ 583 ] + }, + "RXLPMLFKLOVRDEN": { + "direction": "input", + "bits": [ 584 ] + }, + "RXLPMOSHOLD": { + "direction": "input", + "bits": [ 585 ] + }, + "RXLPMOSOVRDEN": { + "direction": "input", + "bits": [ 586 ] + }, + "RXMCOMMAALIGNEN": { + "direction": "input", + "bits": [ 587 ] + }, + "RXMONITORSEL": { + "direction": "input", + "bits": [ 588, 589 ] + }, + "RXOOBRESET": { + "direction": "input", + "bits": [ 590 ] + }, + "RXOSCALRESET": { + "direction": "input", + "bits": [ 591 ] + }, + "RXOSHOLD": { + "direction": "input", + "bits": [ 592 ] + }, + "RXOSINTCFG": { + "direction": "input", + "bits": [ 593, 594, 595, 596 ] + }, + "RXOSINTEN": { + "direction": "input", + "bits": [ 597 ] + }, + "RXOSINTHOLD": { + "direction": "input", + "bits": [ 598 ] + }, + "RXOSINTOVRDEN": { + "direction": "input", + "bits": [ 599 ] + }, + "RXOSINTSTROBE": { + "direction": "input", + "bits": [ 600 ] + }, + "RXOSINTTESTOVRDEN": { + "direction": "input", + "bits": [ 601 ] + }, + "RXOSOVRDEN": { + "direction": "input", + "bits": [ 602 ] + }, + "RXOUTCLKSEL": { + "direction": "input", + "bits": [ 603, 604, 605 ] + }, + "RXPCOMMAALIGNEN": { + "direction": "input", + "bits": [ 606 ] + }, + "RXPCSRESET": { + "direction": "input", + "bits": [ 607 ] + }, + "RXPD": { + "direction": "input", + "bits": [ 608, 609 ] + }, + "RXPHALIGN": { + "direction": "input", + "bits": [ 610 ] + }, + "RXPHALIGNEN": { + "direction": "input", + "bits": [ 611 ] + }, + "RXPHDLYPD": { + "direction": "input", + "bits": [ 612 ] + }, + "RXPHDLYRESET": { + "direction": "input", + "bits": [ 613 ] + }, + "RXPHOVRDEN": { + "direction": "input", + "bits": [ 614 ] + }, + "RXPLLCLKSEL": { + "direction": "input", + "bits": [ 615, 616 ] + }, + "RXPMARESET": { + "direction": "input", + "bits": [ 617 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 618 ] + }, + "RXPRBSCNTRESET": { + "direction": "input", + "bits": [ 619 ] + }, + "RXPRBSSEL": { + "direction": "input", + "bits": [ 620, 621, 622, 623 ] + }, + "RXPROGDIVRESET": { + "direction": "input", + "bits": [ 624 ] + }, + "RXRATE": { + "direction": "input", + "bits": [ 625, 626, 627 ] + }, + "RXRATEMODE": { + "direction": "input", + "bits": [ 628 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 629 ] + }, + "RXSLIPOUTCLK": { + "direction": "input", + "bits": [ 630 ] + }, + "RXSLIPPMA": { + "direction": "input", + "bits": [ 631 ] + }, + "RXSYNCALLIN": { + "direction": "input", + "bits": [ 632 ] + }, + "RXSYNCIN": { + "direction": "input", + "bits": [ 633 ] + }, + "RXSYNCMODE": { + "direction": "input", + "bits": [ 634 ] + }, + "RXSYSCLKSEL": { + "direction": "input", + "bits": [ 635, 636 ] + }, + "RXUSERRDY": { + "direction": "input", + "bits": [ 637 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 638 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 639 ] + }, + "SIGVALIDCLK": { + "direction": "input", + "bits": [ 640 ] + }, + "TSTIN": { + "direction": "input", + "bits": [ 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660 ] + }, + "TX8B10BBYPASS": { + "direction": "input", + "bits": [ 661, 662, 663, 664, 665, 666, 667, 668 ] + }, + "TX8B10BEN": { + "direction": "input", + "bits": [ 669 ] + }, + "TXBUFDIFFCTRL": { + "direction": "input", + "bits": [ 670, 671, 672 ] + }, + "TXCOMINIT": { + "direction": "input", + "bits": [ 673 ] + }, + "TXCOMSAS": { + "direction": "input", + "bits": [ 674 ] + }, + "TXCOMWAKE": { + "direction": "input", + "bits": [ 675 ] + }, + "TXCTRL0": { + "direction": "input", + "bits": [ 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691 ] + }, + "TXCTRL1": { + "direction": "input", + "bits": [ 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707 ] + }, + "TXCTRL2": { + "direction": "input", + "bits": [ 708, 709, 710, 711, 712, 713, 714, 715 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843 ] + }, + "TXDATAEXTENDRSVD": { + "direction": "input", + "bits": [ 844, 845, 846, 847, 848, 849, 850, 851 ] + }, + "TXDCCFORCESTART": { + "direction": "input", + "bits": [ 852 ] + }, + "TXDCCRESET": { + "direction": "input", + "bits": [ 853 ] + }, + "TXDEEMPH": { + "direction": "input", + "bits": [ 854 ] + }, + "TXDETECTRX": { + "direction": "input", + "bits": [ 855 ] + }, + "TXDIFFCTRL": { + "direction": "input", + "bits": [ 856, 857, 858, 859, 860 ] + }, + "TXDIFFPD": { + "direction": "input", + "bits": [ 861 ] + }, + "TXDLYBYPASS": { + "direction": "input", + "bits": [ 862 ] + }, + "TXDLYEN": { + "direction": "input", + "bits": [ 863 ] + }, + "TXDLYHOLD": { + "direction": "input", + "bits": [ 864 ] + }, + "TXDLYOVRDEN": { + "direction": "input", + "bits": [ 865 ] + }, + "TXDLYSRESET": { + "direction": "input", + "bits": [ 866 ] + }, + "TXDLYUPDOWN": { + "direction": "input", + "bits": [ 867 ] + }, + "TXELECIDLE": { + "direction": "input", + "bits": [ 868 ] + }, + "TXELFORCESTART": { + "direction": "input", + "bits": [ 869 ] + }, + "TXHEADER": { + "direction": "input", + "bits": [ 870, 871, 872, 873, 874, 875 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 876 ] + }, + "TXLATCLK": { + "direction": "input", + "bits": [ 877 ] + }, + "TXMAINCURSOR": { + "direction": "input", + "bits": [ 878, 879, 880, 881, 882, 883, 884 ] + }, + "TXMARGIN": { + "direction": "input", + "bits": [ 885, 886, 887 ] + }, + "TXOUTCLKSEL": { + "direction": "input", + "bits": [ 888, 889, 890 ] + }, + "TXPCSRESET": { + "direction": "input", + "bits": [ 891 ] + }, + "TXPD": { + "direction": "input", + "bits": [ 892, 893 ] + }, + "TXPDELECIDLEMODE": { + "direction": "input", + "bits": [ 894 ] + }, + "TXPHALIGN": { + "direction": "input", + "bits": [ 895 ] + }, + "TXPHALIGNEN": { + "direction": "input", + "bits": [ 896 ] + }, + "TXPHDLYPD": { + "direction": "input", + "bits": [ 897 ] + }, + "TXPHDLYRESET": { + "direction": "input", + "bits": [ 898 ] + }, + "TXPHDLYTSTCLK": { + "direction": "input", + "bits": [ 899 ] + }, + "TXPHINIT": { + "direction": "input", + "bits": [ 900 ] + }, + "TXPHOVRDEN": { + "direction": "input", + "bits": [ 901 ] + }, + "TXPIPPMEN": { + "direction": "input", + "bits": [ 902 ] + }, + "TXPIPPMOVRDEN": { + "direction": "input", + "bits": [ 903 ] + }, + "TXPIPPMPD": { + "direction": "input", + "bits": [ 904 ] + }, + "TXPIPPMSEL": { + "direction": "input", + "bits": [ 905 ] + }, + "TXPIPPMSTEPSIZE": { + "direction": "input", + "bits": [ 906, 907, 908, 909, 910 ] + }, + "TXPISOPD": { + "direction": "input", + "bits": [ 911 ] + }, + "TXPLLCLKSEL": { + "direction": "input", + "bits": [ 912, 913 ] + }, + "TXPMARESET": { + "direction": "input", + "bits": [ 914 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 915 ] + }, + "TXPOSTCURSOR": { + "direction": "input", + "bits": [ 916, 917, 918, 919, 920 ] + }, + "TXPRBSFORCEERR": { + "direction": "input", + "bits": [ 921 ] + }, + "TXPRBSSEL": { + "direction": "input", + "bits": [ 922, 923, 924, 925 ] + }, + "TXPRECURSOR": { + "direction": "input", + "bits": [ 926, 927, 928, 929, 930 ] + }, + "TXPROGDIVRESET": { + "direction": "input", + "bits": [ 931 ] + }, + "TXRATE": { + "direction": "input", + "bits": [ 932, 933, 934 ] + }, + "TXRATEMODE": { + "direction": "input", + "bits": [ 935 ] + }, + "TXSEQUENCE": { + "direction": "input", + "bits": [ 936, 937, 938, 939, 940, 941, 942 ] + }, + "TXSWING": { + "direction": "input", + "bits": [ 943 ] + }, + "TXSYNCALLIN": { + "direction": "input", + "bits": [ 944 ] + }, + "TXSYNCIN": { + "direction": "input", + "bits": [ 945 ] + }, + "TXSYNCMODE": { + "direction": "input", + "bits": [ 946 ] + }, + "TXSYSCLKSEL": { + "direction": "input", + "bits": [ 947, 948 ] + }, + "TXUSERRDY": { + "direction": "input", + "bits": [ 949 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 950 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 951 ] + } + }, + "cells": { + }, + "netnames": { + "BUFGTCE": { + "hide_name": 0, + "bits": [ 2, 3, 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16333.18-16333.25" + } + }, + "BUFGTCEMASK": { + "hide_name": 0, + "bits": [ 5, 6, 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16334.18-16334.29" + } + }, + "BUFGTDIV": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16335.18-16335.26" + } + }, + "BUFGTRESET": { + "hide_name": 0, + "bits": [ 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16336.18-16336.28" + } + }, + "BUFGTRSTMASK": { + "hide_name": 0, + "bits": [ 20, 21, 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16337.18-16337.30" + } + }, + "CDRSTEPDIR": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16428.11-16428.21" + } + }, + "CDRSTEPSQ": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16429.11-16429.20" + } + }, + "CDRSTEPSX": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16430.11-16430.20" + } + }, + "CFGRESET": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16431.11-16431.19" + } + }, + "CLKRSVD0": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16432.11-16432.19" + } + }, + "CLKRSVD1": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16433.11-16433.19" + } + }, + "CPLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16338.12-16338.25" + } + }, + "CPLLLOCK": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16339.12-16339.20" + } + }, + "CPLLLOCKDETCLK": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16434.11-16434.25" + } + }, + "CPLLLOCKEN": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16435.11-16435.21" + } + }, + "CPLLPD": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16436.11-16436.17" + } + }, + "CPLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16340.12-16340.26" + } + }, + "CPLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 379, 380, 381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16437.17-16437.30" + } + }, + "CPLLRESET": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16438.11-16438.20" + } + }, + "DMONFIFORESET": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16439.11-16439.24" + } + }, + "DMONITORCLK": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16440.11-16440.22" + } + }, + "DMONITOROUT": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16341.19-16341.30" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 385, 386, 387, 388, 389, 390, 391, 392, 393, 394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16441.17-16441.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16442.11-16442.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16443.18-16443.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16342.19-16342.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16444.11-16444.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16343.12-16343.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16445.11-16445.16" + } + }, + "ELPCALDVORWREN": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16446.11-16446.25" + } + }, + "ELPCALPAORWREN": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16447.11-16447.25" + } + }, + "EVODDPHICALDONE": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16448.11-16448.26" + } + }, + "EVODDPHICALSTART": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16449.11-16449.27" + } + }, + "EVODDPHIDRDEN": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16450.11-16450.24" + } + }, + "EVODDPHIDWREN": { + "hide_name": 0, + "bits": [ 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16451.11-16451.24" + } + }, + "EVODDPHIXRDEN": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16452.11-16452.24" + } + }, + "EVODDPHIXWREN": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16453.11-16453.24" + } + }, + "EYESCANDATAERROR": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16344.12-16344.28" + } + }, + "EYESCANMODE": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16454.11-16454.22" + } + }, + "EYESCANRESET": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16455.11-16455.23" + } + }, + "EYESCANTRIGGER": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16456.11-16456.25" + } + }, + "GTGREFCLK": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16457.11-16457.20" + } + }, + "GTNORTHREFCLK0": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16458.11-16458.25" + } + }, + "GTNORTHREFCLK1": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16459.11-16459.25" + } + }, + "GTPOWERGOOD": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16345.12-16345.23" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16460.11-16460.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16461.11-16461.20" + } + }, + "GTREFCLKMONITOR": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16346.12-16346.27" + } + }, + "GTRESETSEL": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16462.11-16462.21" + } + }, + "GTRSVD": { + "hide_name": 0, + "bits": [ 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16463.18-16463.24" + } + }, + "GTRXRESET": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16464.11-16464.20" + } + }, + "GTSOUTHREFCLK0": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16465.11-16465.25" + } + }, + "GTSOUTHREFCLK1": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16466.11-16466.25" + } + }, + "GTTXRESET": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16467.11-16467.20" + } + }, + "GTYRXN": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16468.11-16468.17" + } + }, + "GTYRXP": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16469.11-16469.17" + } + }, + "GTYTXN": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16347.12-16347.18" + } + }, + "GTYTXP": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16348.12-16348.18" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 453, 454, 455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16470.17-16470.25" + } + }, + "LOOPRSVD": { + "hide_name": 0, + "bits": [ 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16471.18-16471.26" + } + }, + "LPBKRXTXSEREN": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16472.11-16472.24" + } + }, + "LPBKTXRXSEREN": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16473.11-16473.24" + } + }, + "PCIEEQRXEQADAPTDONE": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16474.11-16474.30" + } + }, + "PCIERATEGEN3": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16349.12-16349.24" + } + }, + "PCIERATEIDLE": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16350.12-16350.24" + } + }, + "PCIERATEQPLLPD": { + "hide_name": 0, + "bits": [ 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16351.18-16351.32" + } + }, + "PCIERATEQPLLRESET": { + "hide_name": 0, + "bits": [ 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16352.18-16352.35" + } + }, + "PCIERSTIDLE": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16475.11-16475.22" + } + }, + "PCIERSTTXSYNCSTART": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16476.11-16476.29" + } + }, + "PCIESYNCTXSYNCDONE": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16353.12-16353.30" + } + }, + "PCIEUSERGEN3RDY": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16354.12-16354.27" + } + }, + "PCIEUSERPHYSTATUSRST": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16355.12-16355.32" + } + }, + "PCIEUSERRATEDONE": { + "hide_name": 0, + "bits": [ 477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16477.11-16477.27" + } + }, + "PCIEUSERRATESTART": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16356.12-16356.29" + } + }, + "PCSRSVDIN": { + "hide_name": 0, + "bits": [ 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16478.18-16478.27" + } + }, + "PCSRSVDIN2": { + "hide_name": 0, + "bits": [ 494, 495, 496, 497, 498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16479.17-16479.27" + } + }, + "PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16357.19-16357.29" + } + }, + "PHYSTATUS": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16358.12-16358.21" + } + }, + "PINRSRVDAS": { + "hide_name": 0, + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16359.18-16359.28" + } + }, + "PMARSVDIN": { + "hide_name": 0, + "bits": [ 499, 500, 501, 502, 503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16480.17-16480.26" + } + }, + "QPLL0CLK": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16481.11-16481.19" + } + }, + "QPLL0REFCLK": { + "hide_name": 0, + "bits": [ 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16482.11-16482.22" + } + }, + "QPLL1CLK": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16483.11-16483.19" + } + }, + "QPLL1REFCLK": { + "hide_name": 0, + "bits": [ 507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16484.11-16484.22" + } + }, + "RESETEXCEPTION": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16360.12-16360.26" + } + }, + "RESETOVRD": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16485.11-16485.20" + } + }, + "RSTCLKENTX": { + "hide_name": 0, + "bits": [ 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16486.11-16486.21" + } + }, + "RX8B10BEN": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16487.11-16487.20" + } + }, + "RXBUFRESET": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16488.11-16488.21" + } + }, + "RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16361.18-16361.29" + } + }, + "RXBYTEISALIGNED": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16362.12-16362.27" + } + }, + "RXBYTEREALIGN": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16363.12-16363.25" + } + }, + "RXCDRFREQRESET": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16489.11-16489.25" + } + }, + "RXCDRHOLD": { + "hide_name": 0, + "bits": [ 513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16490.11-16490.20" + } + }, + "RXCDRLOCK": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16364.12-16364.21" + } + }, + "RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16491.11-16491.22" + } + }, + "RXCDRPHDONE": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16365.12-16365.23" + } + }, + "RXCDRRESET": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16492.11-16492.21" + } + }, + "RXCDRRESETRSV": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16493.11-16493.24" + } + }, + "RXCHANBONDSEQ": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16366.12-16366.25" + } + }, + "RXCHANISALIGNED": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16367.12-16367.27" + } + }, + "RXCHANREALIGN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16368.12-16368.25" + } + }, + "RXCHBONDEN": { + "hide_name": 0, + "bits": [ 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16494.11-16494.21" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 518, 519, 520, 521, 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16495.17-16495.26" + } + }, + "RXCHBONDLEVEL": { + "hide_name": 0, + "bits": [ 523, 524, 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16496.17-16496.30" + } + }, + "RXCHBONDMASTER": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16497.11-16497.25" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 111, 112, 113, 114, 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16369.18-16369.27" + } + }, + "RXCHBONDSLAVE": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16498.11-16498.24" + } + }, + "RXCKCALDONE": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16370.12-16370.23" + } + }, + "RXCKCALRESET": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16499.11-16499.23" + } + }, + "RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16371.18-16371.29" + } + }, + "RXCOMINITDET": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16372.12-16372.24" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16373.12-16373.22" + } + }, + "RXCOMMADETEN": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16500.11-16500.23" + } + }, + "RXCOMSASDET": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16374.12-16374.23" + } + }, + "RXCOMWAKEDET": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16375.12-16375.24" + } + }, + "RXCTRL0": { + "hide_name": 0, + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16376.19-16376.26" + } + }, + "RXCTRL1": { + "hide_name": 0, + "bits": [ 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16377.19-16377.26" + } + }, + "RXCTRL2": { + "hide_name": 0, + "bits": [ 155, 156, 157, 158, 159, 160, 161, 162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16378.18-16378.25" + } + }, + "RXCTRL3": { + "hide_name": 0, + "bits": [ 163, 164, 165, 166, 167, 168, 169, 170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16379.18-16379.25" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16380.20-16380.26" + } + }, + "RXDATAEXTENDRSVD": { + "hide_name": 0, + "bits": [ 299, 300, 301, 302, 303, 304, 305, 306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16381.18-16381.34" + } + }, + "RXDATAVALID": { + "hide_name": 0, + "bits": [ 307, 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16382.18-16382.29" + } + }, + "RXDCCFORCESTART": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16501.11-16501.26" + } + }, + "RXDFEAGCHOLD": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16502.11-16502.23" + } + }, + "RXDFEAGCOVRDEN": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16503.11-16503.25" + } + }, + "RXDFELFHOLD": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16504.11-16504.22" + } + }, + "RXDFELFOVRDEN": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16505.11-16505.24" + } + }, + "RXDFELPMRESET": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16506.11-16506.24" + } + }, + "RXDFETAP10HOLD": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16507.11-16507.25" + } + }, + "RXDFETAP10OVRDEN": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16508.11-16508.27" + } + }, + "RXDFETAP11HOLD": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16509.11-16509.25" + } + }, + "RXDFETAP11OVRDEN": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16510.11-16510.27" + } + }, + "RXDFETAP12HOLD": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16511.11-16511.25" + } + }, + "RXDFETAP12OVRDEN": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16512.11-16512.27" + } + }, + "RXDFETAP13HOLD": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16513.11-16513.25" + } + }, + "RXDFETAP13OVRDEN": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16514.11-16514.27" + } + }, + "RXDFETAP14HOLD": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16515.11-16515.25" + } + }, + "RXDFETAP14OVRDEN": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16516.11-16516.27" + } + }, + "RXDFETAP15HOLD": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16517.11-16517.25" + } + }, + "RXDFETAP15OVRDEN": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16518.11-16518.27" + } + }, + "RXDFETAP2HOLD": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16519.11-16519.24" + } + }, + "RXDFETAP2OVRDEN": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16520.11-16520.26" + } + }, + "RXDFETAP3HOLD": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16521.11-16521.24" + } + }, + "RXDFETAP3OVRDEN": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16522.11-16522.26" + } + }, + "RXDFETAP4HOLD": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16523.11-16523.24" + } + }, + "RXDFETAP4OVRDEN": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16524.11-16524.26" + } + }, + "RXDFETAP5HOLD": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16525.11-16525.24" + } + }, + "RXDFETAP5OVRDEN": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16526.11-16526.26" + } + }, + "RXDFETAP6HOLD": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16527.11-16527.24" + } + }, + "RXDFETAP6OVRDEN": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16528.11-16528.26" + } + }, + "RXDFETAP7HOLD": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16529.11-16529.24" + } + }, + "RXDFETAP7OVRDEN": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16530.11-16530.26" + } + }, + "RXDFETAP8HOLD": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16531.11-16531.24" + } + }, + "RXDFETAP8OVRDEN": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16532.11-16532.26" + } + }, + "RXDFETAP9HOLD": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16533.11-16533.24" + } + }, + "RXDFETAP9OVRDEN": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16534.11-16534.26" + } + }, + "RXDFEUTHOLD": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16535.11-16535.22" + } + }, + "RXDFEUTOVRDEN": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16536.11-16536.24" + } + }, + "RXDFEVPHOLD": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16537.11-16537.22" + } + }, + "RXDFEVPOVRDEN": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16538.11-16538.24" + } + }, + "RXDFEVSEN": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16539.11-16539.20" + } + }, + "RXDFEXYDEN": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16540.11-16540.21" + } + }, + "RXDLYBYPASS": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16541.11-16541.22" + } + }, + "RXDLYEN": { + "hide_name": 0, + "bits": [ 571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16542.11-16542.18" + } + }, + "RXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16543.11-16543.22" + } + }, + "RXDLYSRESET": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16544.11-16544.22" + } + }, + "RXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16383.12-16383.27" + } + }, + "RXELECIDLE": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16384.12-16384.22" + } + }, + "RXELECIDLEMODE": { + "hide_name": 0, + "bits": [ 574, 575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16545.17-16545.31" + } + }, + "RXGEARBOXSLIP": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16546.11-16546.24" + } + }, + "RXHEADER": { + "hide_name": 0, + "bits": [ 311, 312, 313, 314, 315, 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16385.18-16385.26" + } + }, + "RXHEADERVALID": { + "hide_name": 0, + "bits": [ 317, 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16386.18-16386.31" + } + }, + "RXLATCLK": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16547.11-16547.19" + } + }, + "RXLPMEN": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16548.11-16548.18" + } + }, + "RXLPMGCHOLD": { + "hide_name": 0, + "bits": [ 579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16549.11-16549.22" + } + }, + "RXLPMGCOVRDEN": { + "hide_name": 0, + "bits": [ 580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16550.11-16550.24" + } + }, + "RXLPMHFHOLD": { + "hide_name": 0, + "bits": [ 581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16551.11-16551.22" + } + }, + "RXLPMHFOVRDEN": { + "hide_name": 0, + "bits": [ 582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16552.11-16552.24" + } + }, + "RXLPMLFHOLD": { + "hide_name": 0, + "bits": [ 583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16553.11-16553.22" + } + }, + "RXLPMLFKLOVRDEN": { + "hide_name": 0, + "bits": [ 584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16554.11-16554.26" + } + }, + "RXLPMOSHOLD": { + "hide_name": 0, + "bits": [ 585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16555.11-16555.22" + } + }, + "RXLPMOSOVRDEN": { + "hide_name": 0, + "bits": [ 586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16556.11-16556.24" + } + }, + "RXMCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16557.11-16557.26" + } + }, + "RXMONITOROUT": { + "hide_name": 0, + "bits": [ 319, 320, 321, 322, 323, 324, 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16387.18-16387.30" + } + }, + "RXMONITORSEL": { + "hide_name": 0, + "bits": [ 588, 589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16558.17-16558.29" + } + }, + "RXOOBRESET": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16559.11-16559.21" + } + }, + "RXOSCALRESET": { + "hide_name": 0, + "bits": [ 591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16560.11-16560.23" + } + }, + "RXOSHOLD": { + "hide_name": 0, + "bits": [ 592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16561.11-16561.19" + } + }, + "RXOSINTCFG": { + "hide_name": 0, + "bits": [ 593, 594, 595, 596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16562.17-16562.27" + } + }, + "RXOSINTDONE": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16388.12-16388.23" + } + }, + "RXOSINTEN": { + "hide_name": 0, + "bits": [ 597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16563.11-16563.20" + } + }, + "RXOSINTHOLD": { + "hide_name": 0, + "bits": [ 598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16564.11-16564.22" + } + }, + "RXOSINTOVRDEN": { + "hide_name": 0, + "bits": [ 599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16565.11-16565.24" + } + }, + "RXOSINTSTARTED": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16389.12-16389.26" + } + }, + "RXOSINTSTROBE": { + "hide_name": 0, + "bits": [ 600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16566.11-16566.24" + } + }, + "RXOSINTSTROBEDONE": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16390.12-16390.29" + } + }, + "RXOSINTSTROBESTARTED": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16391.12-16391.32" + } + }, + "RXOSINTTESTOVRDEN": { + "hide_name": 0, + "bits": [ 601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16567.11-16567.28" + } + }, + "RXOSOVRDEN": { + "hide_name": 0, + "bits": [ 602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16568.11-16568.21" + } + }, + "RXOUTCLK": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16392.12-16392.20" + } + }, + "RXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16393.12-16393.26" + } + }, + "RXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16394.12-16394.23" + } + }, + "RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 603, 604, 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16569.17-16569.28" + } + }, + "RXPCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16570.11-16570.26" + } + }, + "RXPCSRESET": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16571.11-16571.21" + } + }, + "RXPD": { + "hide_name": 0, + "bits": [ 608, 609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16572.17-16572.21" + } + }, + "RXPHALIGN": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16573.11-16573.20" + } + }, + "RXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16395.12-16395.25" + } + }, + "RXPHALIGNEN": { + "hide_name": 0, + "bits": [ 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16574.11-16574.22" + } + }, + "RXPHALIGNERR": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16396.12-16396.24" + } + }, + "RXPHDLYPD": { + "hide_name": 0, + "bits": [ 612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16575.11-16575.20" + } + }, + "RXPHDLYRESET": { + "hide_name": 0, + "bits": [ 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16576.11-16576.23" + } + }, + "RXPHOVRDEN": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16577.11-16577.21" + } + }, + "RXPLLCLKSEL": { + "hide_name": 0, + "bits": [ 615, 616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16578.17-16578.28" + } + }, + "RXPMARESET": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16579.11-16579.21" + } + }, + "RXPMARESETDONE": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16397.12-16397.26" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16580.11-16580.21" + } + }, + "RXPRBSCNTRESET": { + "hide_name": 0, + "bits": [ 619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16581.11-16581.25" + } + }, + "RXPRBSERR": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16398.12-16398.21" + } + }, + "RXPRBSLOCKED": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16399.12-16399.24" + } + }, + "RXPRBSSEL": { + "hide_name": 0, + "bits": [ 620, 621, 622, 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16582.17-16582.26" + } + }, + "RXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16400.12-16400.29" + } + }, + "RXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16583.11-16583.25" + } + }, + "RXRATE": { + "hide_name": 0, + "bits": [ 625, 626, 627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16584.17-16584.23" + } + }, + "RXRATEDONE": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16401.12-16401.22" + } + }, + "RXRATEMODE": { + "hide_name": 0, + "bits": [ 628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16585.11-16585.21" + } + }, + "RXRECCLKOUT": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16402.12-16402.23" + } + }, + "RXRESETDONE": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16403.12-16403.23" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16586.11-16586.18" + } + }, + "RXSLIDERDY": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16404.12-16404.22" + } + }, + "RXSLIPDONE": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16405.12-16405.22" + } + }, + "RXSLIPOUTCLK": { + "hide_name": 0, + "bits": [ 630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16587.11-16587.23" + } + }, + "RXSLIPOUTCLKRDY": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16406.12-16406.27" + } + }, + "RXSLIPPMA": { + "hide_name": 0, + "bits": [ 631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16588.11-16588.20" + } + }, + "RXSLIPPMARDY": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16407.12-16407.24" + } + }, + "RXSTARTOFSEQ": { + "hide_name": 0, + "bits": [ 346, 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16408.18-16408.30" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 348, 349, 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16409.18-16409.26" + } + }, + "RXSYNCALLIN": { + "hide_name": 0, + "bits": [ 632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16589.11-16589.22" + } + }, + "RXSYNCDONE": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16410.12-16410.22" + } + }, + "RXSYNCIN": { + "hide_name": 0, + "bits": [ 633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16590.11-16590.19" + } + }, + "RXSYNCMODE": { + "hide_name": 0, + "bits": [ 634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16591.11-16591.21" + } + }, + "RXSYNCOUT": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16411.12-16411.21" + } + }, + "RXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 635, 636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16592.17-16592.28" + } + }, + "RXUSERRDY": { + "hide_name": 0, + "bits": [ 637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16593.11-16593.20" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16594.11-16594.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16595.11-16595.20" + } + }, + "RXVALID": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16412.12-16412.19" + } + }, + "SIGVALIDCLK": { + "hide_name": 0, + "bits": [ 640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16596.11-16596.22" + } + }, + "TSTIN": { + "hide_name": 0, + "bits": [ 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16597.18-16597.23" + } + }, + "TX8B10BBYPASS": { + "hide_name": 0, + "bits": [ 661, 662, 663, 664, 665, 666, 667, 668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16598.17-16598.30" + } + }, + "TX8B10BEN": { + "hide_name": 0, + "bits": [ 669 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16599.11-16599.20" + } + }, + "TXBUFDIFFCTRL": { + "hide_name": 0, + "bits": [ 670, 671, 672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16600.17-16600.30" + } + }, + "TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 354, 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16413.18-16413.29" + } + }, + "TXCOMFINISH": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16414.12-16414.23" + } + }, + "TXCOMINIT": { + "hide_name": 0, + "bits": [ 673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16601.11-16601.20" + } + }, + "TXCOMSAS": { + "hide_name": 0, + "bits": [ 674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16602.11-16602.19" + } + }, + "TXCOMWAKE": { + "hide_name": 0, + "bits": [ 675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16603.11-16603.20" + } + }, + "TXCTRL0": { + "hide_name": 0, + "bits": [ 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16604.18-16604.25" + } + }, + "TXCTRL1": { + "hide_name": 0, + "bits": [ 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16605.18-16605.25" + } + }, + "TXCTRL2": { + "hide_name": 0, + "bits": [ 708, 709, 710, 711, 712, 713, 714, 715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16606.17-16606.24" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16607.19-16607.25" + } + }, + "TXDATAEXTENDRSVD": { + "hide_name": 0, + "bits": [ 844, 845, 846, 847, 848, 849, 850, 851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16608.17-16608.33" + } + }, + "TXDCCDONE": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16415.12-16415.21" + } + }, + "TXDCCFORCESTART": { + "hide_name": 0, + "bits": [ 852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16609.11-16609.26" + } + }, + "TXDCCRESET": { + "hide_name": 0, + "bits": [ 853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16610.11-16610.21" + } + }, + "TXDEEMPH": { + "hide_name": 0, + "bits": [ 854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16611.11-16611.19" + } + }, + "TXDETECTRX": { + "hide_name": 0, + "bits": [ 855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16612.11-16612.21" + } + }, + "TXDIFFCTRL": { + "hide_name": 0, + "bits": [ 856, 857, 858, 859, 860 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16613.17-16613.27" + } + }, + "TXDIFFPD": { + "hide_name": 0, + "bits": [ 861 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16614.11-16614.19" + } + }, + "TXDLYBYPASS": { + "hide_name": 0, + "bits": [ 862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16615.11-16615.22" + } + }, + "TXDLYEN": { + "hide_name": 0, + "bits": [ 863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16616.11-16616.18" + } + }, + "TXDLYHOLD": { + "hide_name": 0, + "bits": [ 864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16617.11-16617.20" + } + }, + "TXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16618.11-16618.22" + } + }, + "TXDLYSRESET": { + "hide_name": 0, + "bits": [ 866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16619.11-16619.22" + } + }, + "TXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16416.12-16416.27" + } + }, + "TXDLYUPDOWN": { + "hide_name": 0, + "bits": [ 867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16620.11-16620.22" + } + }, + "TXELECIDLE": { + "hide_name": 0, + "bits": [ 868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16621.11-16621.21" + } + }, + "TXELFORCESTART": { + "hide_name": 0, + "bits": [ 869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16622.11-16622.25" + } + }, + "TXHEADER": { + "hide_name": 0, + "bits": [ 870, 871, 872, 873, 874, 875 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16623.17-16623.25" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 876 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16624.11-16624.20" + } + }, + "TXLATCLK": { + "hide_name": 0, + "bits": [ 877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16625.11-16625.19" + } + }, + "TXMAINCURSOR": { + "hide_name": 0, + "bits": [ 878, 879, 880, 881, 882, 883, 884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16626.17-16626.29" + } + }, + "TXMARGIN": { + "hide_name": 0, + "bits": [ 885, 886, 887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16627.17-16627.25" + } + }, + "TXOUTCLK": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16417.12-16417.20" + } + }, + "TXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16418.12-16418.26" + } + }, + "TXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16419.12-16419.23" + } + }, + "TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 888, 889, 890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16628.17-16628.28" + } + }, + "TXPCSRESET": { + "hide_name": 0, + "bits": [ 891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16629.11-16629.21" + } + }, + "TXPD": { + "hide_name": 0, + "bits": [ 892, 893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16630.17-16630.21" + } + }, + "TXPDELECIDLEMODE": { + "hide_name": 0, + "bits": [ 894 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16631.11-16631.27" + } + }, + "TXPHALIGN": { + "hide_name": 0, + "bits": [ 895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16632.11-16632.20" + } + }, + "TXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16420.12-16420.25" + } + }, + "TXPHALIGNEN": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16633.11-16633.22" + } + }, + "TXPHDLYPD": { + "hide_name": 0, + "bits": [ 897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16634.11-16634.20" + } + }, + "TXPHDLYRESET": { + "hide_name": 0, + "bits": [ 898 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16635.11-16635.23" + } + }, + "TXPHDLYTSTCLK": { + "hide_name": 0, + "bits": [ 899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16636.11-16636.24" + } + }, + "TXPHINIT": { + "hide_name": 0, + "bits": [ 900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16637.11-16637.19" + } + }, + "TXPHINITDONE": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16421.12-16421.24" + } + }, + "TXPHOVRDEN": { + "hide_name": 0, + "bits": [ 901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16638.11-16638.21" + } + }, + "TXPIPPMEN": { + "hide_name": 0, + "bits": [ 902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16639.11-16639.20" + } + }, + "TXPIPPMOVRDEN": { + "hide_name": 0, + "bits": [ 903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16640.11-16640.24" + } + }, + "TXPIPPMPD": { + "hide_name": 0, + "bits": [ 904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16641.11-16641.20" + } + }, + "TXPIPPMSEL": { + "hide_name": 0, + "bits": [ 905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16642.11-16642.21" + } + }, + "TXPIPPMSTEPSIZE": { + "hide_name": 0, + "bits": [ 906, 907, 908, 909, 910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16643.17-16643.32" + } + }, + "TXPISOPD": { + "hide_name": 0, + "bits": [ 911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16644.11-16644.19" + } + }, + "TXPLLCLKSEL": { + "hide_name": 0, + "bits": [ 912, 913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16645.17-16645.28" + } + }, + "TXPMARESET": { + "hide_name": 0, + "bits": [ 914 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16646.11-16646.21" + } + }, + "TXPMARESETDONE": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16422.12-16422.26" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16647.11-16647.21" + } + }, + "TXPOSTCURSOR": { + "hide_name": 0, + "bits": [ 916, 917, 918, 919, 920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16648.17-16648.29" + } + }, + "TXPRBSFORCEERR": { + "hide_name": 0, + "bits": [ 921 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16649.11-16649.25" + } + }, + "TXPRBSSEL": { + "hide_name": 0, + "bits": [ 922, 923, 924, 925 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16650.17-16650.26" + } + }, + "TXPRECURSOR": { + "hide_name": 0, + "bits": [ 926, 927, 928, 929, 930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16651.17-16651.28" + } + }, + "TXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16423.12-16423.29" + } + }, + "TXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16652.11-16652.25" + } + }, + "TXRATE": { + "hide_name": 0, + "bits": [ 932, 933, 934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16653.17-16653.23" + } + }, + "TXRATEDONE": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16424.12-16424.22" + } + }, + "TXRATEMODE": { + "hide_name": 0, + "bits": [ 935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16654.11-16654.21" + } + }, + "TXRESETDONE": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16425.12-16425.23" + } + }, + "TXSEQUENCE": { + "hide_name": 0, + "bits": [ 936, 937, 938, 939, 940, 941, 942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16655.17-16655.27" + } + }, + "TXSWING": { + "hide_name": 0, + "bits": [ 943 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16656.11-16656.18" + } + }, + "TXSYNCALLIN": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16657.11-16657.22" + } + }, + "TXSYNCDONE": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16426.12-16426.22" + } + }, + "TXSYNCIN": { + "hide_name": 0, + "bits": [ 945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16658.11-16658.19" + } + }, + "TXSYNCMODE": { + "hide_name": 0, + "bits": [ 946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16659.11-16659.21" + } + }, + "TXSYNCOUT": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16427.12-16427.21" + } + }, + "TXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 947, 948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16660.17-16660.28" + } + }, + "TXUSERRDY": { + "hide_name": 0, + "bits": [ 949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16661.11-16661.20" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16662.11-16662.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16663.11-16663.20" + } + } + } + }, + "GTYE3_COMMON": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16666.1-16812.10" + }, + "parameter_default_values": { + "A_SDM1DATA1_0": "0000000000000000", + "A_SDM1DATA1_1": "000000000", + "BIAS_CFG0": "0000000000000000", + "BIAS_CFG1": "0000000000000000", + "BIAS_CFG2": "0000000000000000", + "BIAS_CFG3": "0000000000000000", + "BIAS_CFG4": "0000000000000000", + "BIAS_CFG_RSVD": "0000000000", + "COMMON_CFG0": "0000000000000000", + "COMMON_CFG1": "0000000000000000", + "POR_CFG": "0000000000000100", + "PPF0_CFG": "0000111111111111", + "PPF1_CFG": "0000111111111111", + "QPLL0CLKOUT_RATE": "FULL", + "QPLL0_CFG0": "0011000000011100", + "QPLL0_CFG1": "0000000000000000", + "QPLL0_CFG1_G3": "0000000000100000", + "QPLL0_CFG2": "0000011110000000", + "QPLL0_CFG2_G3": "0000011110000000", + "QPLL0_CFG3": "0000000100100000", + "QPLL0_CFG4": "0000000000100001", + "QPLL0_CP": "0000011111", + "QPLL0_CP_G3": "0000011111", + "QPLL0_FBDIV": "00000000000000000000000001000010", + "QPLL0_FBDIV_G3": "00000000000000000000000001010000", + "QPLL0_INIT_CFG0": "0000000000000000", + "QPLL0_INIT_CFG1": "00000000", + "QPLL0_LOCK_CFG": "0000000111101000", + "QPLL0_LOCK_CFG_G3": "0010000111101000", + "QPLL0_LPF": "1111111111", + "QPLL0_LPF_G3": "1111111111", + "QPLL0_REFCLK_DIV": "00000000000000000000000000000010", + "QPLL0_SDM_CFG0": "0000000001000000", + "QPLL0_SDM_CFG1": "0000000000000000", + "QPLL0_SDM_CFG2": "0000000000000000", + "QPLL1CLKOUT_RATE": "FULL", + "QPLL1_CFG0": "0011000000011100", + "QPLL1_CFG1": "0000000000000000", + "QPLL1_CFG1_G3": "0000000000100000", + "QPLL1_CFG2": "0000011110000000", + "QPLL1_CFG2_G3": "0000011110000000", + "QPLL1_CFG3": "0000000100100000", + "QPLL1_CFG4": "0000000000100001", + "QPLL1_CP": "0000011111", + "QPLL1_CP_G3": "0000011111", + "QPLL1_FBDIV": "00000000000000000000000001000010", + "QPLL1_FBDIV_G3": "00000000000000000000000001010000", + "QPLL1_INIT_CFG0": "0000000000000000", + "QPLL1_INIT_CFG1": "00000000", + "QPLL1_LOCK_CFG": "0000000111101000", + "QPLL1_LOCK_CFG_G3": "0010000111101000", + "QPLL1_LPF": "1111111111", + "QPLL1_LPF_G3": "1111111111", + "QPLL1_REFCLK_DIV": "00000000000000000000000000000010", + "QPLL1_SDM_CFG0": "0000000001000000", + "QPLL1_SDM_CFG1": "0000000000000000", + "QPLL1_SDM_CFG2": "0000000000000000", + "RSVD_ATTR0": "0000000000000000", + "RSVD_ATTR1": "0000000000000000", + "RSVD_ATTR2": "0000000000000000", + "RSVD_ATTR3": "0000000000000000", + "RXRECCLKOUT0_SEL": "00", + "RXRECCLKOUT1_SEL": "00", + "SARC_EN": "1", + "SARC_SEL": "0", + "SDM0INITSEED0_0": "0000000000000000", + "SDM0INITSEED0_1": "000000000", + "SDM1INITSEED0_0": "0000000000000000", + "SDM1INITSEED0_1": "000000000", + "SIM_MODE": "FAST", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_VERSION": "00000000000000000000000000000010" + }, + "ports": { + "DRPDO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 18 ] + }, + "PMARSVDOUT0": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ] + }, + "PMARSVDOUT1": { + "direction": "output", + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "QPLL0FBCLKLOST": { + "direction": "output", + "bits": [ 35 ] + }, + "QPLL0LOCK": { + "direction": "output", + "bits": [ 36 ] + }, + "QPLL0OUTCLK": { + "direction": "output", + "bits": [ 37 ] + }, + "QPLL0OUTREFCLK": { + "direction": "output", + "bits": [ 38 ] + }, + "QPLL0REFCLKLOST": { + "direction": "output", + "bits": [ 39 ] + }, + "QPLL1FBCLKLOST": { + "direction": "output", + "bits": [ 40 ] + }, + "QPLL1LOCK": { + "direction": "output", + "bits": [ 41 ] + }, + "QPLL1OUTCLK": { + "direction": "output", + "bits": [ 42 ] + }, + "QPLL1OUTREFCLK": { + "direction": "output", + "bits": [ 43 ] + }, + "QPLL1REFCLKLOST": { + "direction": "output", + "bits": [ 44 ] + }, + "QPLLDMONITOR0": { + "direction": "output", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "QPLLDMONITOR1": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60 ] + }, + "REFCLKOUTMONITOR0": { + "direction": "output", + "bits": [ 61 ] + }, + "REFCLKOUTMONITOR1": { + "direction": "output", + "bits": [ 62 ] + }, + "RXRECCLK0_SEL": { + "direction": "output", + "bits": [ 63, 64 ] + }, + "RXRECCLK1_SEL": { + "direction": "output", + "bits": [ 65, 66 ] + }, + "SDM0FINALOUT": { + "direction": "output", + "bits": [ 67, 68, 69, 70 ] + }, + "SDM0TESTDATA": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "SDM1FINALOUT": { + "direction": "output", + "bits": [ 86, 87, 88, 89 ] + }, + "SDM1TESTDATA": { + "direction": "output", + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "BGBYPASSB": { + "direction": "input", + "bits": [ 105 ] + }, + "BGMONITORENB": { + "direction": "input", + "bits": [ 106 ] + }, + "BGPDB": { + "direction": "input", + "bits": [ 107 ] + }, + "BGRCALOVRD": { + "direction": "input", + "bits": [ 108, 109, 110, 111, 112 ] + }, + "BGRCALOVRDENB": { + "direction": "input", + "bits": [ 113 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122, 123 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 124 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 141 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 142 ] + }, + "GTGREFCLK0": { + "direction": "input", + "bits": [ 143 ] + }, + "GTGREFCLK1": { + "direction": "input", + "bits": [ 144 ] + }, + "GTNORTHREFCLK00": { + "direction": "input", + "bits": [ 145 ] + }, + "GTNORTHREFCLK01": { + "direction": "input", + "bits": [ 146 ] + }, + "GTNORTHREFCLK10": { + "direction": "input", + "bits": [ 147 ] + }, + "GTNORTHREFCLK11": { + "direction": "input", + "bits": [ 148 ] + }, + "GTREFCLK00": { + "direction": "input", + "bits": [ 149 ] + }, + "GTREFCLK01": { + "direction": "input", + "bits": [ 150 ] + }, + "GTREFCLK10": { + "direction": "input", + "bits": [ 151 ] + }, + "GTREFCLK11": { + "direction": "input", + "bits": [ 152 ] + }, + "GTSOUTHREFCLK00": { + "direction": "input", + "bits": [ 153 ] + }, + "GTSOUTHREFCLK01": { + "direction": "input", + "bits": [ 154 ] + }, + "GTSOUTHREFCLK10": { + "direction": "input", + "bits": [ 155 ] + }, + "GTSOUTHREFCLK11": { + "direction": "input", + "bits": [ 156 ] + }, + "PMARSVD0": { + "direction": "input", + "bits": [ 157, 158, 159, 160, 161, 162, 163, 164 ] + }, + "PMARSVD1": { + "direction": "input", + "bits": [ 165, 166, 167, 168, 169, 170, 171, 172 ] + }, + "QPLL0CLKRSVD0": { + "direction": "input", + "bits": [ 173 ] + }, + "QPLL0LOCKDETCLK": { + "direction": "input", + "bits": [ 174 ] + }, + "QPLL0LOCKEN": { + "direction": "input", + "bits": [ 175 ] + }, + "QPLL0PD": { + "direction": "input", + "bits": [ 176 ] + }, + "QPLL0REFCLKSEL": { + "direction": "input", + "bits": [ 177, 178, 179 ] + }, + "QPLL0RESET": { + "direction": "input", + "bits": [ 180 ] + }, + "QPLL1CLKRSVD0": { + "direction": "input", + "bits": [ 181 ] + }, + "QPLL1LOCKDETCLK": { + "direction": "input", + "bits": [ 182 ] + }, + "QPLL1LOCKEN": { + "direction": "input", + "bits": [ 183 ] + }, + "QPLL1PD": { + "direction": "input", + "bits": [ 184 ] + }, + "QPLL1REFCLKSEL": { + "direction": "input", + "bits": [ 185, 186, 187 ] + }, + "QPLL1RESET": { + "direction": "input", + "bits": [ 188 ] + }, + "QPLLRSVD1": { + "direction": "input", + "bits": [ 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "QPLLRSVD2": { + "direction": "input", + "bits": [ 197, 198, 199, 200, 201 ] + }, + "QPLLRSVD3": { + "direction": "input", + "bits": [ 202, 203, 204, 205, 206 ] + }, + "QPLLRSVD4": { + "direction": "input", + "bits": [ 207, 208, 209, 210, 211, 212, 213, 214 ] + }, + "RCALENB": { + "direction": "input", + "bits": [ 215 ] + }, + "SDM0DATA": { + "direction": "input", + "bits": [ 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240 ] + }, + "SDM0RESET": { + "direction": "input", + "bits": [ 241 ] + }, + "SDM0WIDTH": { + "direction": "input", + "bits": [ 242, 243 ] + }, + "SDM1DATA": { + "direction": "input", + "bits": [ 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268 ] + }, + "SDM1RESET": { + "direction": "input", + "bits": [ 269 ] + }, + "SDM1WIDTH": { + "direction": "input", + "bits": [ 270, 271 ] + } + }, + "cells": { + }, + "netnames": { + "BGBYPASSB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16763.11-16763.20" + } + }, + "BGMONITORENB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16764.11-16764.23" + } + }, + "BGPDB": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16765.11-16765.16" + } + }, + "BGRCALOVRD": { + "hide_name": 0, + "bits": [ 108, 109, 110, 111, 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16766.17-16766.27" + } + }, + "BGRCALOVRDENB": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16767.11-16767.24" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16768.17-16768.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16769.11-16769.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16770.18-16770.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16739.19-16739.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16771.11-16771.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16740.12-16740.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16772.11-16772.16" + } + }, + "GTGREFCLK0": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16773.11-16773.21" + } + }, + "GTGREFCLK1": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16774.11-16774.21" + } + }, + "GTNORTHREFCLK00": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16775.11-16775.26" + } + }, + "GTNORTHREFCLK01": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16776.11-16776.26" + } + }, + "GTNORTHREFCLK10": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16777.11-16777.26" + } + }, + "GTNORTHREFCLK11": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16778.11-16778.26" + } + }, + "GTREFCLK00": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16779.11-16779.21" + } + }, + "GTREFCLK01": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16780.11-16780.21" + } + }, + "GTREFCLK10": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16781.11-16781.21" + } + }, + "GTREFCLK11": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16782.11-16782.21" + } + }, + "GTSOUTHREFCLK00": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16783.11-16783.26" + } + }, + "GTSOUTHREFCLK01": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16784.11-16784.26" + } + }, + "GTSOUTHREFCLK10": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16785.11-16785.26" + } + }, + "GTSOUTHREFCLK11": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16786.11-16786.26" + } + }, + "PMARSVD0": { + "hide_name": 0, + "bits": [ 157, 158, 159, 160, 161, 162, 163, 164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16787.17-16787.25" + } + }, + "PMARSVD1": { + "hide_name": 0, + "bits": [ 165, 166, 167, 168, 169, 170, 171, 172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16788.17-16788.25" + } + }, + "PMARSVDOUT0": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16741.18-16741.29" + } + }, + "PMARSVDOUT1": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16742.18-16742.29" + } + }, + "QPLL0CLKRSVD0": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16789.11-16789.24" + } + }, + "QPLL0FBCLKLOST": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16743.12-16743.26" + } + }, + "QPLL0LOCK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16744.12-16744.21" + } + }, + "QPLL0LOCKDETCLK": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16790.11-16790.26" + } + }, + "QPLL0LOCKEN": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16791.11-16791.22" + } + }, + "QPLL0OUTCLK": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16745.12-16745.23" + } + }, + "QPLL0OUTREFCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16746.12-16746.26" + } + }, + "QPLL0PD": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16792.11-16792.18" + } + }, + "QPLL0REFCLKLOST": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16747.12-16747.27" + } + }, + "QPLL0REFCLKSEL": { + "hide_name": 0, + "bits": [ 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16793.17-16793.31" + } + }, + "QPLL0RESET": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16794.11-16794.21" + } + }, + "QPLL1CLKRSVD0": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16795.11-16795.24" + } + }, + "QPLL1FBCLKLOST": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16748.12-16748.26" + } + }, + "QPLL1LOCK": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16749.12-16749.21" + } + }, + "QPLL1LOCKDETCLK": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16796.11-16796.26" + } + }, + "QPLL1LOCKEN": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16797.11-16797.22" + } + }, + "QPLL1OUTCLK": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16750.12-16750.23" + } + }, + "QPLL1OUTREFCLK": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16751.12-16751.26" + } + }, + "QPLL1PD": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16798.11-16798.18" + } + }, + "QPLL1REFCLKLOST": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16752.12-16752.27" + } + }, + "QPLL1REFCLKSEL": { + "hide_name": 0, + "bits": [ 185, 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16799.17-16799.31" + } + }, + "QPLL1RESET": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16800.11-16800.21" + } + }, + "QPLLDMONITOR0": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16753.18-16753.31" + } + }, + "QPLLDMONITOR1": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16754.18-16754.31" + } + }, + "QPLLRSVD1": { + "hide_name": 0, + "bits": [ 189, 190, 191, 192, 193, 194, 195, 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16801.17-16801.26" + } + }, + "QPLLRSVD2": { + "hide_name": 0, + "bits": [ 197, 198, 199, 200, 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16802.17-16802.26" + } + }, + "QPLLRSVD3": { + "hide_name": 0, + "bits": [ 202, 203, 204, 205, 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16803.17-16803.26" + } + }, + "QPLLRSVD4": { + "hide_name": 0, + "bits": [ 207, 208, 209, 210, 211, 212, 213, 214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16804.17-16804.26" + } + }, + "RCALENB": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16805.11-16805.18" + } + }, + "REFCLKOUTMONITOR0": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16755.12-16755.29" + } + }, + "REFCLKOUTMONITOR1": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16756.12-16756.29" + } + }, + "RXRECCLK0_SEL": { + "hide_name": 0, + "bits": [ 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16757.18-16757.31" + } + }, + "RXRECCLK1_SEL": { + "hide_name": 0, + "bits": [ 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16758.18-16758.31" + } + }, + "SDM0DATA": { + "hide_name": 0, + "bits": [ 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16806.18-16806.26" + } + }, + "SDM0FINALOUT": { + "hide_name": 0, + "bits": [ 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16759.18-16759.30" + } + }, + "SDM0RESET": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16807.11-16807.20" + } + }, + "SDM0TESTDATA": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16760.19-16760.31" + } + }, + "SDM0WIDTH": { + "hide_name": 0, + "bits": [ 242, 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16808.17-16808.26" + } + }, + "SDM1DATA": { + "hide_name": 0, + "bits": [ 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16809.18-16809.26" + } + }, + "SDM1FINALOUT": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16761.18-16761.30" + } + }, + "SDM1RESET": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16810.11-16810.20" + } + }, + "SDM1TESTDATA": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16762.19-16762.31" + } + }, + "SDM1WIDTH": { + "hide_name": 0, + "bits": [ 270, 271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16811.17-16811.26" + } + } + } + }, + "GTYE4_CHANNEL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:17870.1-18694.10" + }, + "parameter_default_values": { + "ACJTAG_DEBUG_MODE": "0", + "ACJTAG_MODE": "0", + "ACJTAG_RESET": "0", + "ADAPT_CFG0": "1001001000000000", + "ADAPT_CFG1": "1000000000011100", + "ADAPT_CFG2": "0000000000000000", + "ALIGN_COMMA_DOUBLE": "FALSE", + "ALIGN_COMMA_ENABLE": "0001111111", + "ALIGN_COMMA_WORD": "00000000000000000000000000000001", + "ALIGN_MCOMMA_DET": "TRUE", + "ALIGN_MCOMMA_VALUE": "1010000011", + "ALIGN_PCOMMA_DET": "TRUE", + "ALIGN_PCOMMA_VALUE": "0101111100", + "A_RXOSCALRESET": "0", + "A_RXPROGDIVRESET": "0", + "A_RXTERMINATION": "1", + "A_TXDIFFCTRL": "01100", + "A_TXPROGDIVRESET": "0", + "CBCC_DATA_SOURCE_SEL": "DECODED", + "CDR_SWAP_MODE_EN": "0", + "CFOK_PWRSVE_EN": "1", + "CHAN_BOND_KEEP_ALIGN": "FALSE", + "CHAN_BOND_MAX_SKEW": "00000000000000000000000000000111", + "CHAN_BOND_SEQ_1_1": "0101111100", + "CHAN_BOND_SEQ_1_2": "0000000000", + "CHAN_BOND_SEQ_1_3": "0000000000", + "CHAN_BOND_SEQ_1_4": "0000000000", + "CHAN_BOND_SEQ_1_ENABLE": "1111", + "CHAN_BOND_SEQ_2_1": "0100000000", + "CHAN_BOND_SEQ_2_2": "0100000000", + "CHAN_BOND_SEQ_2_3": "0100000000", + "CHAN_BOND_SEQ_2_4": "0100000000", + "CHAN_BOND_SEQ_2_ENABLE": "1111", + "CHAN_BOND_SEQ_2_USE": "FALSE", + "CHAN_BOND_SEQ_LEN": "00000000000000000000000000000010", + "CH_HSPMUX": "0010010000100100", + "CKCAL1_CFG_0": "1100000011000000", + "CKCAL1_CFG_1": "0101000011000000", + "CKCAL1_CFG_2": "0000000000000000", + "CKCAL1_CFG_3": "0000000000000000", + "CKCAL2_CFG_0": "1100000011000000", + "CKCAL2_CFG_1": "1000000011000000", + "CKCAL2_CFG_2": "0000000000000000", + "CKCAL2_CFG_3": "0000000000000000", + "CKCAL2_CFG_4": "0000000000000000", + "CLK_CORRECT_USE": "TRUE", + "CLK_COR_KEEP_IDLE": "FALSE", + "CLK_COR_MAX_LAT": "00000000000000000000000000010100", + "CLK_COR_MIN_LAT": "00000000000000000000000000010010", + "CLK_COR_PRECEDENCE": "TRUE", + "CLK_COR_REPEAT_WAIT": "00000000000000000000000000000000", + "CLK_COR_SEQ_1_1": "0100011100", + "CLK_COR_SEQ_1_2": "0000000000", + "CLK_COR_SEQ_1_3": "0000000000", + "CLK_COR_SEQ_1_4": "0000000000", + "CLK_COR_SEQ_1_ENABLE": "1111", + "CLK_COR_SEQ_2_1": "0100000000", + "CLK_COR_SEQ_2_2": "0100000000", + "CLK_COR_SEQ_2_3": "0100000000", + "CLK_COR_SEQ_2_4": "0100000000", + "CLK_COR_SEQ_2_ENABLE": "1111", + "CLK_COR_SEQ_2_USE": "FALSE", + "CLK_COR_SEQ_LEN": "00000000000000000000000000000010", + "CPLL_CFG0": "0000000111111010", + "CPLL_CFG1": "0010010010101001", + "CPLL_CFG2": "0110100000000111", + "CPLL_CFG3": "0000000000000000", + "CPLL_FBDIV": "00000000000000000000000000000100", + "CPLL_FBDIV_45": "00000000000000000000000000000100", + "CPLL_INIT_CFG0": "0000000000011110", + "CPLL_LOCK_CFG": "0000000111101000", + "CPLL_REFCLK_DIV": "00000000000000000000000000000001", + "CTLE3_OCAP_EXT_CTRL": "000", + "CTLE3_OCAP_EXT_EN": "0", + "DDI_CTRL": "00", + "DDI_REALIGN_WAIT": "00000000000000000000000000001111", + "DEC_MCOMMA_DETECT": "TRUE", + "DEC_PCOMMA_DETECT": "TRUE", + "DEC_VALID_COMMA_ONLY": "TRUE", + "DELAY_ELEC": "0", + "DMONITOR_CFG0": "0000000000", + "DMONITOR_CFG1": "00000000", + "ES_CLK_PHASE_SEL": "0", + "ES_CONTROL": "000000", + "ES_ERRDET_EN": "FALSE", + "ES_EYE_SCAN_EN": "FALSE", + "ES_HORZ_OFFSET": "100000000000", + "ES_PRESCALE": "00000", + "ES_QUALIFIER0": "0000000000000000", + "ES_QUALIFIER1": "0000000000000000", + "ES_QUALIFIER2": "0000000000000000", + "ES_QUALIFIER3": "0000000000000000", + "ES_QUALIFIER4": "0000000000000000", + "ES_QUALIFIER5": "0000000000000000", + "ES_QUALIFIER6": "0000000000000000", + "ES_QUALIFIER7": "0000000000000000", + "ES_QUALIFIER8": "0000000000000000", + "ES_QUALIFIER9": "0000000000000000", + "ES_QUAL_MASK0": "0000000000000000", + "ES_QUAL_MASK1": "0000000000000000", + "ES_QUAL_MASK2": "0000000000000000", + "ES_QUAL_MASK3": "0000000000000000", + "ES_QUAL_MASK4": "0000000000000000", + "ES_QUAL_MASK5": "0000000000000000", + "ES_QUAL_MASK6": "0000000000000000", + "ES_QUAL_MASK7": "0000000000000000", + "ES_QUAL_MASK8": "0000000000000000", + "ES_QUAL_MASK9": "0000000000000000", + "ES_SDATA_MASK0": "0000000000000000", + "ES_SDATA_MASK1": "0000000000000000", + "ES_SDATA_MASK2": "0000000000000000", + "ES_SDATA_MASK3": "0000000000000000", + "ES_SDATA_MASK4": "0000000000000000", + "ES_SDATA_MASK5": "0000000000000000", + "ES_SDATA_MASK6": "0000000000000000", + "ES_SDATA_MASK7": "0000000000000000", + "ES_SDATA_MASK8": "0000000000000000", + "ES_SDATA_MASK9": "0000000000000000", + "EYESCAN_VP_RANGE": "00000000000000000000000000000000", + "EYE_SCAN_SWAP_EN": "0", + "FTS_DESKEW_SEQ_ENABLE": "1111", + "FTS_LANE_DESKEW_CFG": "1111", + "FTS_LANE_DESKEW_EN": "FALSE", + "GEARBOX_MODE": "00000", + "ISCAN_CK_PH_SEL2": "0", + "LOCAL_MASTER": "0", + "LPBK_BIAS_CTRL": "00000000000000000000000000000100", + "LPBK_EN_RCAL_B": "0", + "LPBK_EXT_RCAL": "0000", + "LPBK_IND_CTRL0": "00000000000000000000000000000101", + "LPBK_IND_CTRL1": "00000000000000000000000000000101", + "LPBK_IND_CTRL2": "00000000000000000000000000000101", + "LPBK_RG_CTRL": "00000000000000000000000000000010", + "OOBDIVCTL": "00", + "OOB_PWRUP": "0", + "PCI3_AUTO_REALIGN": "FRST_SMPL", + "PCI3_PIPE_RX_ELECIDLE": "1", + "PCI3_RX_ASYNC_EBUF_BYPASS": "00", + "PCI3_RX_ELECIDLE_EI2_ENABLE": "0", + "PCI3_RX_ELECIDLE_H2L_COUNT": "000000", + "PCI3_RX_ELECIDLE_H2L_DISABLE": "000", + "PCI3_RX_ELECIDLE_HI_COUNT": "000000", + "PCI3_RX_ELECIDLE_LP4_DISABLE": "0", + "PCI3_RX_FIFO_DISABLE": "0", + "PCIE3_CLK_COR_EMPTY_THRSH": "00000", + "PCIE3_CLK_COR_FULL_THRSH": "010000", + "PCIE3_CLK_COR_MAX_LAT": "01000", + "PCIE3_CLK_COR_MIN_LAT": "00100", + "PCIE3_CLK_COR_THRSH_TIMER": "001000", + "PCIE_64B_DYN_CLKSW_DIS": "FALSE", + "PCIE_BUFG_DIV_CTRL": "0000000000000000", + "PCIE_GEN4_64BIT_INT_EN": "FALSE", + "PCIE_PLL_SEL_MODE_GEN12": "00", + "PCIE_PLL_SEL_MODE_GEN3": "00", + "PCIE_PLL_SEL_MODE_GEN4": "00", + "PCIE_RXPCS_CFG_GEN3": "0000000000000000", + "PCIE_RXPMA_CFG": "0000000000000000", + "PCIE_TXPCS_CFG_GEN3": "0000000000000000", + "PCIE_TXPMA_CFG": "0000000000000000", + "PCS_PCIE_EN": "FALSE", + "PCS_RSVD0": "0000000000000000", + "PD_TRANS_TIME_FROM_P2": "000000111100", + "PD_TRANS_TIME_NONE_P2": "00011001", + "PD_TRANS_TIME_TO_P2": "01100100", + "PREIQ_FREQ_BST": "00000000000000000000000000000000", + "RATE_SW_USE_DRP": "0", + "RCLK_SIPO_DLY_ENB": "0", + "RCLK_SIPO_INV_EN": "0", + "RTX_BUF_CML_CTRL": "010", + "RTX_BUF_TERM_CTRL": "00", + "RXBUFRESET_TIME": "00001", + "RXBUF_ADDR_MODE": "FULL", + "RXBUF_EIDLE_HI_CNT": "1000", + "RXBUF_EIDLE_LO_CNT": "0000", + "RXBUF_EN": "TRUE", + "RXBUF_RESET_ON_CB_CHANGE": "TRUE", + "RXBUF_RESET_ON_COMMAALIGN": "FALSE", + "RXBUF_RESET_ON_EIDLE": "FALSE", + "RXBUF_RESET_ON_RATE_CHANGE": "TRUE", + "RXBUF_THRESH_OVFLW": "00000000000000000000000000000000", + "RXBUF_THRESH_OVRD": "FALSE", + "RXBUF_THRESH_UNDFLW": "00000000000000000000000000000100", + "RXCDRFREQRESET_TIME": "10000", + "RXCDRPHRESET_TIME": "00001", + "RXCDR_CFG0": "0000000000000011", + "RXCDR_CFG0_GEN3": "0000000000000011", + "RXCDR_CFG1": "0000000000000000", + "RXCDR_CFG1_GEN3": "0000000000000000", + "RXCDR_CFG2": "0000000101100100", + "RXCDR_CFG2_GEN2": "0101100100", + "RXCDR_CFG2_GEN3": "0000000000110100", + "RXCDR_CFG2_GEN4": "0000000000110100", + "RXCDR_CFG3": "0000000000100100", + "RXCDR_CFG3_GEN2": "100100", + "RXCDR_CFG3_GEN3": "0000000000100100", + "RXCDR_CFG3_GEN4": "0000000000100100", + "RXCDR_CFG4": "0101110011110110", + "RXCDR_CFG4_GEN3": "0101110011110110", + "RXCDR_CFG5": "1011010001101011", + "RXCDR_CFG5_GEN3": "0001010001101011", + "RXCDR_FR_RESET_ON_EIDLE": "0", + "RXCDR_HOLD_DURING_EIDLE": "0", + "RXCDR_LOCK_CFG0": "0000000001000000", + "RXCDR_LOCK_CFG1": "1000000000000000", + "RXCDR_LOCK_CFG2": "0000000000000000", + "RXCDR_LOCK_CFG3": "0000000000000000", + "RXCDR_LOCK_CFG4": "0000000000000000", + "RXCDR_PH_RESET_ON_EIDLE": "0", + "RXCFOK_CFG0": "0000000000000000", + "RXCFOK_CFG1": "0000000000000010", + "RXCFOK_CFG2": "0000000000101101", + "RXCKCAL1_IQ_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL1_I_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL1_Q_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL2_DX_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL2_D_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL2_S_LOOP_RST_CFG": "0000000000000000", + "RXCKCAL2_X_LOOP_RST_CFG": "0000000000000000", + "RXDFELPMRESET_TIME": "0001111", + "RXDFELPM_KL_CFG0": "0000000000000000", + "RXDFELPM_KL_CFG1": "0000000000100010", + "RXDFELPM_KL_CFG2": "0000000100000000", + "RXDFE_CFG0": "0100000000000000", + "RXDFE_CFG1": "0000000000000000", + "RXDFE_GC_CFG0": "0000000000000000", + "RXDFE_GC_CFG1": "0000000000000000", + "RXDFE_GC_CFG2": "0000000000000000", + "RXDFE_H2_CFG0": "0000000000000000", + "RXDFE_H2_CFG1": "0000000000000010", + "RXDFE_H3_CFG0": "0000000000000000", + "RXDFE_H3_CFG1": "0000000000000010", + "RXDFE_H4_CFG0": "0000000000000000", + "RXDFE_H4_CFG1": "0000000000000011", + "RXDFE_H5_CFG0": "0000000000000000", + "RXDFE_H5_CFG1": "0000000000000010", + "RXDFE_H6_CFG0": "0000000000000000", + "RXDFE_H6_CFG1": "0000000000000010", + "RXDFE_H7_CFG0": "0000000000000000", + "RXDFE_H7_CFG1": "0000000000000010", + "RXDFE_H8_CFG0": "0000000000000000", + "RXDFE_H8_CFG1": "0000000000000010", + "RXDFE_H9_CFG0": "0000000000000000", + "RXDFE_H9_CFG1": "0000000000000010", + "RXDFE_HA_CFG0": "0000000000000000", + "RXDFE_HA_CFG1": "0000000000000010", + "RXDFE_HB_CFG0": "0000000000000000", + "RXDFE_HB_CFG1": "0000000000000010", + "RXDFE_HC_CFG0": "0000000000000000", + "RXDFE_HC_CFG1": "0000000000000010", + "RXDFE_HD_CFG0": "0000000000000000", + "RXDFE_HD_CFG1": "0000000000000010", + "RXDFE_HE_CFG0": "0000000000000000", + "RXDFE_HE_CFG1": "0000000000000010", + "RXDFE_HF_CFG0": "0000000000000000", + "RXDFE_HF_CFG1": "0000000000000010", + "RXDFE_KH_CFG0": "0000000000000000", + "RXDFE_KH_CFG1": "0000000000000000", + "RXDFE_KH_CFG2": "0000000000000000", + "RXDFE_KH_CFG3": "0010000000000000", + "RXDFE_OS_CFG0": "0000000000000000", + "RXDFE_OS_CFG1": "0000000000000000", + "RXDFE_UT_CFG0": "0000000000000000", + "RXDFE_UT_CFG1": "0000000000000010", + "RXDFE_UT_CFG2": "0000000000000000", + "RXDFE_VP_CFG0": "0000000000000000", + "RXDFE_VP_CFG1": "0000000000100010", + "RXDLY_CFG": "0000000000010000", + "RXDLY_LCFG": "0000000000110000", + "RXELECIDLE_CFG": "SIGCFG_4", + "RXGBOX_FIFO_INIT_RD_ADDR": "00000000000000000000000000000100", + "RXGEARBOX_EN": "FALSE", + "RXISCANRESET_TIME": "00001", + "RXLPM_CFG": "0000000000000000", + "RXLPM_GC_CFG": "0001000000000000", + "RXLPM_KH_CFG0": "0000000000000000", + "RXLPM_KH_CFG1": "0000000000000010", + "RXLPM_OS_CFG0": "0000000000000000", + "RXLPM_OS_CFG1": "0000000000000000", + "RXOOB_CFG": "000110000", + "RXOOB_CLK_CFG": "PMA", + "RXOSCALRESET_TIME": "00011", + "RXOUT_DIV": "00000000000000000000000000000100", + "RXPCSRESET_TIME": "00001", + "RXPHBEACON_CFG": "0000000000000000", + "RXPHDLY_CFG": "0010000000100000", + "RXPHSAMP_CFG": "0010000100000000", + "RXPHSLIP_CFG": "1001100100110011", + "RXPH_MONITOR_SEL": "00000", + "RXPI_CFG0": "0000000100000010", + "RXPI_CFG1": "0000000001010100", + "RXPMACLK_SEL": "DATA", + "RXPMARESET_TIME": "00001", + "RXPRBS_ERR_LOOPBACK": "0", + "RXPRBS_LINKACQ_CNT": "00000000000000000000000000001111", + "RXREFCLKDIV2_SEL": "0", + "RXSLIDE_AUTO_WAIT": "00000000000000000000000000000111", + "RXSLIDE_MODE": "OFF", + "RXSYNC_MULTILANE": "0", + "RXSYNC_OVRD": "0", + "RXSYNC_SKIP_DA": "0", + "RX_AFE_CM_EN": "0", + "RX_BIAS_CFG0": "0001001010110000", + "RX_BUFFER_CFG": "000000", + "RX_CAPFF_SARC_ENB": "0", + "RX_CLK25_DIV": "00000000000000000000000000001000", + "RX_CLKMUX_EN": "1", + "RX_CLK_SLIP_OVRD": "00000", + "RX_CM_BUF_CFG": "1010", + "RX_CM_BUF_PD": "0", + "RX_CM_SEL": "00000000000000000000000000000010", + "RX_CM_TRIM": "00000000000000000000000000001100", + "RX_CTLE_PWR_SAVING": "0", + "RX_CTLE_RES_CTRL": "0000", + "RX_DATA_WIDTH": "00000000000000000000000000010100", + "RX_DDI_SEL": "000000", + "RX_DEFER_RESET_BUF_EN": "TRUE", + "RX_DEGEN_CTRL": "100", + "RX_DFELPM_CFG0": "00000000000000000000000000001010", + "RX_DFELPM_CFG1": "1", + "RX_DFELPM_KLKH_AGC_STUP_EN": "1", + "RX_DFE_AGC_CFG1": "00000000000000000000000000000100", + "RX_DFE_KL_LPM_KH_CFG0": "00000000000000000000000000000001", + "RX_DFE_KL_LPM_KH_CFG1": "00000000000000000000000000000010", + "RX_DFE_KL_LPM_KL_CFG0": "01", + "RX_DFE_KL_LPM_KL_CFG1": "00000000000000000000000000000100", + "RX_DFE_LPM_HOLD_DURING_EIDLE": "0", + "RX_DISPERR_SEQ_MATCH": "TRUE", + "RX_DIVRESET_TIME": "00001", + "RX_EN_CTLE_RCAL_B": "0", + "RX_EN_SUM_RCAL_B": "00000000000000000000000000000000", + "RX_EYESCAN_VS_CODE": "0000000", + "RX_EYESCAN_VS_NEG_DIR": "0", + "RX_EYESCAN_VS_RANGE": "10", + "RX_EYESCAN_VS_UT_SIGN": "0", + "RX_FABINT_USRCLK_FLOP": "0", + "RX_I2V_FILTER_EN": "1", + "RX_INT_DATAWIDTH": "00000000000000000000000000000001", + "RX_PMA_POWER_SAVE": "0", + "RX_PMA_RSV0": "0000000000101111", + "RX_PROGDIV_RATE": "0000000000000001", + "RX_RESLOAD_CTRL": "0000", + "RX_RESLOAD_OVRD": "0", + "RX_SAMPLE_PERIOD": "101", + "RX_SIG_VALID_DLY": "00000000000000000000000000001011", + "RX_SUM_DEGEN_AVTT_OVERITE": "00000000000000000000000000000000", + "RX_SUM_DFETAPREP_EN": "0", + "RX_SUM_IREF_TUNE": "0000", + "RX_SUM_PWR_SAVING": "00000000000000000000000000000000", + "RX_SUM_RES_CTRL": "0000", + "RX_SUM_VCMTUNE": "0011", + "RX_SUM_VCM_BIAS_TUNE_EN": "1", + "RX_SUM_VCM_OVWR": "0", + "RX_SUM_VREF_TUNE": "100", + "RX_TUNE_AFE_OS": "00", + "RX_VREG_CTRL": "010", + "RX_VREG_PDB": "1", + "RX_WIDEMODE_CDR": "01", + "RX_WIDEMODE_CDR_GEN3": "01", + "RX_WIDEMODE_CDR_GEN4": "01", + "RX_XCLK_SEL": "RXDES", + "RX_XMODE_SEL": "0", + "SAMPLE_CLK_PHASE": "0", + "SAS_12G_MODE": "0", + "SATA_BURST_SEQ_LEN": "1111", + "SATA_BURST_VAL": "100", + "SATA_CPLL_CFG": "VCO_3000MHZ", + "SATA_EIDLE_VAL": "100", + "SHOW_REALIGN_COMMA": "TRUE", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "SIM_MODE": "FAST", + "SIM_RECEIVER_DETECT_PASS": "TRUE", + "SIM_RESET_SPEEDUP": "TRUE", + "SIM_TX_EIDLE_DRIVE_LEVEL": "Z", + "SRSTMODE": "0", + "TAPDLY_SET_TX": "00", + "TERM_RCAL_CFG": "100001000010000", + "TERM_RCAL_OVRD": "000", + "TRANS_TIME_RATE": "00001110", + "TST_RSV0": "00000000", + "TST_RSV1": "00000000", + "TXBUF_EN": "TRUE", + "TXBUF_RESET_ON_RATE_CHANGE": "FALSE", + "TXDLY_CFG": "0000000000010000", + "TXDLY_LCFG": "0000000000110000", + "TXDRV_FREQBAND": "00000000000000000000000000000000", + "TXFE_CFG0": "0000000000000000", + "TXFE_CFG1": "0000000000000000", + "TXFE_CFG2": "0000000000000000", + "TXFE_CFG3": "0000000000000000", + "TXFIFO_ADDR_CFG": "LOW", + "TXGBOX_FIFO_INIT_RD_ADDR": "00000000000000000000000000000100", + "TXGEARBOX_EN": "FALSE", + "TXOUT_DIV": "00000000000000000000000000000100", + "TXPCSRESET_TIME": "00001", + "TXPHDLY_CFG0": "0110000000100000", + "TXPHDLY_CFG1": "0000000000000010", + "TXPH_CFG": "0000000100100011", + "TXPH_CFG2": "0000000000000000", + "TXPH_MONITOR_SEL": "00000", + "TXPI_CFG0": "0000000100000000", + "TXPI_CFG1": "0000000000000000", + "TXPI_GRAY_SEL": "0", + "TXPI_INVSTROBE_SEL": "0", + "TXPI_PPM": "0", + "TXPI_PPM_CFG": "00000000", + "TXPI_SYNFREQ_PPM": "000", + "TXPMARESET_TIME": "00001", + "TXREFCLKDIV2_SEL": "0", + "TXSWBST_BST": "00000000000000000000000000000001", + "TXSWBST_EN": "00000000000000000000000000000000", + "TXSWBST_MAG": "00000000000000000000000000000110", + "TXSYNC_MULTILANE": "0", + "TXSYNC_OVRD": "0", + "TXSYNC_SKIP_DA": "0", + "TX_CLK25_DIV": "00000000000000000000000000001000", + "TX_CLKMUX_EN": "1", + "TX_DATA_WIDTH": "00000000000000000000000000010100", + "TX_DCC_LOOP_RST_CFG": "0000000000000000", + "TX_DEEMPH0": "000000", + "TX_DEEMPH1": "000000", + "TX_DEEMPH2": "000000", + "TX_DEEMPH3": "000000", + "TX_DIVRESET_TIME": "00001", + "TX_DRIVE_MODE": "DIRECT", + "TX_EIDLE_ASSERT_DELAY": "110", + "TX_EIDLE_DEASSERT_DELAY": "100", + "TX_FABINT_USRCLK_FLOP": "0", + "TX_FIFO_BYP_EN": "0", + "TX_IDLE_DATA_ZERO": "0", + "TX_INT_DATAWIDTH": "00000000000000000000000000000001", + "TX_LOOPBACK_DRIVE_HIZ": "FALSE", + "TX_MAINCURSOR_SEL": "0", + "TX_MARGIN_FULL_0": "1001110", + "TX_MARGIN_FULL_1": "1001001", + "TX_MARGIN_FULL_2": "1000101", + "TX_MARGIN_FULL_3": "1000010", + "TX_MARGIN_FULL_4": "1000000", + "TX_MARGIN_LOW_0": "1000110", + "TX_MARGIN_LOW_1": "1000100", + "TX_MARGIN_LOW_2": "1000010", + "TX_MARGIN_LOW_3": "1000000", + "TX_MARGIN_LOW_4": "1000000", + "TX_PHICAL_CFG0": "0000000000000000", + "TX_PHICAL_CFG1": "0000000000111111", + "TX_PI_BIASSET": "00000000000000000000000000000000", + "TX_PMADATA_OPT": "0", + "TX_PMA_POWER_SAVE": "0", + "TX_PMA_RSV0": "0000000000000000", + "TX_PMA_RSV1": "0000000000000000", + "TX_PROGCLK_SEL": "POSTPI", + "TX_PROGDIV_RATE": "0000000000000001", + "TX_RXDETECT_CFG": "00000000110010", + "TX_RXDETECT_REF": "00000000000000000000000000000011", + "TX_SAMPLE_PERIOD": "101", + "TX_SW_MEAS": "00", + "TX_VREG_CTRL": "000", + "TX_VREG_PDB": "0", + "TX_VREG_VREFSEL": "00", + "TX_XCLK_SEL": "TXOUT", + "USB_BOTH_BURST_IDLE": "0", + "USB_BURSTMAX_U3WAKE": "1111111", + "USB_BURSTMIN_U3WAKE": "1100011", + "USB_CLK_COR_EQ_EN": "0", + "USB_EXT_CNTL": "1", + "USB_IDLEMAX_POLLING": "1010111011", + "USB_IDLEMIN_POLLING": "0100101011", + "USB_LFPSPING_BURST": "000000101", + "USB_LFPSPOLLING_BURST": "000110001", + "USB_LFPSPOLLING_IDLE_MS": "000000100", + "USB_LFPSU1EXIT_BURST": "000011101", + "USB_LFPSU2LPEXIT_BURST_MS": "001100011", + "USB_LFPSU3WAKE_BURST_MS": "111110011", + "USB_LFPS_TPERIOD": "0011", + "USB_LFPS_TPERIOD_ACCURATE": "1", + "USB_MODE": "0", + "USB_PCIE_ERR_REP_DIS": "0", + "USB_PING_SATA_MAX_INIT": "00000000000000000000000000010101", + "USB_PING_SATA_MIN_INIT": "00000000000000000000000000001100", + "USB_POLL_SATA_MAX_BURST": "00000000000000000000000000001000", + "USB_POLL_SATA_MIN_BURST": "00000000000000000000000000000100", + "USB_RAW_ELEC": "0", + "USB_RXIDLE_P0_CTRL": "1", + "USB_TXIDLE_TUNE_ENABLE": "1", + "USB_U1_SATA_MAX_WAKE": "00000000000000000000000000000111", + "USB_U1_SATA_MIN_WAKE": "00000000000000000000000000000100", + "USB_U2_SAS_MAX_COM": "00000000000000000000000001000000", + "USB_U2_SAS_MIN_COM": "00000000000000000000000000100100", + "USE_PCS_CLK_PHASE_SEL": "0", + "Y_ALL_MODE": "0" + }, + "ports": { + "BUFGTCE": { + "direction": "output", + "bits": [ 2 ] + }, + "BUFGTCEMASK": { + "direction": "output", + "bits": [ 3, 4, 5 ] + }, + "BUFGTDIV": { + "direction": "output", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "BUFGTRESET": { + "direction": "output", + "bits": [ 15 ] + }, + "BUFGTRSTMASK": { + "direction": "output", + "bits": [ 16, 17, 18 ] + }, + "CPLLFBCLKLOST": { + "direction": "output", + "bits": [ 19 ] + }, + "CPLLLOCK": { + "direction": "output", + "bits": [ 20 ] + }, + "CPLLREFCLKLOST": { + "direction": "output", + "bits": [ 21 ] + }, + "DMONITOROUT": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DMONITOROUTCLK": { + "direction": "output", + "bits": [ 38 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 55 ] + }, + "EYESCANDATAERROR": { + "direction": "output", + "bits": [ 56 ] + }, + "GTPOWERGOOD": { + "direction": "output", + "bits": [ 57 ] + }, + "GTREFCLKMONITOR": { + "direction": "output", + "bits": [ 58 ] + }, + "GTYTXN": { + "direction": "output", + "bits": [ 59 ] + }, + "GTYTXP": { + "direction": "output", + "bits": [ 60 ] + }, + "PCIERATEGEN3": { + "direction": "output", + "bits": [ 61 ] + }, + "PCIERATEIDLE": { + "direction": "output", + "bits": [ 62 ] + }, + "PCIERATEQPLLPD": { + "direction": "output", + "bits": [ 63, 64 ] + }, + "PCIERATEQPLLRESET": { + "direction": "output", + "bits": [ 65, 66 ] + }, + "PCIESYNCTXSYNCDONE": { + "direction": "output", + "bits": [ 67 ] + }, + "PCIEUSERGEN3RDY": { + "direction": "output", + "bits": [ 68 ] + }, + "PCIEUSERPHYSTATUSRST": { + "direction": "output", + "bits": [ 69 ] + }, + "PCIEUSERRATESTART": { + "direction": "output", + "bits": [ 70 ] + }, + "PCSRSVDOUT": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ] + }, + "PHYSTATUS": { + "direction": "output", + "bits": [ 87 ] + }, + "PINRSRVDAS": { + "direction": "output", + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "POWERPRESENT": { + "direction": "output", + "bits": [ 104 ] + }, + "RESETEXCEPTION": { + "direction": "output", + "bits": [ 105 ] + }, + "RXBUFSTATUS": { + "direction": "output", + "bits": [ 106, 107, 108 ] + }, + "RXBYTEISALIGNED": { + "direction": "output", + "bits": [ 109 ] + }, + "RXBYTEREALIGN": { + "direction": "output", + "bits": [ 110 ] + }, + "RXCDRLOCK": { + "direction": "output", + "bits": [ 111 ] + }, + "RXCDRPHDONE": { + "direction": "output", + "bits": [ 112 ] + }, + "RXCHANBONDSEQ": { + "direction": "output", + "bits": [ 113 ] + }, + "RXCHANISALIGNED": { + "direction": "output", + "bits": [ 114 ] + }, + "RXCHANREALIGN": { + "direction": "output", + "bits": [ 115 ] + }, + "RXCHBONDO": { + "direction": "output", + "bits": [ 116, 117, 118, 119, 120 ] + }, + "RXCKCALDONE": { + "direction": "output", + "bits": [ 121 ] + }, + "RXCLKCORCNT": { + "direction": "output", + "bits": [ 122, 123 ] + }, + "RXCOMINITDET": { + "direction": "output", + "bits": [ 124 ] + }, + "RXCOMMADET": { + "direction": "output", + "bits": [ 125 ] + }, + "RXCOMSASDET": { + "direction": "output", + "bits": [ 126 ] + }, + "RXCOMWAKEDET": { + "direction": "output", + "bits": [ 127 ] + }, + "RXCTRL0": { + "direction": "output", + "bits": [ 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ] + }, + "RXCTRL1": { + "direction": "output", + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159 ] + }, + "RXCTRL2": { + "direction": "output", + "bits": [ 160, 161, 162, 163, 164, 165, 166, 167 ] + }, + "RXCTRL3": { + "direction": "output", + "bits": [ 168, 169, 170, 171, 172, 173, 174, 175 ] + }, + "RXDATA": { + "direction": "output", + "bits": [ 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "RXDATAEXTENDRSVD": { + "direction": "output", + "bits": [ 304, 305, 306, 307, 308, 309, 310, 311 ] + }, + "RXDATAVALID": { + "direction": "output", + "bits": [ 312, 313 ] + }, + "RXDLYSRESETDONE": { + "direction": "output", + "bits": [ 314 ] + }, + "RXELECIDLE": { + "direction": "output", + "bits": [ 315 ] + }, + "RXHEADER": { + "direction": "output", + "bits": [ 316, 317, 318, 319, 320, 321 ] + }, + "RXHEADERVALID": { + "direction": "output", + "bits": [ 322, 323 ] + }, + "RXLFPSTRESETDET": { + "direction": "output", + "bits": [ 324 ] + }, + "RXLFPSU2LPEXITDET": { + "direction": "output", + "bits": [ 325 ] + }, + "RXLFPSU3WAKEDET": { + "direction": "output", + "bits": [ 326 ] + }, + "RXMONITOROUT": { + "direction": "output", + "bits": [ 327, 328, 329, 330, 331, 332, 333, 334 ] + }, + "RXOSINTDONE": { + "direction": "output", + "bits": [ 335 ] + }, + "RXOSINTSTARTED": { + "direction": "output", + "bits": [ 336 ] + }, + "RXOSINTSTROBEDONE": { + "direction": "output", + "bits": [ 337 ] + }, + "RXOSINTSTROBESTARTED": { + "direction": "output", + "bits": [ 338 ] + }, + "RXOUTCLK": { + "direction": "output", + "bits": [ 339 ] + }, + "RXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 340 ] + }, + "RXOUTCLKPCS": { + "direction": "output", + "bits": [ 341 ] + }, + "RXPHALIGNDONE": { + "direction": "output", + "bits": [ 342 ] + }, + "RXPHALIGNERR": { + "direction": "output", + "bits": [ 343 ] + }, + "RXPMARESETDONE": { + "direction": "output", + "bits": [ 344 ] + }, + "RXPRBSERR": { + "direction": "output", + "bits": [ 345 ] + }, + "RXPRBSLOCKED": { + "direction": "output", + "bits": [ 346 ] + }, + "RXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 347 ] + }, + "RXRATEDONE": { + "direction": "output", + "bits": [ 348 ] + }, + "RXRECCLKOUT": { + "direction": "output", + "bits": [ 349 ] + }, + "RXRESETDONE": { + "direction": "output", + "bits": [ 350 ] + }, + "RXSLIDERDY": { + "direction": "output", + "bits": [ 351 ] + }, + "RXSLIPDONE": { + "direction": "output", + "bits": [ 352 ] + }, + "RXSLIPOUTCLKRDY": { + "direction": "output", + "bits": [ 353 ] + }, + "RXSLIPPMARDY": { + "direction": "output", + "bits": [ 354 ] + }, + "RXSTARTOFSEQ": { + "direction": "output", + "bits": [ 355, 356 ] + }, + "RXSTATUS": { + "direction": "output", + "bits": [ 357, 358, 359 ] + }, + "RXSYNCDONE": { + "direction": "output", + "bits": [ 360 ] + }, + "RXSYNCOUT": { + "direction": "output", + "bits": [ 361 ] + }, + "RXVALID": { + "direction": "output", + "bits": [ 362 ] + }, + "TXBUFSTATUS": { + "direction": "output", + "bits": [ 363, 364 ] + }, + "TXCOMFINISH": { + "direction": "output", + "bits": [ 365 ] + }, + "TXDCCDONE": { + "direction": "output", + "bits": [ 366 ] + }, + "TXDLYSRESETDONE": { + "direction": "output", + "bits": [ 367 ] + }, + "TXOUTCLK": { + "direction": "output", + "bits": [ 368 ] + }, + "TXOUTCLKFABRIC": { + "direction": "output", + "bits": [ 369 ] + }, + "TXOUTCLKPCS": { + "direction": "output", + "bits": [ 370 ] + }, + "TXPHALIGNDONE": { + "direction": "output", + "bits": [ 371 ] + }, + "TXPHINITDONE": { + "direction": "output", + "bits": [ 372 ] + }, + "TXPMARESETDONE": { + "direction": "output", + "bits": [ 373 ] + }, + "TXPRGDIVRESETDONE": { + "direction": "output", + "bits": [ 374 ] + }, + "TXRATEDONE": { + "direction": "output", + "bits": [ 375 ] + }, + "TXRESETDONE": { + "direction": "output", + "bits": [ 376 ] + }, + "TXSYNCDONE": { + "direction": "output", + "bits": [ 377 ] + }, + "TXSYNCOUT": { + "direction": "output", + "bits": [ 378 ] + }, + "CDRSTEPDIR": { + "direction": "input", + "bits": [ 379 ] + }, + "CDRSTEPSQ": { + "direction": "input", + "bits": [ 380 ] + }, + "CDRSTEPSX": { + "direction": "input", + "bits": [ 381 ] + }, + "CFGRESET": { + "direction": "input", + "bits": [ 382 ] + }, + "CLKRSVD0": { + "direction": "input", + "bits": [ 383 ] + }, + "CLKRSVD1": { + "direction": "input", + "bits": [ 384 ] + }, + "CPLLFREQLOCK": { + "direction": "input", + "bits": [ 385 ] + }, + "CPLLLOCKDETCLK": { + "direction": "input", + "bits": [ 386 ] + }, + "CPLLLOCKEN": { + "direction": "input", + "bits": [ 387 ] + }, + "CPLLPD": { + "direction": "input", + "bits": [ 388 ] + }, + "CPLLREFCLKSEL": { + "direction": "input", + "bits": [ 389, 390, 391 ] + }, + "CPLLRESET": { + "direction": "input", + "bits": [ 392 ] + }, + "DMONFIFORESET": { + "direction": "input", + "bits": [ 393 ] + }, + "DMONITORCLK": { + "direction": "input", + "bits": [ 394 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 395, 396, 397, 398, 399, 400, 401, 402, 403, 404 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 405 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 422 ] + }, + "DRPRST": { + "direction": "input", + "bits": [ 423 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 424 ] + }, + "EYESCANRESET": { + "direction": "input", + "bits": [ 425 ] + }, + "EYESCANTRIGGER": { + "direction": "input", + "bits": [ 426 ] + }, + "FREQOS": { + "direction": "input", + "bits": [ 427 ] + }, + "GTGREFCLK": { + "direction": "input", + "bits": [ 428 ] + }, + "GTNORTHREFCLK0": { + "direction": "input", + "bits": [ 429 ] + }, + "GTNORTHREFCLK1": { + "direction": "input", + "bits": [ 430 ] + }, + "GTREFCLK0": { + "direction": "input", + "bits": [ 431 ] + }, + "GTREFCLK1": { + "direction": "input", + "bits": [ 432 ] + }, + "GTRSVD": { + "direction": "input", + "bits": [ 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448 ] + }, + "GTRXRESET": { + "direction": "input", + "bits": [ 449 ] + }, + "GTRXRESETSEL": { + "direction": "input", + "bits": [ 450 ] + }, + "GTSOUTHREFCLK0": { + "direction": "input", + "bits": [ 451 ] + }, + "GTSOUTHREFCLK1": { + "direction": "input", + "bits": [ 452 ] + }, + "GTTXRESET": { + "direction": "input", + "bits": [ 453 ] + }, + "GTTXRESETSEL": { + "direction": "input", + "bits": [ 454 ] + }, + "GTYRXN": { + "direction": "input", + "bits": [ 455 ] + }, + "GTYRXP": { + "direction": "input", + "bits": [ 456 ] + }, + "INCPCTRL": { + "direction": "input", + "bits": [ 457 ] + }, + "LOOPBACK": { + "direction": "input", + "bits": [ 458, 459, 460 ] + }, + "PCIEEQRXEQADAPTDONE": { + "direction": "input", + "bits": [ 461 ] + }, + "PCIERSTIDLE": { + "direction": "input", + "bits": [ 462 ] + }, + "PCIERSTTXSYNCSTART": { + "direction": "input", + "bits": [ 463 ] + }, + "PCIEUSERRATEDONE": { + "direction": "input", + "bits": [ 464 ] + }, + "PCSRSVDIN": { + "direction": "input", + "bits": [ 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480 ] + }, + "QPLL0CLK": { + "direction": "input", + "bits": [ 481 ] + }, + "QPLL0FREQLOCK": { + "direction": "input", + "bits": [ 482 ] + }, + "QPLL0REFCLK": { + "direction": "input", + "bits": [ 483 ] + }, + "QPLL1CLK": { + "direction": "input", + "bits": [ 484 ] + }, + "QPLL1FREQLOCK": { + "direction": "input", + "bits": [ 485 ] + }, + "QPLL1REFCLK": { + "direction": "input", + "bits": [ 486 ] + }, + "RESETOVRD": { + "direction": "input", + "bits": [ 487 ] + }, + "RX8B10BEN": { + "direction": "input", + "bits": [ 488 ] + }, + "RXAFECFOKEN": { + "direction": "input", + "bits": [ 489 ] + }, + "RXBUFRESET": { + "direction": "input", + "bits": [ 490 ] + }, + "RXCDRFREQRESET": { + "direction": "input", + "bits": [ 491 ] + }, + "RXCDRHOLD": { + "direction": "input", + "bits": [ 492 ] + }, + "RXCDROVRDEN": { + "direction": "input", + "bits": [ 493 ] + }, + "RXCDRRESET": { + "direction": "input", + "bits": [ 494 ] + }, + "RXCHBONDEN": { + "direction": "input", + "bits": [ 495 ] + }, + "RXCHBONDI": { + "direction": "input", + "bits": [ 496, 497, 498, 499, 500 ] + }, + "RXCHBONDLEVEL": { + "direction": "input", + "bits": [ 501, 502, 503 ] + }, + "RXCHBONDMASTER": { + "direction": "input", + "bits": [ 504 ] + }, + "RXCHBONDSLAVE": { + "direction": "input", + "bits": [ 505 ] + }, + "RXCKCALRESET": { + "direction": "input", + "bits": [ 506 ] + }, + "RXCKCALSTART": { + "direction": "input", + "bits": [ 507, 508, 509, 510, 511, 512, 513 ] + }, + "RXCOMMADETEN": { + "direction": "input", + "bits": [ 514 ] + }, + "RXDFEAGCHOLD": { + "direction": "input", + "bits": [ 515 ] + }, + "RXDFEAGCOVRDEN": { + "direction": "input", + "bits": [ 516 ] + }, + "RXDFECFOKFCNUM": { + "direction": "input", + "bits": [ 517, 518, 519, 520 ] + }, + "RXDFECFOKFEN": { + "direction": "input", + "bits": [ 521 ] + }, + "RXDFECFOKFPULSE": { + "direction": "input", + "bits": [ 522 ] + }, + "RXDFECFOKHOLD": { + "direction": "input", + "bits": [ 523 ] + }, + "RXDFECFOKOVREN": { + "direction": "input", + "bits": [ 524 ] + }, + "RXDFEKHHOLD": { + "direction": "input", + "bits": [ 525 ] + }, + "RXDFEKHOVRDEN": { + "direction": "input", + "bits": [ 526 ] + }, + "RXDFELFHOLD": { + "direction": "input", + "bits": [ 527 ] + }, + "RXDFELFOVRDEN": { + "direction": "input", + "bits": [ 528 ] + }, + "RXDFELPMRESET": { + "direction": "input", + "bits": [ 529 ] + }, + "RXDFETAP10HOLD": { + "direction": "input", + "bits": [ 530 ] + }, + "RXDFETAP10OVRDEN": { + "direction": "input", + "bits": [ 531 ] + }, + "RXDFETAP11HOLD": { + "direction": "input", + "bits": [ 532 ] + }, + "RXDFETAP11OVRDEN": { + "direction": "input", + "bits": [ 533 ] + }, + "RXDFETAP12HOLD": { + "direction": "input", + "bits": [ 534 ] + }, + "RXDFETAP12OVRDEN": { + "direction": "input", + "bits": [ 535 ] + }, + "RXDFETAP13HOLD": { + "direction": "input", + "bits": [ 536 ] + }, + "RXDFETAP13OVRDEN": { + "direction": "input", + "bits": [ 537 ] + }, + "RXDFETAP14HOLD": { + "direction": "input", + "bits": [ 538 ] + }, + "RXDFETAP14OVRDEN": { + "direction": "input", + "bits": [ 539 ] + }, + "RXDFETAP15HOLD": { + "direction": "input", + "bits": [ 540 ] + }, + "RXDFETAP15OVRDEN": { + "direction": "input", + "bits": [ 541 ] + }, + "RXDFETAP2HOLD": { + "direction": "input", + "bits": [ 542 ] + }, + "RXDFETAP2OVRDEN": { + "direction": "input", + "bits": [ 543 ] + }, + "RXDFETAP3HOLD": { + "direction": "input", + "bits": [ 544 ] + }, + "RXDFETAP3OVRDEN": { + "direction": "input", + "bits": [ 545 ] + }, + "RXDFETAP4HOLD": { + "direction": "input", + "bits": [ 546 ] + }, + "RXDFETAP4OVRDEN": { + "direction": "input", + "bits": [ 547 ] + }, + "RXDFETAP5HOLD": { + "direction": "input", + "bits": [ 548 ] + }, + "RXDFETAP5OVRDEN": { + "direction": "input", + "bits": [ 549 ] + }, + "RXDFETAP6HOLD": { + "direction": "input", + "bits": [ 550 ] + }, + "RXDFETAP6OVRDEN": { + "direction": "input", + "bits": [ 551 ] + }, + "RXDFETAP7HOLD": { + "direction": "input", + "bits": [ 552 ] + }, + "RXDFETAP7OVRDEN": { + "direction": "input", + "bits": [ 553 ] + }, + "RXDFETAP8HOLD": { + "direction": "input", + "bits": [ 554 ] + }, + "RXDFETAP8OVRDEN": { + "direction": "input", + "bits": [ 555 ] + }, + "RXDFETAP9HOLD": { + "direction": "input", + "bits": [ 556 ] + }, + "RXDFETAP9OVRDEN": { + "direction": "input", + "bits": [ 557 ] + }, + "RXDFEUTHOLD": { + "direction": "input", + "bits": [ 558 ] + }, + "RXDFEUTOVRDEN": { + "direction": "input", + "bits": [ 559 ] + }, + "RXDFEVPHOLD": { + "direction": "input", + "bits": [ 560 ] + }, + "RXDFEVPOVRDEN": { + "direction": "input", + "bits": [ 561 ] + }, + "RXDFEXYDEN": { + "direction": "input", + "bits": [ 562 ] + }, + "RXDLYBYPASS": { + "direction": "input", + "bits": [ 563 ] + }, + "RXDLYEN": { + "direction": "input", + "bits": [ 564 ] + }, + "RXDLYOVRDEN": { + "direction": "input", + "bits": [ 565 ] + }, + "RXDLYSRESET": { + "direction": "input", + "bits": [ 566 ] + }, + "RXELECIDLEMODE": { + "direction": "input", + "bits": [ 567, 568 ] + }, + "RXEQTRAINING": { + "direction": "input", + "bits": [ 569 ] + }, + "RXGEARBOXSLIP": { + "direction": "input", + "bits": [ 570 ] + }, + "RXLATCLK": { + "direction": "input", + "bits": [ 571 ] + }, + "RXLPMEN": { + "direction": "input", + "bits": [ 572 ] + }, + "RXLPMGCHOLD": { + "direction": "input", + "bits": [ 573 ] + }, + "RXLPMGCOVRDEN": { + "direction": "input", + "bits": [ 574 ] + }, + "RXLPMHFHOLD": { + "direction": "input", + "bits": [ 575 ] + }, + "RXLPMHFOVRDEN": { + "direction": "input", + "bits": [ 576 ] + }, + "RXLPMLFHOLD": { + "direction": "input", + "bits": [ 577 ] + }, + "RXLPMLFKLOVRDEN": { + "direction": "input", + "bits": [ 578 ] + }, + "RXLPMOSHOLD": { + "direction": "input", + "bits": [ 579 ] + }, + "RXLPMOSOVRDEN": { + "direction": "input", + "bits": [ 580 ] + }, + "RXMCOMMAALIGNEN": { + "direction": "input", + "bits": [ 581 ] + }, + "RXMONITORSEL": { + "direction": "input", + "bits": [ 582, 583 ] + }, + "RXOOBRESET": { + "direction": "input", + "bits": [ 584 ] + }, + "RXOSCALRESET": { + "direction": "input", + "bits": [ 585 ] + }, + "RXOSHOLD": { + "direction": "input", + "bits": [ 586 ] + }, + "RXOSOVRDEN": { + "direction": "input", + "bits": [ 587 ] + }, + "RXOUTCLKSEL": { + "direction": "input", + "bits": [ 588, 589, 590 ] + }, + "RXPCOMMAALIGNEN": { + "direction": "input", + "bits": [ 591 ] + }, + "RXPCSRESET": { + "direction": "input", + "bits": [ 592 ] + }, + "RXPD": { + "direction": "input", + "bits": [ 593, 594 ] + }, + "RXPHALIGN": { + "direction": "input", + "bits": [ 595 ] + }, + "RXPHALIGNEN": { + "direction": "input", + "bits": [ 596 ] + }, + "RXPHDLYPD": { + "direction": "input", + "bits": [ 597 ] + }, + "RXPHDLYRESET": { + "direction": "input", + "bits": [ 598 ] + }, + "RXPLLCLKSEL": { + "direction": "input", + "bits": [ 599, 600 ] + }, + "RXPMARESET": { + "direction": "input", + "bits": [ 601 ] + }, + "RXPOLARITY": { + "direction": "input", + "bits": [ 602 ] + }, + "RXPRBSCNTRESET": { + "direction": "input", + "bits": [ 603 ] + }, + "RXPRBSSEL": { + "direction": "input", + "bits": [ 604, 605, 606, 607 ] + }, + "RXPROGDIVRESET": { + "direction": "input", + "bits": [ 608 ] + }, + "RXRATE": { + "direction": "input", + "bits": [ 609, 610, 611 ] + }, + "RXRATEMODE": { + "direction": "input", + "bits": [ 612 ] + }, + "RXSLIDE": { + "direction": "input", + "bits": [ 613 ] + }, + "RXSLIPOUTCLK": { + "direction": "input", + "bits": [ 614 ] + }, + "RXSLIPPMA": { + "direction": "input", + "bits": [ 615 ] + }, + "RXSYNCALLIN": { + "direction": "input", + "bits": [ 616 ] + }, + "RXSYNCIN": { + "direction": "input", + "bits": [ 617 ] + }, + "RXSYNCMODE": { + "direction": "input", + "bits": [ 618 ] + }, + "RXSYSCLKSEL": { + "direction": "input", + "bits": [ 619, 620 ] + }, + "RXTERMINATION": { + "direction": "input", + "bits": [ 621 ] + }, + "RXUSERRDY": { + "direction": "input", + "bits": [ 622 ] + }, + "RXUSRCLK": { + "direction": "input", + "bits": [ 623 ] + }, + "RXUSRCLK2": { + "direction": "input", + "bits": [ 624 ] + }, + "SIGVALIDCLK": { + "direction": "input", + "bits": [ 625 ] + }, + "TSTIN": { + "direction": "input", + "bits": [ 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645 ] + }, + "TX8B10BBYPASS": { + "direction": "input", + "bits": [ 646, 647, 648, 649, 650, 651, 652, 653 ] + }, + "TX8B10BEN": { + "direction": "input", + "bits": [ 654 ] + }, + "TXCOMINIT": { + "direction": "input", + "bits": [ 655 ] + }, + "TXCOMSAS": { + "direction": "input", + "bits": [ 656 ] + }, + "TXCOMWAKE": { + "direction": "input", + "bits": [ 657 ] + }, + "TXCTRL0": { + "direction": "input", + "bits": [ 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673 ] + }, + "TXCTRL1": { + "direction": "input", + "bits": [ 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689 ] + }, + "TXCTRL2": { + "direction": "input", + "bits": [ 690, 691, 692, 693, 694, 695, 696, 697 ] + }, + "TXDATA": { + "direction": "input", + "bits": [ 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825 ] + }, + "TXDATAEXTENDRSVD": { + "direction": "input", + "bits": [ 826, 827, 828, 829, 830, 831, 832, 833 ] + }, + "TXDCCFORCESTART": { + "direction": "input", + "bits": [ 834 ] + }, + "TXDCCRESET": { + "direction": "input", + "bits": [ 835 ] + }, + "TXDEEMPH": { + "direction": "input", + "bits": [ 836, 837 ] + }, + "TXDETECTRX": { + "direction": "input", + "bits": [ 838 ] + }, + "TXDIFFCTRL": { + "direction": "input", + "bits": [ 839, 840, 841, 842, 843 ] + }, + "TXDLYBYPASS": { + "direction": "input", + "bits": [ 844 ] + }, + "TXDLYEN": { + "direction": "input", + "bits": [ 845 ] + }, + "TXDLYHOLD": { + "direction": "input", + "bits": [ 846 ] + }, + "TXDLYOVRDEN": { + "direction": "input", + "bits": [ 847 ] + }, + "TXDLYSRESET": { + "direction": "input", + "bits": [ 848 ] + }, + "TXDLYUPDOWN": { + "direction": "input", + "bits": [ 849 ] + }, + "TXELECIDLE": { + "direction": "input", + "bits": [ 850 ] + }, + "TXHEADER": { + "direction": "input", + "bits": [ 851, 852, 853, 854, 855, 856 ] + }, + "TXINHIBIT": { + "direction": "input", + "bits": [ 857 ] + }, + "TXLATCLK": { + "direction": "input", + "bits": [ 858 ] + }, + "TXLFPSTRESET": { + "direction": "input", + "bits": [ 859 ] + }, + "TXLFPSU2LPEXIT": { + "direction": "input", + "bits": [ 860 ] + }, + "TXLFPSU3WAKE": { + "direction": "input", + "bits": [ 861 ] + }, + "TXMAINCURSOR": { + "direction": "input", + "bits": [ 862, 863, 864, 865, 866, 867, 868 ] + }, + "TXMARGIN": { + "direction": "input", + "bits": [ 869, 870, 871 ] + }, + "TXMUXDCDEXHOLD": { + "direction": "input", + "bits": [ 872 ] + }, + "TXMUXDCDORWREN": { + "direction": "input", + "bits": [ 873 ] + }, + "TXONESZEROS": { + "direction": "input", + "bits": [ 874 ] + }, + "TXOUTCLKSEL": { + "direction": "input", + "bits": [ 875, 876, 877 ] + }, + "TXPCSRESET": { + "direction": "input", + "bits": [ 878 ] + }, + "TXPD": { + "direction": "input", + "bits": [ 879, 880 ] + }, + "TXPDELECIDLEMODE": { + "direction": "input", + "bits": [ 881 ] + }, + "TXPHALIGN": { + "direction": "input", + "bits": [ 882 ] + }, + "TXPHALIGNEN": { + "direction": "input", + "bits": [ 883 ] + }, + "TXPHDLYPD": { + "direction": "input", + "bits": [ 884 ] + }, + "TXPHDLYRESET": { + "direction": "input", + "bits": [ 885 ] + }, + "TXPHDLYTSTCLK": { + "direction": "input", + "bits": [ 886 ] + }, + "TXPHINIT": { + "direction": "input", + "bits": [ 887 ] + }, + "TXPHOVRDEN": { + "direction": "input", + "bits": [ 888 ] + }, + "TXPIPPMEN": { + "direction": "input", + "bits": [ 889 ] + }, + "TXPIPPMOVRDEN": { + "direction": "input", + "bits": [ 890 ] + }, + "TXPIPPMPD": { + "direction": "input", + "bits": [ 891 ] + }, + "TXPIPPMSEL": { + "direction": "input", + "bits": [ 892 ] + }, + "TXPIPPMSTEPSIZE": { + "direction": "input", + "bits": [ 893, 894, 895, 896, 897 ] + }, + "TXPISOPD": { + "direction": "input", + "bits": [ 898 ] + }, + "TXPLLCLKSEL": { + "direction": "input", + "bits": [ 899, 900 ] + }, + "TXPMARESET": { + "direction": "input", + "bits": [ 901 ] + }, + "TXPOLARITY": { + "direction": "input", + "bits": [ 902 ] + }, + "TXPOSTCURSOR": { + "direction": "input", + "bits": [ 903, 904, 905, 906, 907 ] + }, + "TXPRBSFORCEERR": { + "direction": "input", + "bits": [ 908 ] + }, + "TXPRBSSEL": { + "direction": "input", + "bits": [ 909, 910, 911, 912 ] + }, + "TXPRECURSOR": { + "direction": "input", + "bits": [ 913, 914, 915, 916, 917 ] + }, + "TXPROGDIVRESET": { + "direction": "input", + "bits": [ 918 ] + }, + "TXRATE": { + "direction": "input", + "bits": [ 919, 920, 921 ] + }, + "TXRATEMODE": { + "direction": "input", + "bits": [ 922 ] + }, + "TXSEQUENCE": { + "direction": "input", + "bits": [ 923, 924, 925, 926, 927, 928, 929 ] + }, + "TXSWING": { + "direction": "input", + "bits": [ 930 ] + }, + "TXSYNCALLIN": { + "direction": "input", + "bits": [ 931 ] + }, + "TXSYNCIN": { + "direction": "input", + "bits": [ 932 ] + }, + "TXSYNCMODE": { + "direction": "input", + "bits": [ 933 ] + }, + "TXSYSCLKSEL": { + "direction": "input", + "bits": [ 934, 935 ] + }, + "TXUSERRDY": { + "direction": "input", + "bits": [ 936 ] + }, + "TXUSRCLK": { + "direction": "input", + "bits": [ 937 ] + }, + "TXUSRCLK2": { + "direction": "input", + "bits": [ 938 ] + } + }, + "cells": { + }, + "netnames": { + "BUFGTCE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18362.12-18362.19" + } + }, + "BUFGTCEMASK": { + "hide_name": 0, + "bits": [ 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18363.18-18363.29" + } + }, + "BUFGTDIV": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18364.18-18364.26" + } + }, + "BUFGTRESET": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18365.12-18365.22" + } + }, + "BUFGTRSTMASK": { + "hide_name": 0, + "bits": [ 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18366.18-18366.30" + } + }, + "CDRSTEPDIR": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18462.11-18462.21" + } + }, + "CDRSTEPSQ": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18463.11-18463.20" + } + }, + "CDRSTEPSX": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18464.11-18464.20" + } + }, + "CFGRESET": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18465.11-18465.19" + } + }, + "CLKRSVD0": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18466.11-18466.19" + } + }, + "CLKRSVD1": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18467.11-18467.19" + } + }, + "CPLLFBCLKLOST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18367.12-18367.25" + } + }, + "CPLLFREQLOCK": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18468.11-18468.23" + } + }, + "CPLLLOCK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18368.12-18368.20" + } + }, + "CPLLLOCKDETCLK": { + "hide_name": 0, + "bits": [ 386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18469.11-18469.25" + } + }, + "CPLLLOCKEN": { + "hide_name": 0, + "bits": [ 387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18470.11-18470.21" + } + }, + "CPLLPD": { + "hide_name": 0, + "bits": [ 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18471.11-18471.17" + } + }, + "CPLLREFCLKLOST": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18369.12-18369.26" + } + }, + "CPLLREFCLKSEL": { + "hide_name": 0, + "bits": [ 389, 390, 391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18472.17-18472.30" + } + }, + "CPLLRESET": { + "hide_name": 0, + "bits": [ 392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18473.11-18473.20" + } + }, + "DMONFIFORESET": { + "hide_name": 0, + "bits": [ 393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18474.11-18474.24" + } + }, + "DMONITORCLK": { + "hide_name": 0, + "bits": [ 394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18475.11-18475.22" + } + }, + "DMONITOROUT": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18370.19-18370.30" + } + }, + "DMONITOROUTCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18371.12-18371.26" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 395, 396, 397, 398, 399, 400, 401, 402, 403, 404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18476.17-18476.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18477.11-18477.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18478.18-18478.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18372.19-18372.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18479.11-18479.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18373.12-18373.18" + } + }, + "DRPRST": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18480.11-18480.17" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18481.11-18481.16" + } + }, + "EYESCANDATAERROR": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18374.12-18374.28" + } + }, + "EYESCANRESET": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18482.11-18482.23" + } + }, + "EYESCANTRIGGER": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18483.11-18483.25" + } + }, + "FREQOS": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18484.11-18484.17" + } + }, + "GTGREFCLK": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18485.11-18485.20" + } + }, + "GTNORTHREFCLK0": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18486.11-18486.25" + } + }, + "GTNORTHREFCLK1": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18487.11-18487.25" + } + }, + "GTPOWERGOOD": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18375.12-18375.23" + } + }, + "GTREFCLK0": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18488.11-18488.20" + } + }, + "GTREFCLK1": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18489.11-18489.20" + } + }, + "GTREFCLKMONITOR": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18376.12-18376.27" + } + }, + "GTRSVD": { + "hide_name": 0, + "bits": [ 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18490.18-18490.24" + } + }, + "GTRXRESET": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18491.11-18491.20" + } + }, + "GTRXRESETSEL": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18492.11-18492.23" + } + }, + "GTSOUTHREFCLK0": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18493.11-18493.25" + } + }, + "GTSOUTHREFCLK1": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18494.11-18494.25" + } + }, + "GTTXRESET": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18495.11-18495.20" + } + }, + "GTTXRESETSEL": { + "hide_name": 0, + "bits": [ 454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18496.11-18496.23" + } + }, + "GTYRXN": { + "hide_name": 0, + "bits": [ 455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18497.11-18497.17" + } + }, + "GTYRXP": { + "hide_name": 0, + "bits": [ 456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18498.11-18498.17" + } + }, + "GTYTXN": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18377.12-18377.18" + } + }, + "GTYTXP": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18378.12-18378.18" + } + }, + "INCPCTRL": { + "hide_name": 0, + "bits": [ 457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18499.11-18499.19" + } + }, + "LOOPBACK": { + "hide_name": 0, + "bits": [ 458, 459, 460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18500.17-18500.25" + } + }, + "PCIEEQRXEQADAPTDONE": { + "hide_name": 0, + "bits": [ 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18501.11-18501.30" + } + }, + "PCIERATEGEN3": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18379.12-18379.24" + } + }, + "PCIERATEIDLE": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18380.12-18380.24" + } + }, + "PCIERATEQPLLPD": { + "hide_name": 0, + "bits": [ 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18381.18-18381.32" + } + }, + "PCIERATEQPLLRESET": { + "hide_name": 0, + "bits": [ 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18382.18-18382.35" + } + }, + "PCIERSTIDLE": { + "hide_name": 0, + "bits": [ 462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18502.11-18502.22" + } + }, + "PCIERSTTXSYNCSTART": { + "hide_name": 0, + "bits": [ 463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18503.11-18503.29" + } + }, + "PCIESYNCTXSYNCDONE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18383.12-18383.30" + } + }, + "PCIEUSERGEN3RDY": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18384.12-18384.27" + } + }, + "PCIEUSERPHYSTATUSRST": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18385.12-18385.32" + } + }, + "PCIEUSERRATEDONE": { + "hide_name": 0, + "bits": [ 464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18504.11-18504.27" + } + }, + "PCIEUSERRATESTART": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18386.12-18386.29" + } + }, + "PCSRSVDIN": { + "hide_name": 0, + "bits": [ 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18505.18-18505.27" + } + }, + "PCSRSVDOUT": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18387.19-18387.29" + } + }, + "PHYSTATUS": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18388.12-18388.21" + } + }, + "PINRSRVDAS": { + "hide_name": 0, + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18389.19-18389.29" + } + }, + "POWERPRESENT": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18390.12-18390.24" + } + }, + "QPLL0CLK": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18506.11-18506.19" + } + }, + "QPLL0FREQLOCK": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18507.11-18507.24" + } + }, + "QPLL0REFCLK": { + "hide_name": 0, + "bits": [ 483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18508.11-18508.22" + } + }, + "QPLL1CLK": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18509.11-18509.19" + } + }, + "QPLL1FREQLOCK": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18510.11-18510.24" + } + }, + "QPLL1REFCLK": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18511.11-18511.22" + } + }, + "RESETEXCEPTION": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18391.12-18391.26" + } + }, + "RESETOVRD": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18512.11-18512.20" + } + }, + "RX8B10BEN": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18513.11-18513.20" + } + }, + "RXAFECFOKEN": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18514.11-18514.22" + } + }, + "RXBUFRESET": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18515.11-18515.21" + } + }, + "RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 106, 107, 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18392.18-18392.29" + } + }, + "RXBYTEISALIGNED": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18393.12-18393.27" + } + }, + "RXBYTEREALIGN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18394.12-18394.25" + } + }, + "RXCDRFREQRESET": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18516.11-18516.25" + } + }, + "RXCDRHOLD": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18517.11-18517.20" + } + }, + "RXCDRLOCK": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18395.12-18395.21" + } + }, + "RXCDROVRDEN": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18518.11-18518.22" + } + }, + "RXCDRPHDONE": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18396.12-18396.23" + } + }, + "RXCDRRESET": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18519.11-18519.21" + } + }, + "RXCHANBONDSEQ": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18397.12-18397.25" + } + }, + "RXCHANISALIGNED": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18398.12-18398.27" + } + }, + "RXCHANREALIGN": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18399.12-18399.25" + } + }, + "RXCHBONDEN": { + "hide_name": 0, + "bits": [ 495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18520.11-18520.21" + } + }, + "RXCHBONDI": { + "hide_name": 0, + "bits": [ 496, 497, 498, 499, 500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18521.17-18521.26" + } + }, + "RXCHBONDLEVEL": { + "hide_name": 0, + "bits": [ 501, 502, 503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18522.17-18522.30" + } + }, + "RXCHBONDMASTER": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18523.11-18523.25" + } + }, + "RXCHBONDO": { + "hide_name": 0, + "bits": [ 116, 117, 118, 119, 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18400.18-18400.27" + } + }, + "RXCHBONDSLAVE": { + "hide_name": 0, + "bits": [ 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18524.11-18524.24" + } + }, + "RXCKCALDONE": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18401.12-18401.23" + } + }, + "RXCKCALRESET": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18525.11-18525.23" + } + }, + "RXCKCALSTART": { + "hide_name": 0, + "bits": [ 507, 508, 509, 510, 511, 512, 513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18526.17-18526.29" + } + }, + "RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18402.18-18402.29" + } + }, + "RXCOMINITDET": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18403.12-18403.24" + } + }, + "RXCOMMADET": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18404.12-18404.22" + } + }, + "RXCOMMADETEN": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18527.11-18527.23" + } + }, + "RXCOMSASDET": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18405.12-18405.23" + } + }, + "RXCOMWAKEDET": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18406.12-18406.24" + } + }, + "RXCTRL0": { + "hide_name": 0, + "bits": [ 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18407.19-18407.26" + } + }, + "RXCTRL1": { + "hide_name": 0, + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18408.19-18408.26" + } + }, + "RXCTRL2": { + "hide_name": 0, + "bits": [ 160, 161, 162, 163, 164, 165, 166, 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18409.18-18409.25" + } + }, + "RXCTRL3": { + "hide_name": 0, + "bits": [ 168, 169, 170, 171, 172, 173, 174, 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18410.18-18410.25" + } + }, + "RXDATA": { + "hide_name": 0, + "bits": [ 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18411.20-18411.26" + } + }, + "RXDATAEXTENDRSVD": { + "hide_name": 0, + "bits": [ 304, 305, 306, 307, 308, 309, 310, 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18412.18-18412.34" + } + }, + "RXDATAVALID": { + "hide_name": 0, + "bits": [ 312, 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18413.18-18413.29" + } + }, + "RXDFEAGCHOLD": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18528.11-18528.23" + } + }, + "RXDFEAGCOVRDEN": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18529.11-18529.25" + } + }, + "RXDFECFOKFCNUM": { + "hide_name": 0, + "bits": [ 517, 518, 519, 520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18530.17-18530.31" + } + }, + "RXDFECFOKFEN": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18531.11-18531.23" + } + }, + "RXDFECFOKFPULSE": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18532.11-18532.26" + } + }, + "RXDFECFOKHOLD": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18533.11-18533.24" + } + }, + "RXDFECFOKOVREN": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18534.11-18534.25" + } + }, + "RXDFEKHHOLD": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18535.11-18535.22" + } + }, + "RXDFEKHOVRDEN": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18536.11-18536.24" + } + }, + "RXDFELFHOLD": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18537.11-18537.22" + } + }, + "RXDFELFOVRDEN": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18538.11-18538.24" + } + }, + "RXDFELPMRESET": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18539.11-18539.24" + } + }, + "RXDFETAP10HOLD": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18540.11-18540.25" + } + }, + "RXDFETAP10OVRDEN": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18541.11-18541.27" + } + }, + "RXDFETAP11HOLD": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18542.11-18542.25" + } + }, + "RXDFETAP11OVRDEN": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18543.11-18543.27" + } + }, + "RXDFETAP12HOLD": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18544.11-18544.25" + } + }, + "RXDFETAP12OVRDEN": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18545.11-18545.27" + } + }, + "RXDFETAP13HOLD": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18546.11-18546.25" + } + }, + "RXDFETAP13OVRDEN": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18547.11-18547.27" + } + }, + "RXDFETAP14HOLD": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18548.11-18548.25" + } + }, + "RXDFETAP14OVRDEN": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18549.11-18549.27" + } + }, + "RXDFETAP15HOLD": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18550.11-18550.25" + } + }, + "RXDFETAP15OVRDEN": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18551.11-18551.27" + } + }, + "RXDFETAP2HOLD": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18552.11-18552.24" + } + }, + "RXDFETAP2OVRDEN": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18553.11-18553.26" + } + }, + "RXDFETAP3HOLD": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18554.11-18554.24" + } + }, + "RXDFETAP3OVRDEN": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18555.11-18555.26" + } + }, + "RXDFETAP4HOLD": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18556.11-18556.24" + } + }, + "RXDFETAP4OVRDEN": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18557.11-18557.26" + } + }, + "RXDFETAP5HOLD": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18558.11-18558.24" + } + }, + "RXDFETAP5OVRDEN": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18559.11-18559.26" + } + }, + "RXDFETAP6HOLD": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18560.11-18560.24" + } + }, + "RXDFETAP6OVRDEN": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18561.11-18561.26" + } + }, + "RXDFETAP7HOLD": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18562.11-18562.24" + } + }, + "RXDFETAP7OVRDEN": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18563.11-18563.26" + } + }, + "RXDFETAP8HOLD": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18564.11-18564.24" + } + }, + "RXDFETAP8OVRDEN": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18565.11-18565.26" + } + }, + "RXDFETAP9HOLD": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18566.11-18566.24" + } + }, + "RXDFETAP9OVRDEN": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18567.11-18567.26" + } + }, + "RXDFEUTHOLD": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18568.11-18568.22" + } + }, + "RXDFEUTOVRDEN": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18569.11-18569.24" + } + }, + "RXDFEVPHOLD": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18570.11-18570.22" + } + }, + "RXDFEVPOVRDEN": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18571.11-18571.24" + } + }, + "RXDFEXYDEN": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18572.11-18572.21" + } + }, + "RXDLYBYPASS": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18573.11-18573.22" + } + }, + "RXDLYEN": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18574.11-18574.18" + } + }, + "RXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18575.11-18575.22" + } + }, + "RXDLYSRESET": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18576.11-18576.22" + } + }, + "RXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18414.12-18414.27" + } + }, + "RXELECIDLE": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18415.12-18415.22" + } + }, + "RXELECIDLEMODE": { + "hide_name": 0, + "bits": [ 567, 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18577.17-18577.31" + } + }, + "RXEQTRAINING": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18578.11-18578.23" + } + }, + "RXGEARBOXSLIP": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18579.11-18579.24" + } + }, + "RXHEADER": { + "hide_name": 0, + "bits": [ 316, 317, 318, 319, 320, 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18416.18-18416.26" + } + }, + "RXHEADERVALID": { + "hide_name": 0, + "bits": [ 322, 323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18417.18-18417.31" + } + }, + "RXLATCLK": { + "hide_name": 0, + "bits": [ 571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18580.11-18580.19" + } + }, + "RXLFPSTRESETDET": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18418.12-18418.27" + } + }, + "RXLFPSU2LPEXITDET": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18419.12-18419.29" + } + }, + "RXLFPSU3WAKEDET": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18420.12-18420.27" + } + }, + "RXLPMEN": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18581.11-18581.18" + } + }, + "RXLPMGCHOLD": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18582.11-18582.22" + } + }, + "RXLPMGCOVRDEN": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18583.11-18583.24" + } + }, + "RXLPMHFHOLD": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18584.11-18584.22" + } + }, + "RXLPMHFOVRDEN": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18585.11-18585.24" + } + }, + "RXLPMLFHOLD": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18586.11-18586.22" + } + }, + "RXLPMLFKLOVRDEN": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18587.11-18587.26" + } + }, + "RXLPMOSHOLD": { + "hide_name": 0, + "bits": [ 579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18588.11-18588.22" + } + }, + "RXLPMOSOVRDEN": { + "hide_name": 0, + "bits": [ 580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18589.11-18589.24" + } + }, + "RXMCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18590.11-18590.26" + } + }, + "RXMONITOROUT": { + "hide_name": 0, + "bits": [ 327, 328, 329, 330, 331, 332, 333, 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18421.18-18421.30" + } + }, + "RXMONITORSEL": { + "hide_name": 0, + "bits": [ 582, 583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18591.17-18591.29" + } + }, + "RXOOBRESET": { + "hide_name": 0, + "bits": [ 584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18592.11-18592.21" + } + }, + "RXOSCALRESET": { + "hide_name": 0, + "bits": [ 585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18593.11-18593.23" + } + }, + "RXOSHOLD": { + "hide_name": 0, + "bits": [ 586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18594.11-18594.19" + } + }, + "RXOSINTDONE": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18422.12-18422.23" + } + }, + "RXOSINTSTARTED": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18423.12-18423.26" + } + }, + "RXOSINTSTROBEDONE": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18424.12-18424.29" + } + }, + "RXOSINTSTROBESTARTED": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18425.12-18425.32" + } + }, + "RXOSOVRDEN": { + "hide_name": 0, + "bits": [ 587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18595.11-18595.21" + } + }, + "RXOUTCLK": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18426.12-18426.20" + } + }, + "RXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18427.12-18427.26" + } + }, + "RXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18428.12-18428.23" + } + }, + "RXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 588, 589, 590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18596.17-18596.28" + } + }, + "RXPCOMMAALIGNEN": { + "hide_name": 0, + "bits": [ 591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18597.11-18597.26" + } + }, + "RXPCSRESET": { + "hide_name": 0, + "bits": [ 592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18598.11-18598.21" + } + }, + "RXPD": { + "hide_name": 0, + "bits": [ 593, 594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18599.17-18599.21" + } + }, + "RXPHALIGN": { + "hide_name": 0, + "bits": [ 595 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18600.11-18600.20" + } + }, + "RXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18429.12-18429.25" + } + }, + "RXPHALIGNEN": { + "hide_name": 0, + "bits": [ 596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18601.11-18601.22" + } + }, + "RXPHALIGNERR": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18430.12-18430.24" + } + }, + "RXPHDLYPD": { + "hide_name": 0, + "bits": [ 597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18602.11-18602.20" + } + }, + "RXPHDLYRESET": { + "hide_name": 0, + "bits": [ 598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18603.11-18603.23" + } + }, + "RXPLLCLKSEL": { + "hide_name": 0, + "bits": [ 599, 600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18604.17-18604.28" + } + }, + "RXPMARESET": { + "hide_name": 0, + "bits": [ 601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18605.11-18605.21" + } + }, + "RXPMARESETDONE": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18431.12-18431.26" + } + }, + "RXPOLARITY": { + "hide_name": 0, + "bits": [ 602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18606.11-18606.21" + } + }, + "RXPRBSCNTRESET": { + "hide_name": 0, + "bits": [ 603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18607.11-18607.25" + } + }, + "RXPRBSERR": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18432.12-18432.21" + } + }, + "RXPRBSLOCKED": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18433.12-18433.24" + } + }, + "RXPRBSSEL": { + "hide_name": 0, + "bits": [ 604, 605, 606, 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18608.17-18608.26" + } + }, + "RXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18434.12-18434.29" + } + }, + "RXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18609.11-18609.25" + } + }, + "RXRATE": { + "hide_name": 0, + "bits": [ 609, 610, 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18610.17-18610.23" + } + }, + "RXRATEDONE": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18435.12-18435.22" + } + }, + "RXRATEMODE": { + "hide_name": 0, + "bits": [ 612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18611.11-18611.21" + } + }, + "RXRECCLKOUT": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18436.12-18436.23" + } + }, + "RXRESETDONE": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18437.12-18437.23" + } + }, + "RXSLIDE": { + "hide_name": 0, + "bits": [ 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18612.11-18612.18" + } + }, + "RXSLIDERDY": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18438.12-18438.22" + } + }, + "RXSLIPDONE": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18439.12-18439.22" + } + }, + "RXSLIPOUTCLK": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18613.11-18613.23" + } + }, + "RXSLIPOUTCLKRDY": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18440.12-18440.27" + } + }, + "RXSLIPPMA": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18614.11-18614.20" + } + }, + "RXSLIPPMARDY": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18441.12-18441.24" + } + }, + "RXSTARTOFSEQ": { + "hide_name": 0, + "bits": [ 355, 356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18442.18-18442.30" + } + }, + "RXSTATUS": { + "hide_name": 0, + "bits": [ 357, 358, 359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18443.18-18443.26" + } + }, + "RXSYNCALLIN": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18615.11-18615.22" + } + }, + "RXSYNCDONE": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18444.12-18444.22" + } + }, + "RXSYNCIN": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18616.11-18616.19" + } + }, + "RXSYNCMODE": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18617.11-18617.21" + } + }, + "RXSYNCOUT": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18445.12-18445.21" + } + }, + "RXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 619, 620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18618.17-18618.28" + } + }, + "RXTERMINATION": { + "hide_name": 0, + "bits": [ 621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18619.11-18619.24" + } + }, + "RXUSERRDY": { + "hide_name": 0, + "bits": [ 622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18620.11-18620.20" + } + }, + "RXUSRCLK": { + "hide_name": 0, + "bits": [ 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18621.11-18621.19" + } + }, + "RXUSRCLK2": { + "hide_name": 0, + "bits": [ 624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18622.11-18622.20" + } + }, + "RXVALID": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18446.12-18446.19" + } + }, + "SIGVALIDCLK": { + "hide_name": 0, + "bits": [ 625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18623.11-18623.22" + } + }, + "TSTIN": { + "hide_name": 0, + "bits": [ 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18624.18-18624.23" + } + }, + "TX8B10BBYPASS": { + "hide_name": 0, + "bits": [ 646, 647, 648, 649, 650, 651, 652, 653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18625.17-18625.30" + } + }, + "TX8B10BEN": { + "hide_name": 0, + "bits": [ 654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18626.11-18626.20" + } + }, + "TXBUFSTATUS": { + "hide_name": 0, + "bits": [ 363, 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18447.18-18447.29" + } + }, + "TXCOMFINISH": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18448.12-18448.23" + } + }, + "TXCOMINIT": { + "hide_name": 0, + "bits": [ 655 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18627.11-18627.20" + } + }, + "TXCOMSAS": { + "hide_name": 0, + "bits": [ 656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18628.11-18628.19" + } + }, + "TXCOMWAKE": { + "hide_name": 0, + "bits": [ 657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18629.11-18629.20" + } + }, + "TXCTRL0": { + "hide_name": 0, + "bits": [ 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18630.18-18630.25" + } + }, + "TXCTRL1": { + "hide_name": 0, + "bits": [ 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18631.18-18631.25" + } + }, + "TXCTRL2": { + "hide_name": 0, + "bits": [ 690, 691, 692, 693, 694, 695, 696, 697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18632.17-18632.24" + } + }, + "TXDATA": { + "hide_name": 0, + "bits": [ 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18633.19-18633.25" + } + }, + "TXDATAEXTENDRSVD": { + "hide_name": 0, + "bits": [ 826, 827, 828, 829, 830, 831, 832, 833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18634.17-18634.33" + } + }, + "TXDCCDONE": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18449.12-18449.21" + } + }, + "TXDCCFORCESTART": { + "hide_name": 0, + "bits": [ 834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18635.11-18635.26" + } + }, + "TXDCCRESET": { + "hide_name": 0, + "bits": [ 835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18636.11-18636.21" + } + }, + "TXDEEMPH": { + "hide_name": 0, + "bits": [ 836, 837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18637.17-18637.25" + } + }, + "TXDETECTRX": { + "hide_name": 0, + "bits": [ 838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18638.11-18638.21" + } + }, + "TXDIFFCTRL": { + "hide_name": 0, + "bits": [ 839, 840, 841, 842, 843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18639.17-18639.27" + } + }, + "TXDLYBYPASS": { + "hide_name": 0, + "bits": [ 844 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18640.11-18640.22" + } + }, + "TXDLYEN": { + "hide_name": 0, + "bits": [ 845 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18641.11-18641.18" + } + }, + "TXDLYHOLD": { + "hide_name": 0, + "bits": [ 846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18642.11-18642.20" + } + }, + "TXDLYOVRDEN": { + "hide_name": 0, + "bits": [ 847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18643.11-18643.22" + } + }, + "TXDLYSRESET": { + "hide_name": 0, + "bits": [ 848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18644.11-18644.22" + } + }, + "TXDLYSRESETDONE": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18450.12-18450.27" + } + }, + "TXDLYUPDOWN": { + "hide_name": 0, + "bits": [ 849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18645.11-18645.22" + } + }, + "TXELECIDLE": { + "hide_name": 0, + "bits": [ 850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18646.11-18646.21" + } + }, + "TXHEADER": { + "hide_name": 0, + "bits": [ 851, 852, 853, 854, 855, 856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18647.17-18647.25" + } + }, + "TXINHIBIT": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18648.11-18648.20" + } + }, + "TXLATCLK": { + "hide_name": 0, + "bits": [ 858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18649.11-18649.19" + } + }, + "TXLFPSTRESET": { + "hide_name": 0, + "bits": [ 859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18650.11-18650.23" + } + }, + "TXLFPSU2LPEXIT": { + "hide_name": 0, + "bits": [ 860 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18651.11-18651.25" + } + }, + "TXLFPSU3WAKE": { + "hide_name": 0, + "bits": [ 861 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18652.11-18652.23" + } + }, + "TXMAINCURSOR": { + "hide_name": 0, + "bits": [ 862, 863, 864, 865, 866, 867, 868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18653.17-18653.29" + } + }, + "TXMARGIN": { + "hide_name": 0, + "bits": [ 869, 870, 871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18654.17-18654.25" + } + }, + "TXMUXDCDEXHOLD": { + "hide_name": 0, + "bits": [ 872 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18655.11-18655.25" + } + }, + "TXMUXDCDORWREN": { + "hide_name": 0, + "bits": [ 873 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18656.11-18656.25" + } + }, + "TXONESZEROS": { + "hide_name": 0, + "bits": [ 874 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18657.11-18657.22" + } + }, + "TXOUTCLK": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18451.12-18451.20" + } + }, + "TXOUTCLKFABRIC": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18452.12-18452.26" + } + }, + "TXOUTCLKPCS": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18453.12-18453.23" + } + }, + "TXOUTCLKSEL": { + "hide_name": 0, + "bits": [ 875, 876, 877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18658.17-18658.28" + } + }, + "TXPCSRESET": { + "hide_name": 0, + "bits": [ 878 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18659.11-18659.21" + } + }, + "TXPD": { + "hide_name": 0, + "bits": [ 879, 880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18660.17-18660.21" + } + }, + "TXPDELECIDLEMODE": { + "hide_name": 0, + "bits": [ 881 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18661.11-18661.27" + } + }, + "TXPHALIGN": { + "hide_name": 0, + "bits": [ 882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18662.11-18662.20" + } + }, + "TXPHALIGNDONE": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18454.12-18454.25" + } + }, + "TXPHALIGNEN": { + "hide_name": 0, + "bits": [ 883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18663.11-18663.22" + } + }, + "TXPHDLYPD": { + "hide_name": 0, + "bits": [ 884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18664.11-18664.20" + } + }, + "TXPHDLYRESET": { + "hide_name": 0, + "bits": [ 885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18665.11-18665.23" + } + }, + "TXPHDLYTSTCLK": { + "hide_name": 0, + "bits": [ 886 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18666.11-18666.24" + } + }, + "TXPHINIT": { + "hide_name": 0, + "bits": [ 887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18667.11-18667.19" + } + }, + "TXPHINITDONE": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18455.12-18455.24" + } + }, + "TXPHOVRDEN": { + "hide_name": 0, + "bits": [ 888 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18668.11-18668.21" + } + }, + "TXPIPPMEN": { + "hide_name": 0, + "bits": [ 889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18669.11-18669.20" + } + }, + "TXPIPPMOVRDEN": { + "hide_name": 0, + "bits": [ 890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18670.11-18670.24" + } + }, + "TXPIPPMPD": { + "hide_name": 0, + "bits": [ 891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18671.11-18671.20" + } + }, + "TXPIPPMSEL": { + "hide_name": 0, + "bits": [ 892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18672.11-18672.21" + } + }, + "TXPIPPMSTEPSIZE": { + "hide_name": 0, + "bits": [ 893, 894, 895, 896, 897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18673.17-18673.32" + } + }, + "TXPISOPD": { + "hide_name": 0, + "bits": [ 898 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18674.11-18674.19" + } + }, + "TXPLLCLKSEL": { + "hide_name": 0, + "bits": [ 899, 900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18675.17-18675.28" + } + }, + "TXPMARESET": { + "hide_name": 0, + "bits": [ 901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18676.11-18676.21" + } + }, + "TXPMARESETDONE": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18456.12-18456.26" + } + }, + "TXPOLARITY": { + "hide_name": 0, + "bits": [ 902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18677.11-18677.21" + } + }, + "TXPOSTCURSOR": { + "hide_name": 0, + "bits": [ 903, 904, 905, 906, 907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18678.17-18678.29" + } + }, + "TXPRBSFORCEERR": { + "hide_name": 0, + "bits": [ 908 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18679.11-18679.25" + } + }, + "TXPRBSSEL": { + "hide_name": 0, + "bits": [ 909, 910, 911, 912 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18680.17-18680.26" + } + }, + "TXPRECURSOR": { + "hide_name": 0, + "bits": [ 913, 914, 915, 916, 917 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18681.17-18681.28" + } + }, + "TXPRGDIVRESETDONE": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18457.12-18457.29" + } + }, + "TXPROGDIVRESET": { + "hide_name": 0, + "bits": [ 918 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18682.11-18682.25" + } + }, + "TXRATE": { + "hide_name": 0, + "bits": [ 919, 920, 921 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18683.17-18683.23" + } + }, + "TXRATEDONE": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18458.12-18458.22" + } + }, + "TXRATEMODE": { + "hide_name": 0, + "bits": [ 922 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18684.11-18684.21" + } + }, + "TXRESETDONE": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18459.12-18459.23" + } + }, + "TXSEQUENCE": { + "hide_name": 0, + "bits": [ 923, 924, 925, 926, 927, 928, 929 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18685.17-18685.27" + } + }, + "TXSWING": { + "hide_name": 0, + "bits": [ 930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18686.11-18686.18" + } + }, + "TXSYNCALLIN": { + "hide_name": 0, + "bits": [ 931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18687.11-18687.22" + } + }, + "TXSYNCDONE": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18460.12-18460.22" + } + }, + "TXSYNCIN": { + "hide_name": 0, + "bits": [ 932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18688.11-18688.19" + } + }, + "TXSYNCMODE": { + "hide_name": 0, + "bits": [ 933 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18689.11-18689.21" + } + }, + "TXSYNCOUT": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18461.12-18461.21" + } + }, + "TXSYSCLKSEL": { + "hide_name": 0, + "bits": [ 934, 935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18690.17-18690.28" + } + }, + "TXUSERRDY": { + "hide_name": 0, + "bits": [ 936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18691.11-18691.20" + } + }, + "TXUSRCLK": { + "hide_name": 0, + "bits": [ 937 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18692.11-18692.19" + } + }, + "TXUSRCLK2": { + "hide_name": 0, + "bits": [ 938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18693.11-18693.20" + } + } + } + }, + "GTYE4_COMMON": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18696.1-18890.10" + }, + "parameter_default_values": { + "AEN_QPLL0_FBDIV": "1", + "AEN_QPLL1_FBDIV": "1", + "AEN_SDM0TOGGLE": "0", + "AEN_SDM1TOGGLE": "0", + "A_SDM0TOGGLE": "0", + "A_SDM1DATA_HIGH": "000000000", + "A_SDM1DATA_LOW": "0000000000000000", + "A_SDM1TOGGLE": "0", + "BIAS_CFG0": "0000000000000000", + "BIAS_CFG1": "0000000000000000", + "BIAS_CFG2": "0000000000000000", + "BIAS_CFG3": "0000000000000000", + "BIAS_CFG4": "0000000000000000", + "BIAS_CFG_RSVD": "0000000000000000", + "COMMON_CFG0": "0000000000000000", + "COMMON_CFG1": "0000000000000000", + "POR_CFG": "0000000000000000", + "PPF0_CFG": "0000111100000000", + "PPF1_CFG": "0000111100000000", + "QPLL0CLKOUT_RATE": "FULL", + "QPLL0_CFG0": "0011100100011100", + "QPLL0_CFG1": "0000000000000000", + "QPLL0_CFG1_G3": "0000000000100000", + "QPLL0_CFG2": "0000111110000000", + "QPLL0_CFG2_G3": "0000111110000000", + "QPLL0_CFG3": "0000000100100000", + "QPLL0_CFG4": "0000000000000010", + "QPLL0_CP": "0000011111", + "QPLL0_CP_G3": "0000011111", + "QPLL0_FBDIV": "00000000000000000000000001000010", + "QPLL0_FBDIV_G3": "00000000000000000000000001010000", + "QPLL0_INIT_CFG0": "0000000000000000", + "QPLL0_INIT_CFG1": "00000000", + "QPLL0_LOCK_CFG": "0000000111101000", + "QPLL0_LOCK_CFG_G3": "0010000111101000", + "QPLL0_LPF": "1011111111", + "QPLL0_LPF_G3": "1111111111", + "QPLL0_PCI_EN": "0", + "QPLL0_RATE_SW_USE_DRP": "0", + "QPLL0_REFCLK_DIV": "00000000000000000000000000000001", + "QPLL0_SDM_CFG0": "0000000001000000", + "QPLL0_SDM_CFG1": "0000000000000000", + "QPLL0_SDM_CFG2": "0000000000000000", + "QPLL1CLKOUT_RATE": "FULL", + "QPLL1_CFG0": "0110100100011100", + "QPLL1_CFG1": "0000000000100000", + "QPLL1_CFG1_G3": "0000000000100000", + "QPLL1_CFG2": "0000111110000000", + "QPLL1_CFG2_G3": "0000111110000000", + "QPLL1_CFG3": "0000000100100000", + "QPLL1_CFG4": "0000000000000010", + "QPLL1_CP": "0000011111", + "QPLL1_CP_G3": "0000011111", + "QPLL1_FBDIV": "00000000000000000000000001000010", + "QPLL1_FBDIV_G3": "00000000000000000000000001010000", + "QPLL1_INIT_CFG0": "0000000000000000", + "QPLL1_INIT_CFG1": "00000000", + "QPLL1_LOCK_CFG": "0000000111101000", + "QPLL1_LOCK_CFG_G3": "0010000111101000", + "QPLL1_LPF": "1011111111", + "QPLL1_LPF_G3": "1111111111", + "QPLL1_PCI_EN": "0", + "QPLL1_RATE_SW_USE_DRP": "0", + "QPLL1_REFCLK_DIV": "00000000000000000000000000000001", + "QPLL1_SDM_CFG0": "0000000000000000", + "QPLL1_SDM_CFG1": "0000000000000000", + "QPLL1_SDM_CFG2": "0000000000000000", + "RSVD_ATTR0": "0000000000000000", + "RSVD_ATTR1": "0000000000000000", + "RSVD_ATTR2": "0000000000000000", + "RSVD_ATTR3": "0000000000000000", + "RXRECCLKOUT0_SEL": "00", + "RXRECCLKOUT1_SEL": "00", + "SARC_ENB": "0", + "SARC_SEL": "0", + "SDM0INITSEED0_0": "0000000000000000", + "SDM0INITSEED0_1": "000000000", + "SDM1INITSEED0_0": "0000000000000000", + "SDM1INITSEED0_1": "000000000", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "SIM_MODE": "FAST", + "SIM_RESET_SPEEDUP": "TRUE", + "UB_CFG0": "0000000000000000", + "UB_CFG1": "0000000000000000", + "UB_CFG2": "0000000000000000", + "UB_CFG3": "0000000000000000", + "UB_CFG4": "0000000000000000", + "UB_CFG5": "0000010000000000", + "UB_CFG6": "0000000000000000" + }, + "ports": { + "DRPDO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 18 ] + }, + "PMARSVDOUT0": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ] + }, + "PMARSVDOUT1": { + "direction": "output", + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "QPLL0FBCLKLOST": { + "direction": "output", + "bits": [ 35 ] + }, + "QPLL0LOCK": { + "direction": "output", + "bits": [ 36 ] + }, + "QPLL0OUTCLK": { + "direction": "output", + "bits": [ 37 ] + }, + "QPLL0OUTREFCLK": { + "direction": "output", + "bits": [ 38 ] + }, + "QPLL0REFCLKLOST": { + "direction": "output", + "bits": [ 39 ] + }, + "QPLL1FBCLKLOST": { + "direction": "output", + "bits": [ 40 ] + }, + "QPLL1LOCK": { + "direction": "output", + "bits": [ 41 ] + }, + "QPLL1OUTCLK": { + "direction": "output", + "bits": [ 42 ] + }, + "QPLL1OUTREFCLK": { + "direction": "output", + "bits": [ 43 ] + }, + "QPLL1REFCLKLOST": { + "direction": "output", + "bits": [ 44 ] + }, + "QPLLDMONITOR0": { + "direction": "output", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "QPLLDMONITOR1": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60 ] + }, + "REFCLKOUTMONITOR0": { + "direction": "output", + "bits": [ 61 ] + }, + "REFCLKOUTMONITOR1": { + "direction": "output", + "bits": [ 62 ] + }, + "RXRECCLK0SEL": { + "direction": "output", + "bits": [ 63, 64 ] + }, + "RXRECCLK1SEL": { + "direction": "output", + "bits": [ 65, 66 ] + }, + "SDM0FINALOUT": { + "direction": "output", + "bits": [ 67, 68, 69, 70 ] + }, + "SDM0TESTDATA": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "SDM1FINALOUT": { + "direction": "output", + "bits": [ 86, 87, 88, 89 ] + }, + "SDM1TESTDATA": { + "direction": "output", + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "UBDADDR": { + "direction": "output", + "bits": [ 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120 ] + }, + "UBDEN": { + "direction": "output", + "bits": [ 121 ] + }, + "UBDI": { + "direction": "output", + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137 ] + }, + "UBDWE": { + "direction": "output", + "bits": [ 138 ] + }, + "UBMDMTDO": { + "direction": "output", + "bits": [ 139 ] + }, + "UBRSVDOUT": { + "direction": "output", + "bits": [ 140 ] + }, + "UBTXUART": { + "direction": "output", + "bits": [ 141 ] + }, + "BGBYPASSB": { + "direction": "input", + "bits": [ 142 ] + }, + "BGMONITORENB": { + "direction": "input", + "bits": [ 143 ] + }, + "BGPDB": { + "direction": "input", + "bits": [ 144 ] + }, + "BGRCALOVRD": { + "direction": "input", + "bits": [ 145, 146, 147, 148, 149 ] + }, + "BGRCALOVRDENB": { + "direction": "input", + "bits": [ 150 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 167 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 184 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 185 ] + }, + "GTGREFCLK0": { + "direction": "input", + "bits": [ 186 ] + }, + "GTGREFCLK1": { + "direction": "input", + "bits": [ 187 ] + }, + "GTNORTHREFCLK00": { + "direction": "input", + "bits": [ 188 ] + }, + "GTNORTHREFCLK01": { + "direction": "input", + "bits": [ 189 ] + }, + "GTNORTHREFCLK10": { + "direction": "input", + "bits": [ 190 ] + }, + "GTNORTHREFCLK11": { + "direction": "input", + "bits": [ 191 ] + }, + "GTREFCLK00": { + "direction": "input", + "bits": [ 192 ] + }, + "GTREFCLK01": { + "direction": "input", + "bits": [ 193 ] + }, + "GTREFCLK10": { + "direction": "input", + "bits": [ 194 ] + }, + "GTREFCLK11": { + "direction": "input", + "bits": [ 195 ] + }, + "GTSOUTHREFCLK00": { + "direction": "input", + "bits": [ 196 ] + }, + "GTSOUTHREFCLK01": { + "direction": "input", + "bits": [ 197 ] + }, + "GTSOUTHREFCLK10": { + "direction": "input", + "bits": [ 198 ] + }, + "GTSOUTHREFCLK11": { + "direction": "input", + "bits": [ 199 ] + }, + "PCIERATEQPLL0": { + "direction": "input", + "bits": [ 200, 201, 202 ] + }, + "PCIERATEQPLL1": { + "direction": "input", + "bits": [ 203, 204, 205 ] + }, + "PMARSVD0": { + "direction": "input", + "bits": [ 206, 207, 208, 209, 210, 211, 212, 213 ] + }, + "PMARSVD1": { + "direction": "input", + "bits": [ 214, 215, 216, 217, 218, 219, 220, 221 ] + }, + "QPLL0CLKRSVD0": { + "direction": "input", + "bits": [ 222 ] + }, + "QPLL0CLKRSVD1": { + "direction": "input", + "bits": [ 223 ] + }, + "QPLL0FBDIV": { + "direction": "input", + "bits": [ 224, 225, 226, 227, 228, 229, 230, 231 ] + }, + "QPLL0LOCKDETCLK": { + "direction": "input", + "bits": [ 232 ] + }, + "QPLL0LOCKEN": { + "direction": "input", + "bits": [ 233 ] + }, + "QPLL0PD": { + "direction": "input", + "bits": [ 234 ] + }, + "QPLL0REFCLKSEL": { + "direction": "input", + "bits": [ 235, 236, 237 ] + }, + "QPLL0RESET": { + "direction": "input", + "bits": [ 238 ] + }, + "QPLL1CLKRSVD0": { + "direction": "input", + "bits": [ 239 ] + }, + "QPLL1CLKRSVD1": { + "direction": "input", + "bits": [ 240 ] + }, + "QPLL1FBDIV": { + "direction": "input", + "bits": [ 241, 242, 243, 244, 245, 246, 247, 248 ] + }, + "QPLL1LOCKDETCLK": { + "direction": "input", + "bits": [ 249 ] + }, + "QPLL1LOCKEN": { + "direction": "input", + "bits": [ 250 ] + }, + "QPLL1PD": { + "direction": "input", + "bits": [ 251 ] + }, + "QPLL1REFCLKSEL": { + "direction": "input", + "bits": [ 252, 253, 254 ] + }, + "QPLL1RESET": { + "direction": "input", + "bits": [ 255 ] + }, + "QPLLRSVD1": { + "direction": "input", + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263 ] + }, + "QPLLRSVD2": { + "direction": "input", + "bits": [ 264, 265, 266, 267, 268 ] + }, + "QPLLRSVD3": { + "direction": "input", + "bits": [ 269, 270, 271, 272, 273 ] + }, + "QPLLRSVD4": { + "direction": "input", + "bits": [ 274, 275, 276, 277, 278, 279, 280, 281 ] + }, + "RCALENB": { + "direction": "input", + "bits": [ 282 ] + }, + "SDM0DATA": { + "direction": "input", + "bits": [ 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307 ] + }, + "SDM0RESET": { + "direction": "input", + "bits": [ 308 ] + }, + "SDM0TOGGLE": { + "direction": "input", + "bits": [ 309 ] + }, + "SDM0WIDTH": { + "direction": "input", + "bits": [ 310, 311 ] + }, + "SDM1DATA": { + "direction": "input", + "bits": [ 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336 ] + }, + "SDM1RESET": { + "direction": "input", + "bits": [ 337 ] + }, + "SDM1TOGGLE": { + "direction": "input", + "bits": [ 338 ] + }, + "SDM1WIDTH": { + "direction": "input", + "bits": [ 339, 340 ] + }, + "UBCFGSTREAMEN": { + "direction": "input", + "bits": [ 341 ] + }, + "UBDO": { + "direction": "input", + "bits": [ 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ] + }, + "UBDRDY": { + "direction": "input", + "bits": [ 358 ] + }, + "UBENABLE": { + "direction": "input", + "bits": [ 359 ] + }, + "UBGPI": { + "direction": "input", + "bits": [ 360, 361 ] + }, + "UBINTR": { + "direction": "input", + "bits": [ 362, 363 ] + }, + "UBIOLMBRST": { + "direction": "input", + "bits": [ 364 ] + }, + "UBMBRST": { + "direction": "input", + "bits": [ 365 ] + }, + "UBMDMCAPTURE": { + "direction": "input", + "bits": [ 366 ] + }, + "UBMDMDBGRST": { + "direction": "input", + "bits": [ 367 ] + }, + "UBMDMDBGUPDATE": { + "direction": "input", + "bits": [ 368 ] + }, + "UBMDMREGEN": { + "direction": "input", + "bits": [ 369, 370, 371, 372 ] + }, + "UBMDMSHIFT": { + "direction": "input", + "bits": [ 373 ] + }, + "UBMDMSYSRST": { + "direction": "input", + "bits": [ 374 ] + }, + "UBMDMTCK": { + "direction": "input", + "bits": [ 375 ] + }, + "UBMDMTDI": { + "direction": "input", + "bits": [ 376 ] + } + }, + "cells": { + }, + "netnames": { + "BGBYPASSB": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18817.11-18817.20" + } + }, + "BGMONITORENB": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18818.11-18818.23" + } + }, + "BGPDB": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18819.11-18819.16" + } + }, + "BGRCALOVRD": { + "hide_name": 0, + "bits": [ 145, 146, 147, 148, 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18820.17-18820.27" + } + }, + "BGRCALOVRDENB": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18821.11-18821.24" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18822.18-18822.25" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18823.11-18823.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18824.18-18824.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18786.19-18786.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18825.11-18825.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18787.12-18787.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18826.11-18826.16" + } + }, + "GTGREFCLK0": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18827.11-18827.21" + } + }, + "GTGREFCLK1": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18828.11-18828.21" + } + }, + "GTNORTHREFCLK00": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18829.11-18829.26" + } + }, + "GTNORTHREFCLK01": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18830.11-18830.26" + } + }, + "GTNORTHREFCLK10": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18831.11-18831.26" + } + }, + "GTNORTHREFCLK11": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18832.11-18832.26" + } + }, + "GTREFCLK00": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18833.11-18833.21" + } + }, + "GTREFCLK01": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18834.11-18834.21" + } + }, + "GTREFCLK10": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18835.11-18835.21" + } + }, + "GTREFCLK11": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18836.11-18836.21" + } + }, + "GTSOUTHREFCLK00": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18837.11-18837.26" + } + }, + "GTSOUTHREFCLK01": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18838.11-18838.26" + } + }, + "GTSOUTHREFCLK10": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18839.11-18839.26" + } + }, + "GTSOUTHREFCLK11": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18840.11-18840.26" + } + }, + "PCIERATEQPLL0": { + "hide_name": 0, + "bits": [ 200, 201, 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18841.17-18841.30" + } + }, + "PCIERATEQPLL1": { + "hide_name": 0, + "bits": [ 203, 204, 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18842.17-18842.30" + } + }, + "PMARSVD0": { + "hide_name": 0, + "bits": [ 206, 207, 208, 209, 210, 211, 212, 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18843.17-18843.25" + } + }, + "PMARSVD1": { + "hide_name": 0, + "bits": [ 214, 215, 216, 217, 218, 219, 220, 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18844.17-18844.25" + } + }, + "PMARSVDOUT0": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18788.18-18788.29" + } + }, + "PMARSVDOUT1": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18789.18-18789.29" + } + }, + "QPLL0CLKRSVD0": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18845.11-18845.24" + } + }, + "QPLL0CLKRSVD1": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18846.11-18846.24" + } + }, + "QPLL0FBCLKLOST": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18790.12-18790.26" + } + }, + "QPLL0FBDIV": { + "hide_name": 0, + "bits": [ 224, 225, 226, 227, 228, 229, 230, 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18847.17-18847.27" + } + }, + "QPLL0LOCK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18791.12-18791.21" + } + }, + "QPLL0LOCKDETCLK": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18848.11-18848.26" + } + }, + "QPLL0LOCKEN": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18849.11-18849.22" + } + }, + "QPLL0OUTCLK": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18792.12-18792.23" + } + }, + "QPLL0OUTREFCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18793.12-18793.26" + } + }, + "QPLL0PD": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18850.11-18850.18" + } + }, + "QPLL0REFCLKLOST": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18794.12-18794.27" + } + }, + "QPLL0REFCLKSEL": { + "hide_name": 0, + "bits": [ 235, 236, 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18851.17-18851.31" + } + }, + "QPLL0RESET": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18852.11-18852.21" + } + }, + "QPLL1CLKRSVD0": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18853.11-18853.24" + } + }, + "QPLL1CLKRSVD1": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18854.11-18854.24" + } + }, + "QPLL1FBCLKLOST": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18795.12-18795.26" + } + }, + "QPLL1FBDIV": { + "hide_name": 0, + "bits": [ 241, 242, 243, 244, 245, 246, 247, 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18855.17-18855.27" + } + }, + "QPLL1LOCK": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18796.12-18796.21" + } + }, + "QPLL1LOCKDETCLK": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18856.11-18856.26" + } + }, + "QPLL1LOCKEN": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18857.11-18857.22" + } + }, + "QPLL1OUTCLK": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18797.12-18797.23" + } + }, + "QPLL1OUTREFCLK": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18798.12-18798.26" + } + }, + "QPLL1PD": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18858.11-18858.18" + } + }, + "QPLL1REFCLKLOST": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18799.12-18799.27" + } + }, + "QPLL1REFCLKSEL": { + "hide_name": 0, + "bits": [ 252, 253, 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18859.17-18859.31" + } + }, + "QPLL1RESET": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18860.11-18860.21" + } + }, + "QPLLDMONITOR0": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18800.18-18800.31" + } + }, + "QPLLDMONITOR1": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18801.18-18801.31" + } + }, + "QPLLRSVD1": { + "hide_name": 0, + "bits": [ 256, 257, 258, 259, 260, 261, 262, 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18861.17-18861.26" + } + }, + "QPLLRSVD2": { + "hide_name": 0, + "bits": [ 264, 265, 266, 267, 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18862.17-18862.26" + } + }, + "QPLLRSVD3": { + "hide_name": 0, + "bits": [ 269, 270, 271, 272, 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18863.17-18863.26" + } + }, + "QPLLRSVD4": { + "hide_name": 0, + "bits": [ 274, 275, 276, 277, 278, 279, 280, 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18864.17-18864.26" + } + }, + "RCALENB": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18865.11-18865.18" + } + }, + "REFCLKOUTMONITOR0": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18802.12-18802.29" + } + }, + "REFCLKOUTMONITOR1": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18803.12-18803.29" + } + }, + "RXRECCLK0SEL": { + "hide_name": 0, + "bits": [ 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18804.18-18804.30" + } + }, + "RXRECCLK1SEL": { + "hide_name": 0, + "bits": [ 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18805.18-18805.30" + } + }, + "SDM0DATA": { + "hide_name": 0, + "bits": [ 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18866.18-18866.26" + } + }, + "SDM0FINALOUT": { + "hide_name": 0, + "bits": [ 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18806.18-18806.30" + } + }, + "SDM0RESET": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18867.11-18867.20" + } + }, + "SDM0TESTDATA": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18807.19-18807.31" + } + }, + "SDM0TOGGLE": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18868.11-18868.21" + } + }, + "SDM0WIDTH": { + "hide_name": 0, + "bits": [ 310, 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18869.17-18869.26" + } + }, + "SDM1DATA": { + "hide_name": 0, + "bits": [ 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18870.18-18870.26" + } + }, + "SDM1FINALOUT": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18808.18-18808.30" + } + }, + "SDM1RESET": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18871.11-18871.20" + } + }, + "SDM1TESTDATA": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18809.19-18809.31" + } + }, + "SDM1TOGGLE": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18872.11-18872.21" + } + }, + "SDM1WIDTH": { + "hide_name": 0, + "bits": [ 339, 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18873.17-18873.26" + } + }, + "UBCFGSTREAMEN": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18874.11-18874.24" + } + }, + "UBDADDR": { + "hide_name": 0, + "bits": [ 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18810.19-18810.26" + } + }, + "UBDEN": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18811.12-18811.17" + } + }, + "UBDI": { + "hide_name": 0, + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18812.19-18812.23" + } + }, + "UBDO": { + "hide_name": 0, + "bits": [ 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18875.18-18875.22" + } + }, + "UBDRDY": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18876.11-18876.17" + } + }, + "UBDWE": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18813.12-18813.17" + } + }, + "UBENABLE": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18877.11-18877.19" + } + }, + "UBGPI": { + "hide_name": 0, + "bits": [ 360, 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18878.17-18878.22" + } + }, + "UBINTR": { + "hide_name": 0, + "bits": [ 362, 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18879.17-18879.23" + } + }, + "UBIOLMBRST": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18880.11-18880.21" + } + }, + "UBMBRST": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18881.11-18881.18" + } + }, + "UBMDMCAPTURE": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18882.11-18882.23" + } + }, + "UBMDMDBGRST": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18883.11-18883.22" + } + }, + "UBMDMDBGUPDATE": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18884.11-18884.25" + } + }, + "UBMDMREGEN": { + "hide_name": 0, + "bits": [ 369, 370, 371, 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18885.17-18885.27" + } + }, + "UBMDMSHIFT": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18886.11-18886.21" + } + }, + "UBMDMSYSRST": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18887.11-18887.22" + } + }, + "UBMDMTCK": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18888.11-18888.19" + } + }, + "UBMDMTDI": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18889.11-18889.19" + } + }, + "UBMDMTDO": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18814.12-18814.20" + } + }, + "UBRSVDOUT": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18815.12-18815.21" + } + }, + "UBTXUART": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18816.12-18816.20" + } + } + } + }, + "HARD_SYNC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9481.1-9490.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLK_INVERTED": "0", + "LATENCY": "00000000000000000000000000000010" + }, + "ports": { + "DOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "DIN": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9488.11-9488.14" + } + }, + "DIN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9489.11-9489.14" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9485.12-9485.16" + } + } + } + }, + "HBM_ONE_STACK_INTF": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28393.1-29293.10" + }, + "parameter_default_values": { + "CLK_SEL_00": "FALSE", + "CLK_SEL_01": "FALSE", + "CLK_SEL_02": "FALSE", + "CLK_SEL_03": "FALSE", + "CLK_SEL_04": "FALSE", + "CLK_SEL_05": "FALSE", + "CLK_SEL_06": "FALSE", + "CLK_SEL_07": "FALSE", + "CLK_SEL_08": "FALSE", + "CLK_SEL_09": "FALSE", + "CLK_SEL_10": "FALSE", + "CLK_SEL_11": "FALSE", + "CLK_SEL_12": "FALSE", + "CLK_SEL_13": "FALSE", + "CLK_SEL_14": "FALSE", + "CLK_SEL_15": "FALSE", + "DATARATE_00": "00000000000000000000011100001000", + "DATARATE_01": "00000000000000000000011100001000", + "DATARATE_02": "00000000000000000000011100001000", + "DATARATE_03": "00000000000000000000011100001000", + "DATARATE_04": "00000000000000000000011100001000", + "DATARATE_05": "00000000000000000000011100001000", + "DATARATE_06": "00000000000000000000011100001000", + "DATARATE_07": "00000000000000000000011100001000", + "DA_LOCKOUT": "FALSE", + "IS_APB_0_PCLK_INVERTED": "0", + "IS_APB_0_PRESET_N_INVERTED": "0", + "IS_AXI_00_ACLK_INVERTED": "0", + "IS_AXI_00_ARESET_N_INVERTED": "0", + "IS_AXI_01_ACLK_INVERTED": "0", + "IS_AXI_01_ARESET_N_INVERTED": "0", + "IS_AXI_02_ACLK_INVERTED": "0", + "IS_AXI_02_ARESET_N_INVERTED": "0", + "IS_AXI_03_ACLK_INVERTED": "0", + "IS_AXI_03_ARESET_N_INVERTED": "0", + "IS_AXI_04_ACLK_INVERTED": "0", + "IS_AXI_04_ARESET_N_INVERTED": "0", + "IS_AXI_05_ACLK_INVERTED": "0", + "IS_AXI_05_ARESET_N_INVERTED": "0", + "IS_AXI_06_ACLK_INVERTED": "0", + "IS_AXI_06_ARESET_N_INVERTED": "0", + "IS_AXI_07_ACLK_INVERTED": "0", + "IS_AXI_07_ARESET_N_INVERTED": "0", + "IS_AXI_08_ACLK_INVERTED": "0", + "IS_AXI_08_ARESET_N_INVERTED": "0", + "IS_AXI_09_ACLK_INVERTED": "0", + "IS_AXI_09_ARESET_N_INVERTED": "0", + "IS_AXI_10_ACLK_INVERTED": "0", + "IS_AXI_10_ARESET_N_INVERTED": "0", + "IS_AXI_11_ACLK_INVERTED": "0", + "IS_AXI_11_ARESET_N_INVERTED": "0", + "IS_AXI_12_ACLK_INVERTED": "0", + "IS_AXI_12_ARESET_N_INVERTED": "0", + "IS_AXI_13_ACLK_INVERTED": "0", + "IS_AXI_13_ARESET_N_INVERTED": "0", + "IS_AXI_14_ACLK_INVERTED": "0", + "IS_AXI_14_ARESET_N_INVERTED": "0", + "IS_AXI_15_ACLK_INVERTED": "0", + "IS_AXI_15_ARESET_N_INVERTED": "0", + "MC_ENABLE_0": "FALSE", + "MC_ENABLE_1": "FALSE", + "MC_ENABLE_2": "FALSE", + "MC_ENABLE_3": "FALSE", + "MC_ENABLE_4": "FALSE", + "MC_ENABLE_5": "FALSE", + "MC_ENABLE_6": "FALSE", + "MC_ENABLE_7": "FALSE", + "MC_ENABLE_APB": "FALSE", + "PAGEHIT_PERCENT_00": "00000000000000000000000001001011", + "PHY_ENABLE_00": "FALSE", + "PHY_ENABLE_01": "FALSE", + "PHY_ENABLE_02": "FALSE", + "PHY_ENABLE_03": "FALSE", + "PHY_ENABLE_04": "FALSE", + "PHY_ENABLE_05": "FALSE", + "PHY_ENABLE_06": "FALSE", + "PHY_ENABLE_07": "FALSE", + "PHY_ENABLE_08": "FALSE", + "PHY_ENABLE_09": "FALSE", + "PHY_ENABLE_10": "FALSE", + "PHY_ENABLE_11": "FALSE", + "PHY_ENABLE_12": "FALSE", + "PHY_ENABLE_13": "FALSE", + "PHY_ENABLE_14": "FALSE", + "PHY_ENABLE_15": "FALSE", + "PHY_ENABLE_APB": "FALSE", + "PHY_PCLK_INVERT_01": "FALSE", + "READ_PERCENT_00": "00000000000000000000000000110010", + "READ_PERCENT_01": "00000000000000000000000000110010", + "READ_PERCENT_02": "00000000000000000000000000110010", + "READ_PERCENT_03": "00000000000000000000000000110010", + "READ_PERCENT_04": "00000000000000000000000000110010", + "READ_PERCENT_05": "00000000000000000000000000110010", + "READ_PERCENT_06": "00000000000000000000000000110010", + "READ_PERCENT_07": "00000000000000000000000000110010", + "READ_PERCENT_08": "00000000000000000000000000110010", + "READ_PERCENT_09": "00000000000000000000000000110010", + "READ_PERCENT_10": "00000000000000000000000000110010", + "READ_PERCENT_11": "00000000000000000000000000110010", + "READ_PERCENT_12": "00000000000000000000000000110010", + "READ_PERCENT_13": "00000000000000000000000000110010", + "READ_PERCENT_14": "00000000000000000000000000110010", + "READ_PERCENT_15": "00000000000000000000000000110010", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "STACK_LOCATION": "00000000000000000000000000000000", + "SWITCH_ENABLE": "FALSE", + "WRITE_PERCENT_00": "00000000000000000000000000110010", + "WRITE_PERCENT_01": "00000000000000000000000000110010", + "WRITE_PERCENT_02": "00000000000000000000000000110010", + "WRITE_PERCENT_03": "00000000000000000000000000110010", + "WRITE_PERCENT_04": "00000000000000000000000000110010", + "WRITE_PERCENT_05": "00000000000000000000000000110010", + "WRITE_PERCENT_06": "00000000000000000000000000110010", + "WRITE_PERCENT_07": "00000000000000000000000000110010", + "WRITE_PERCENT_08": "00000000000000000000000000110010", + "WRITE_PERCENT_09": "00000000000000000000000000110010", + "WRITE_PERCENT_10": "00000000000000000000000000110010", + "WRITE_PERCENT_11": "00000000000000000000000000110010", + "WRITE_PERCENT_12": "00000000000000000000000000110010", + "WRITE_PERCENT_13": "00000000000000000000000000110010", + "WRITE_PERCENT_14": "00000000000000000000000000110010", + "WRITE_PERCENT_15": "00000000000000000000000000110010" + }, + "ports": { + "APB_0_PRDATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "APB_0_PREADY": { + "direction": "output", + "bits": [ 34 ] + }, + "APB_0_PSLVERR": { + "direction": "output", + "bits": [ 35 ] + }, + "AXI_00_ARREADY": { + "direction": "output", + "bits": [ 36 ] + }, + "AXI_00_AWREADY": { + "direction": "output", + "bits": [ 37 ] + }, + "AXI_00_BID": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43 ] + }, + "AXI_00_BRESP": { + "direction": "output", + "bits": [ 44, 45 ] + }, + "AXI_00_BVALID": { + "direction": "output", + "bits": [ 46 ] + }, + "AXI_00_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 47, 48 ] + }, + "AXI_00_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 49 ] + }, + "AXI_00_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57 ] + }, + "AXI_00_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ] + }, + "AXI_00_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86 ] + }, + "AXI_00_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 87, 88 ] + }, + "AXI_00_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 89 ] + }, + "AXI_00_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 90 ] + }, + "AXI_00_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 91 ] + }, + "AXI_00_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 92 ] + }, + "AXI_00_MC_STATUS": { + "direction": "output", + "bits": [ 93, 94, 95, 96, 97, 98 ] + }, + "AXI_00_PHY_STATUS": { + "direction": "output", + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106 ] + }, + "AXI_00_RDATA": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362 ] + }, + "AXI_00_RDATA_PARITY": { + "direction": "output", + "bits": [ 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394 ] + }, + "AXI_00_RID": { + "direction": "output", + "bits": [ 395, 396, 397, 398, 399, 400 ] + }, + "AXI_00_RLAST": { + "direction": "output", + "bits": [ 401 ] + }, + "AXI_00_RRESP": { + "direction": "output", + "bits": [ 402, 403 ] + }, + "AXI_00_RVALID": { + "direction": "output", + "bits": [ 404 ] + }, + "AXI_00_WREADY": { + "direction": "output", + "bits": [ 405 ] + }, + "AXI_01_ARREADY": { + "direction": "output", + "bits": [ 406 ] + }, + "AXI_01_AWREADY": { + "direction": "output", + "bits": [ 407 ] + }, + "AXI_01_BID": { + "direction": "output", + "bits": [ 408, 409, 410, 411, 412, 413 ] + }, + "AXI_01_BRESP": { + "direction": "output", + "bits": [ 414, 415 ] + }, + "AXI_01_BVALID": { + "direction": "output", + "bits": [ 416 ] + }, + "AXI_01_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 417, 418 ] + }, + "AXI_01_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 419 ] + }, + "AXI_01_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 420, 421, 422, 423, 424, 425, 426, 427 ] + }, + "AXI_01_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448 ] + }, + "AXI_01_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 449, 450, 451, 452, 453, 454, 455, 456 ] + }, + "AXI_01_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 457, 458 ] + }, + "AXI_01_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 459 ] + }, + "AXI_01_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 460 ] + }, + "AXI_01_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 461 ] + }, + "AXI_01_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 462 ] + }, + "AXI_01_RDATA": { + "direction": "output", + "bits": [ 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718 ] + }, + "AXI_01_RDATA_PARITY": { + "direction": "output", + "bits": [ 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750 ] + }, + "AXI_01_RID": { + "direction": "output", + "bits": [ 751, 752, 753, 754, 755, 756 ] + }, + "AXI_01_RLAST": { + "direction": "output", + "bits": [ 757 ] + }, + "AXI_01_RRESP": { + "direction": "output", + "bits": [ 758, 759 ] + }, + "AXI_01_RVALID": { + "direction": "output", + "bits": [ 760 ] + }, + "AXI_01_WREADY": { + "direction": "output", + "bits": [ 761 ] + }, + "AXI_02_ARREADY": { + "direction": "output", + "bits": [ 762 ] + }, + "AXI_02_AWREADY": { + "direction": "output", + "bits": [ 763 ] + }, + "AXI_02_BID": { + "direction": "output", + "bits": [ 764, 765, 766, 767, 768, 769 ] + }, + "AXI_02_BRESP": { + "direction": "output", + "bits": [ 770, 771 ] + }, + "AXI_02_BVALID": { + "direction": "output", + "bits": [ 772 ] + }, + "AXI_02_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 773, 774 ] + }, + "AXI_02_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 775 ] + }, + "AXI_02_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 776, 777, 778, 779, 780, 781, 782, 783 ] + }, + "AXI_02_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804 ] + }, + "AXI_02_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 805, 806, 807, 808, 809, 810, 811, 812 ] + }, + "AXI_02_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 813, 814 ] + }, + "AXI_02_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 815 ] + }, + "AXI_02_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 816 ] + }, + "AXI_02_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 817 ] + }, + "AXI_02_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 818 ] + }, + "AXI_02_MC_STATUS": { + "direction": "output", + "bits": [ 819, 820, 821, 822, 823, 824 ] + }, + "AXI_02_PHY_STATUS": { + "direction": "output", + "bits": [ 825, 826, 827, 828, 829, 830, 831, 832 ] + }, + "AXI_02_RDATA": { + "direction": "output", + "bits": [ 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088 ] + }, + "AXI_02_RDATA_PARITY": { + "direction": "output", + "bits": [ 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120 ] + }, + "AXI_02_RID": { + "direction": "output", + "bits": [ 1121, 1122, 1123, 1124, 1125, 1126 ] + }, + "AXI_02_RLAST": { + "direction": "output", + "bits": [ 1127 ] + }, + "AXI_02_RRESP": { + "direction": "output", + "bits": [ 1128, 1129 ] + }, + "AXI_02_RVALID": { + "direction": "output", + "bits": [ 1130 ] + }, + "AXI_02_WREADY": { + "direction": "output", + "bits": [ 1131 ] + }, + "AXI_03_ARREADY": { + "direction": "output", + "bits": [ 1132 ] + }, + "AXI_03_AWREADY": { + "direction": "output", + "bits": [ 1133 ] + }, + "AXI_03_BID": { + "direction": "output", + "bits": [ 1134, 1135, 1136, 1137, 1138, 1139 ] + }, + "AXI_03_BRESP": { + "direction": "output", + "bits": [ 1140, 1141 ] + }, + "AXI_03_BVALID": { + "direction": "output", + "bits": [ 1142 ] + }, + "AXI_03_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 1143, 1144 ] + }, + "AXI_03_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 1145 ] + }, + "AXI_03_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153 ] + }, + "AXI_03_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174 ] + }, + "AXI_03_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182 ] + }, + "AXI_03_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 1183, 1184 ] + }, + "AXI_03_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 1185 ] + }, + "AXI_03_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 1186 ] + }, + "AXI_03_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 1187 ] + }, + "AXI_03_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 1188 ] + }, + "AXI_03_RDATA": { + "direction": "output", + "bits": [ 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444 ] + }, + "AXI_03_RDATA_PARITY": { + "direction": "output", + "bits": [ 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476 ] + }, + "AXI_03_RID": { + "direction": "output", + "bits": [ 1477, 1478, 1479, 1480, 1481, 1482 ] + }, + "AXI_03_RLAST": { + "direction": "output", + "bits": [ 1483 ] + }, + "AXI_03_RRESP": { + "direction": "output", + "bits": [ 1484, 1485 ] + }, + "AXI_03_RVALID": { + "direction": "output", + "bits": [ 1486 ] + }, + "AXI_03_WREADY": { + "direction": "output", + "bits": [ 1487 ] + }, + "AXI_04_ARREADY": { + "direction": "output", + "bits": [ 1488 ] + }, + "AXI_04_AWREADY": { + "direction": "output", + "bits": [ 1489 ] + }, + "AXI_04_BID": { + "direction": "output", + "bits": [ 1490, 1491, 1492, 1493, 1494, 1495 ] + }, + "AXI_04_BRESP": { + "direction": "output", + "bits": [ 1496, 1497 ] + }, + "AXI_04_BVALID": { + "direction": "output", + "bits": [ 1498 ] + }, + "AXI_04_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 1499, 1500 ] + }, + "AXI_04_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 1501 ] + }, + "AXI_04_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509 ] + }, + "AXI_04_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530 ] + }, + "AXI_04_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538 ] + }, + "AXI_04_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 1539, 1540 ] + }, + "AXI_04_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 1541 ] + }, + "AXI_04_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 1542 ] + }, + "AXI_04_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 1543 ] + }, + "AXI_04_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 1544 ] + }, + "AXI_04_MC_STATUS": { + "direction": "output", + "bits": [ 1545, 1546, 1547, 1548, 1549, 1550 ] + }, + "AXI_04_PHY_STATUS": { + "direction": "output", + "bits": [ 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558 ] + }, + "AXI_04_RDATA": { + "direction": "output", + "bits": [ 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814 ] + }, + "AXI_04_RDATA_PARITY": { + "direction": "output", + "bits": [ 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846 ] + }, + "AXI_04_RID": { + "direction": "output", + "bits": [ 1847, 1848, 1849, 1850, 1851, 1852 ] + }, + "AXI_04_RLAST": { + "direction": "output", + "bits": [ 1853 ] + }, + "AXI_04_RRESP": { + "direction": "output", + "bits": [ 1854, 1855 ] + }, + "AXI_04_RVALID": { + "direction": "output", + "bits": [ 1856 ] + }, + "AXI_04_WREADY": { + "direction": "output", + "bits": [ 1857 ] + }, + "AXI_05_ARREADY": { + "direction": "output", + "bits": [ 1858 ] + }, + "AXI_05_AWREADY": { + "direction": "output", + "bits": [ 1859 ] + }, + "AXI_05_BID": { + "direction": "output", + "bits": [ 1860, 1861, 1862, 1863, 1864, 1865 ] + }, + "AXI_05_BRESP": { + "direction": "output", + "bits": [ 1866, 1867 ] + }, + "AXI_05_BVALID": { + "direction": "output", + "bits": [ 1868 ] + }, + "AXI_05_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 1869, 1870 ] + }, + "AXI_05_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 1871 ] + }, + "AXI_05_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879 ] + }, + "AXI_05_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900 ] + }, + "AXI_05_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908 ] + }, + "AXI_05_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 1909, 1910 ] + }, + "AXI_05_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 1911 ] + }, + "AXI_05_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 1912 ] + }, + "AXI_05_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 1913 ] + }, + "AXI_05_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 1914 ] + }, + "AXI_05_RDATA": { + "direction": "output", + "bits": [ 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170 ] + }, + "AXI_05_RDATA_PARITY": { + "direction": "output", + "bits": [ 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202 ] + }, + "AXI_05_RID": { + "direction": "output", + "bits": [ 2203, 2204, 2205, 2206, 2207, 2208 ] + }, + "AXI_05_RLAST": { + "direction": "output", + "bits": [ 2209 ] + }, + "AXI_05_RRESP": { + "direction": "output", + "bits": [ 2210, 2211 ] + }, + "AXI_05_RVALID": { + "direction": "output", + "bits": [ 2212 ] + }, + "AXI_05_WREADY": { + "direction": "output", + "bits": [ 2213 ] + }, + "AXI_06_ARREADY": { + "direction": "output", + "bits": [ 2214 ] + }, + "AXI_06_AWREADY": { + "direction": "output", + "bits": [ 2215 ] + }, + "AXI_06_BID": { + "direction": "output", + "bits": [ 2216, 2217, 2218, 2219, 2220, 2221 ] + }, + "AXI_06_BRESP": { + "direction": "output", + "bits": [ 2222, 2223 ] + }, + "AXI_06_BVALID": { + "direction": "output", + "bits": [ 2224 ] + }, + "AXI_06_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 2225, 2226 ] + }, + "AXI_06_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 2227 ] + }, + "AXI_06_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235 ] + }, + "AXI_06_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256 ] + }, + "AXI_06_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264 ] + }, + "AXI_06_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 2265, 2266 ] + }, + "AXI_06_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 2267 ] + }, + "AXI_06_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 2268 ] + }, + "AXI_06_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 2269 ] + }, + "AXI_06_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 2270 ] + }, + "AXI_06_MC_STATUS": { + "direction": "output", + "bits": [ 2271, 2272, 2273, 2274, 2275, 2276 ] + }, + "AXI_06_PHY_STATUS": { + "direction": "output", + "bits": [ 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284 ] + }, + "AXI_06_RDATA": { + "direction": "output", + "bits": [ 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540 ] + }, + "AXI_06_RDATA_PARITY": { + "direction": "output", + "bits": [ 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572 ] + }, + "AXI_06_RID": { + "direction": "output", + "bits": [ 2573, 2574, 2575, 2576, 2577, 2578 ] + }, + "AXI_06_RLAST": { + "direction": "output", + "bits": [ 2579 ] + }, + "AXI_06_RRESP": { + "direction": "output", + "bits": [ 2580, 2581 ] + }, + "AXI_06_RVALID": { + "direction": "output", + "bits": [ 2582 ] + }, + "AXI_06_WREADY": { + "direction": "output", + "bits": [ 2583 ] + }, + "AXI_07_ARREADY": { + "direction": "output", + "bits": [ 2584 ] + }, + "AXI_07_AWREADY": { + "direction": "output", + "bits": [ 2585 ] + }, + "AXI_07_BID": { + "direction": "output", + "bits": [ 2586, 2587, 2588, 2589, 2590, 2591 ] + }, + "AXI_07_BRESP": { + "direction": "output", + "bits": [ 2592, 2593 ] + }, + "AXI_07_BVALID": { + "direction": "output", + "bits": [ 2594 ] + }, + "AXI_07_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 2595, 2596 ] + }, + "AXI_07_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 2597 ] + }, + "AXI_07_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605 ] + }, + "AXI_07_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623, 2624, 2625, 2626 ] + }, + "AXI_07_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634 ] + }, + "AXI_07_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 2635, 2636 ] + }, + "AXI_07_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 2637 ] + }, + "AXI_07_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 2638 ] + }, + "AXI_07_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 2639 ] + }, + "AXI_07_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 2640 ] + }, + "AXI_07_RDATA": { + "direction": "output", + "bits": [ 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896 ] + }, + "AXI_07_RDATA_PARITY": { + "direction": "output", + "bits": [ 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928 ] + }, + "AXI_07_RID": { + "direction": "output", + "bits": [ 2929, 2930, 2931, 2932, 2933, 2934 ] + }, + "AXI_07_RLAST": { + "direction": "output", + "bits": [ 2935 ] + }, + "AXI_07_RRESP": { + "direction": "output", + "bits": [ 2936, 2937 ] + }, + "AXI_07_RVALID": { + "direction": "output", + "bits": [ 2938 ] + }, + "AXI_07_WREADY": { + "direction": "output", + "bits": [ 2939 ] + }, + "AXI_08_ARREADY": { + "direction": "output", + "bits": [ 2940 ] + }, + "AXI_08_AWREADY": { + "direction": "output", + "bits": [ 2941 ] + }, + "AXI_08_BID": { + "direction": "output", + "bits": [ 2942, 2943, 2944, 2945, 2946, 2947 ] + }, + "AXI_08_BRESP": { + "direction": "output", + "bits": [ 2948, 2949 ] + }, + "AXI_08_BVALID": { + "direction": "output", + "bits": [ 2950 ] + }, + "AXI_08_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 2951, 2952 ] + }, + "AXI_08_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 2953 ] + }, + "AXI_08_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961 ] + }, + "AXI_08_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982 ] + }, + "AXI_08_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990 ] + }, + "AXI_08_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 2991, 2992 ] + }, + "AXI_08_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 2993 ] + }, + "AXI_08_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 2994 ] + }, + "AXI_08_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 2995 ] + }, + "AXI_08_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 2996 ] + }, + "AXI_08_MC_STATUS": { + "direction": "output", + "bits": [ 2997, 2998, 2999, 3000, 3001, 3002 ] + }, + "AXI_08_PHY_STATUS": { + "direction": "output", + "bits": [ 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010 ] + }, + "AXI_08_RDATA": { + "direction": "output", + "bits": [ 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266 ] + }, + "AXI_08_RDATA_PARITY": { + "direction": "output", + "bits": [ 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298 ] + }, + "AXI_08_RID": { + "direction": "output", + "bits": [ 3299, 3300, 3301, 3302, 3303, 3304 ] + }, + "AXI_08_RLAST": { + "direction": "output", + "bits": [ 3305 ] + }, + "AXI_08_RRESP": { + "direction": "output", + "bits": [ 3306, 3307 ] + }, + "AXI_08_RVALID": { + "direction": "output", + "bits": [ 3308 ] + }, + "AXI_08_WREADY": { + "direction": "output", + "bits": [ 3309 ] + }, + "AXI_09_ARREADY": { + "direction": "output", + "bits": [ 3310 ] + }, + "AXI_09_AWREADY": { + "direction": "output", + "bits": [ 3311 ] + }, + "AXI_09_BID": { + "direction": "output", + "bits": [ 3312, 3313, 3314, 3315, 3316, 3317 ] + }, + "AXI_09_BRESP": { + "direction": "output", + "bits": [ 3318, 3319 ] + }, + "AXI_09_BVALID": { + "direction": "output", + "bits": [ 3320 ] + }, + "AXI_09_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 3321, 3322 ] + }, + "AXI_09_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 3323 ] + }, + "AXI_09_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331 ] + }, + "AXI_09_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352 ] + }, + "AXI_09_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360 ] + }, + "AXI_09_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 3361, 3362 ] + }, + "AXI_09_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 3363 ] + }, + "AXI_09_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 3364 ] + }, + "AXI_09_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 3365 ] + }, + "AXI_09_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 3366 ] + }, + "AXI_09_RDATA": { + "direction": "output", + "bits": [ 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622 ] + }, + "AXI_09_RDATA_PARITY": { + "direction": "output", + "bits": [ 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654 ] + }, + "AXI_09_RID": { + "direction": "output", + "bits": [ 3655, 3656, 3657, 3658, 3659, 3660 ] + }, + "AXI_09_RLAST": { + "direction": "output", + "bits": [ 3661 ] + }, + "AXI_09_RRESP": { + "direction": "output", + "bits": [ 3662, 3663 ] + }, + "AXI_09_RVALID": { + "direction": "output", + "bits": [ 3664 ] + }, + "AXI_09_WREADY": { + "direction": "output", + "bits": [ 3665 ] + }, + "AXI_10_ARREADY": { + "direction": "output", + "bits": [ 3666 ] + }, + "AXI_10_AWREADY": { + "direction": "output", + "bits": [ 3667 ] + }, + "AXI_10_BID": { + "direction": "output", + "bits": [ 3668, 3669, 3670, 3671, 3672, 3673 ] + }, + "AXI_10_BRESP": { + "direction": "output", + "bits": [ 3674, 3675 ] + }, + "AXI_10_BVALID": { + "direction": "output", + "bits": [ 3676 ] + }, + "AXI_10_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 3677, 3678 ] + }, + "AXI_10_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 3679 ] + }, + "AXI_10_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687 ] + }, + "AXI_10_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708 ] + }, + "AXI_10_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716 ] + }, + "AXI_10_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 3717, 3718 ] + }, + "AXI_10_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 3719 ] + }, + "AXI_10_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 3720 ] + }, + "AXI_10_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 3721 ] + }, + "AXI_10_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 3722 ] + }, + "AXI_10_MC_STATUS": { + "direction": "output", + "bits": [ 3723, 3724, 3725, 3726, 3727, 3728 ] + }, + "AXI_10_PHY_STATUS": { + "direction": "output", + "bits": [ 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736 ] + }, + "AXI_10_RDATA": { + "direction": "output", + "bits": [ 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992 ] + }, + "AXI_10_RDATA_PARITY": { + "direction": "output", + "bits": [ 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024 ] + }, + "AXI_10_RID": { + "direction": "output", + "bits": [ 4025, 4026, 4027, 4028, 4029, 4030 ] + }, + "AXI_10_RLAST": { + "direction": "output", + "bits": [ 4031 ] + }, + "AXI_10_RRESP": { + "direction": "output", + "bits": [ 4032, 4033 ] + }, + "AXI_10_RVALID": { + "direction": "output", + "bits": [ 4034 ] + }, + "AXI_10_WREADY": { + "direction": "output", + "bits": [ 4035 ] + }, + "AXI_11_ARREADY": { + "direction": "output", + "bits": [ 4036 ] + }, + "AXI_11_AWREADY": { + "direction": "output", + "bits": [ 4037 ] + }, + "AXI_11_BID": { + "direction": "output", + "bits": [ 4038, 4039, 4040, 4041, 4042, 4043 ] + }, + "AXI_11_BRESP": { + "direction": "output", + "bits": [ 4044, 4045 ] + }, + "AXI_11_BVALID": { + "direction": "output", + "bits": [ 4046 ] + }, + "AXI_11_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 4047, 4048 ] + }, + "AXI_11_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 4049 ] + }, + "AXI_11_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057 ] + }, + "AXI_11_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078 ] + }, + "AXI_11_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086 ] + }, + "AXI_11_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 4087, 4088 ] + }, + "AXI_11_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 4089 ] + }, + "AXI_11_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 4090 ] + }, + "AXI_11_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 4091 ] + }, + "AXI_11_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 4092 ] + }, + "AXI_11_RDATA": { + "direction": "output", + "bits": [ 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348 ] + }, + "AXI_11_RDATA_PARITY": { + "direction": "output", + "bits": [ 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380 ] + }, + "AXI_11_RID": { + "direction": "output", + "bits": [ 4381, 4382, 4383, 4384, 4385, 4386 ] + }, + "AXI_11_RLAST": { + "direction": "output", + "bits": [ 4387 ] + }, + "AXI_11_RRESP": { + "direction": "output", + "bits": [ 4388, 4389 ] + }, + "AXI_11_RVALID": { + "direction": "output", + "bits": [ 4390 ] + }, + "AXI_11_WREADY": { + "direction": "output", + "bits": [ 4391 ] + }, + "AXI_12_ARREADY": { + "direction": "output", + "bits": [ 4392 ] + }, + "AXI_12_AWREADY": { + "direction": "output", + "bits": [ 4393 ] + }, + "AXI_12_BID": { + "direction": "output", + "bits": [ 4394, 4395, 4396, 4397, 4398, 4399 ] + }, + "AXI_12_BRESP": { + "direction": "output", + "bits": [ 4400, 4401 ] + }, + "AXI_12_BVALID": { + "direction": "output", + "bits": [ 4402 ] + }, + "AXI_12_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 4403, 4404 ] + }, + "AXI_12_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 4405 ] + }, + "AXI_12_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413 ] + }, + "AXI_12_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434 ] + }, + "AXI_12_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442 ] + }, + "AXI_12_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 4443, 4444 ] + }, + "AXI_12_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 4445 ] + }, + "AXI_12_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 4446 ] + }, + "AXI_12_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 4447 ] + }, + "AXI_12_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 4448 ] + }, + "AXI_12_MC_STATUS": { + "direction": "output", + "bits": [ 4449, 4450, 4451, 4452, 4453, 4454 ] + }, + "AXI_12_PHY_STATUS": { + "direction": "output", + "bits": [ 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462 ] + }, + "AXI_12_RDATA": { + "direction": "output", + "bits": [ 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718 ] + }, + "AXI_12_RDATA_PARITY": { + "direction": "output", + "bits": [ 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750 ] + }, + "AXI_12_RID": { + "direction": "output", + "bits": [ 4751, 4752, 4753, 4754, 4755, 4756 ] + }, + "AXI_12_RLAST": { + "direction": "output", + "bits": [ 4757 ] + }, + "AXI_12_RRESP": { + "direction": "output", + "bits": [ 4758, 4759 ] + }, + "AXI_12_RVALID": { + "direction": "output", + "bits": [ 4760 ] + }, + "AXI_12_WREADY": { + "direction": "output", + "bits": [ 4761 ] + }, + "AXI_13_ARREADY": { + "direction": "output", + "bits": [ 4762 ] + }, + "AXI_13_AWREADY": { + "direction": "output", + "bits": [ 4763 ] + }, + "AXI_13_BID": { + "direction": "output", + "bits": [ 4764, 4765, 4766, 4767, 4768, 4769 ] + }, + "AXI_13_BRESP": { + "direction": "output", + "bits": [ 4770, 4771 ] + }, + "AXI_13_BVALID": { + "direction": "output", + "bits": [ 4772 ] + }, + "AXI_13_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 4773, 4774 ] + }, + "AXI_13_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 4775 ] + }, + "AXI_13_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783 ] + }, + "AXI_13_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804 ] + }, + "AXI_13_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812 ] + }, + "AXI_13_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 4813, 4814 ] + }, + "AXI_13_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 4815 ] + }, + "AXI_13_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 4816 ] + }, + "AXI_13_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 4817 ] + }, + "AXI_13_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 4818 ] + }, + "AXI_13_RDATA": { + "direction": "output", + "bits": [ 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074 ] + }, + "AXI_13_RDATA_PARITY": { + "direction": "output", + "bits": [ 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106 ] + }, + "AXI_13_RID": { + "direction": "output", + "bits": [ 5107, 5108, 5109, 5110, 5111, 5112 ] + }, + "AXI_13_RLAST": { + "direction": "output", + "bits": [ 5113 ] + }, + "AXI_13_RRESP": { + "direction": "output", + "bits": [ 5114, 5115 ] + }, + "AXI_13_RVALID": { + "direction": "output", + "bits": [ 5116 ] + }, + "AXI_13_WREADY": { + "direction": "output", + "bits": [ 5117 ] + }, + "AXI_14_ARREADY": { + "direction": "output", + "bits": [ 5118 ] + }, + "AXI_14_AWREADY": { + "direction": "output", + "bits": [ 5119 ] + }, + "AXI_14_BID": { + "direction": "output", + "bits": [ 5120, 5121, 5122, 5123, 5124, 5125 ] + }, + "AXI_14_BRESP": { + "direction": "output", + "bits": [ 5126, 5127 ] + }, + "AXI_14_BVALID": { + "direction": "output", + "bits": [ 5128 ] + }, + "AXI_14_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 5129, 5130 ] + }, + "AXI_14_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 5131 ] + }, + "AXI_14_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139 ] + }, + "AXI_14_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160 ] + }, + "AXI_14_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168 ] + }, + "AXI_14_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 5169, 5170 ] + }, + "AXI_14_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 5171 ] + }, + "AXI_14_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 5172 ] + }, + "AXI_14_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 5173 ] + }, + "AXI_14_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 5174 ] + }, + "AXI_14_MC_STATUS": { + "direction": "output", + "bits": [ 5175, 5176, 5177, 5178, 5179, 5180 ] + }, + "AXI_14_PHY_STATUS": { + "direction": "output", + "bits": [ 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188 ] + }, + "AXI_14_RDATA": { + "direction": "output", + "bits": [ 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394, 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444 ] + }, + "AXI_14_RDATA_PARITY": { + "direction": "output", + "bits": [ 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476 ] + }, + "AXI_14_RID": { + "direction": "output", + "bits": [ 5477, 5478, 5479, 5480, 5481, 5482 ] + }, + "AXI_14_RLAST": { + "direction": "output", + "bits": [ 5483 ] + }, + "AXI_14_RRESP": { + "direction": "output", + "bits": [ 5484, 5485 ] + }, + "AXI_14_RVALID": { + "direction": "output", + "bits": [ 5486 ] + }, + "AXI_14_WREADY": { + "direction": "output", + "bits": [ 5487 ] + }, + "AXI_15_ARREADY": { + "direction": "output", + "bits": [ 5488 ] + }, + "AXI_15_AWREADY": { + "direction": "output", + "bits": [ 5489 ] + }, + "AXI_15_BID": { + "direction": "output", + "bits": [ 5490, 5491, 5492, 5493, 5494, 5495 ] + }, + "AXI_15_BRESP": { + "direction": "output", + "bits": [ 5496, 5497 ] + }, + "AXI_15_BVALID": { + "direction": "output", + "bits": [ 5498 ] + }, + "AXI_15_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 5499, 5500 ] + }, + "AXI_15_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 5501 ] + }, + "AXI_15_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509 ] + }, + "AXI_15_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530 ] + }, + "AXI_15_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538 ] + }, + "AXI_15_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 5539, 5540 ] + }, + "AXI_15_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 5541 ] + }, + "AXI_15_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 5542 ] + }, + "AXI_15_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 5543 ] + }, + "AXI_15_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 5544 ] + }, + "AXI_15_RDATA": { + "direction": "output", + "bits": [ 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800 ] + }, + "AXI_15_RDATA_PARITY": { + "direction": "output", + "bits": [ 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820, 5821, 5822, 5823, 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832 ] + }, + "AXI_15_RID": { + "direction": "output", + "bits": [ 5833, 5834, 5835, 5836, 5837, 5838 ] + }, + "AXI_15_RLAST": { + "direction": "output", + "bits": [ 5839 ] + }, + "AXI_15_RRESP": { + "direction": "output", + "bits": [ 5840, 5841 ] + }, + "AXI_15_RVALID": { + "direction": "output", + "bits": [ 5842 ] + }, + "AXI_15_WREADY": { + "direction": "output", + "bits": [ 5843 ] + }, + "DRAM_0_STAT_CATTRIP": { + "direction": "output", + "bits": [ 5844 ] + }, + "DRAM_0_STAT_TEMP": { + "direction": "output", + "bits": [ 5845, 5846, 5847 ] + }, + "APB_0_PADDR": { + "direction": "input", + "bits": [ 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869 ] + }, + "APB_0_PCLK": { + "direction": "input", + "bits": [ 5870 ] + }, + "APB_0_PENABLE": { + "direction": "input", + "bits": [ 5871 ] + }, + "APB_0_PRESET_N": { + "direction": "input", + "bits": [ 5872 ] + }, + "APB_0_PSEL": { + "direction": "input", + "bits": [ 5873 ] + }, + "APB_0_PWDATA": { + "direction": "input", + "bits": [ 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905 ] + }, + "APB_0_PWRITE": { + "direction": "input", + "bits": [ 5906 ] + }, + "AXI_00_ACLK": { + "direction": "input", + "bits": [ 5907 ] + }, + "AXI_00_ARADDR": { + "direction": "input", + "bits": [ 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944 ] + }, + "AXI_00_ARBURST": { + "direction": "input", + "bits": [ 5945, 5946 ] + }, + "AXI_00_ARESET_N": { + "direction": "input", + "bits": [ 5947 ] + }, + "AXI_00_ARID": { + "direction": "input", + "bits": [ 5948, 5949, 5950, 5951, 5952, 5953 ] + }, + "AXI_00_ARLEN": { + "direction": "input", + "bits": [ 5954, 5955, 5956, 5957 ] + }, + "AXI_00_ARSIZE": { + "direction": "input", + "bits": [ 5958, 5959, 5960 ] + }, + "AXI_00_ARVALID": { + "direction": "input", + "bits": [ 5961 ] + }, + "AXI_00_AWADDR": { + "direction": "input", + "bits": [ 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983, 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994, 5995, 5996, 5997, 5998 ] + }, + "AXI_00_AWBURST": { + "direction": "input", + "bits": [ 5999, 6000 ] + }, + "AXI_00_AWID": { + "direction": "input", + "bits": [ 6001, 6002, 6003, 6004, 6005, 6006 ] + }, + "AXI_00_AWLEN": { + "direction": "input", + "bits": [ 6007, 6008, 6009, 6010 ] + }, + "AXI_00_AWSIZE": { + "direction": "input", + "bits": [ 6011, 6012, 6013 ] + }, + "AXI_00_AWVALID": { + "direction": "input", + "bits": [ 6014 ] + }, + "AXI_00_BREADY": { + "direction": "input", + "bits": [ 6015 ] + }, + "AXI_00_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 6016 ] + }, + "AXI_00_RREADY": { + "direction": "input", + "bits": [ 6017 ] + }, + "AXI_00_WDATA": { + "direction": "input", + "bits": [ 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027, 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082, 6083, 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152, 6153, 6154, 6155, 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273 ] + }, + "AXI_00_WDATA_PARITY": { + "direction": "input", + "bits": [ 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283, 6284, 6285, 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305 ] + }, + "AXI_00_WLAST": { + "direction": "input", + "bits": [ 6306 ] + }, + "AXI_00_WSTRB": { + "direction": "input", + "bits": [ 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338 ] + }, + "AXI_00_WVALID": { + "direction": "input", + "bits": [ 6339 ] + }, + "AXI_01_ACLK": { + "direction": "input", + "bits": [ 6340 ] + }, + "AXI_01_ARADDR": { + "direction": "input", + "bits": [ 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377 ] + }, + "AXI_01_ARBURST": { + "direction": "input", + "bits": [ 6378, 6379 ] + }, + "AXI_01_ARESET_N": { + "direction": "input", + "bits": [ 6380 ] + }, + "AXI_01_ARID": { + "direction": "input", + "bits": [ 6381, 6382, 6383, 6384, 6385, 6386 ] + }, + "AXI_01_ARLEN": { + "direction": "input", + "bits": [ 6387, 6388, 6389, 6390 ] + }, + "AXI_01_ARSIZE": { + "direction": "input", + "bits": [ 6391, 6392, 6393 ] + }, + "AXI_01_ARVALID": { + "direction": "input", + "bits": [ 6394 ] + }, + "AXI_01_AWADDR": { + "direction": "input", + "bits": [ 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411, 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431 ] + }, + "AXI_01_AWBURST": { + "direction": "input", + "bits": [ 6432, 6433 ] + }, + "AXI_01_AWID": { + "direction": "input", + "bits": [ 6434, 6435, 6436, 6437, 6438, 6439 ] + }, + "AXI_01_AWLEN": { + "direction": "input", + "bits": [ 6440, 6441, 6442, 6443 ] + }, + "AXI_01_AWSIZE": { + "direction": "input", + "bits": [ 6444, 6445, 6446 ] + }, + "AXI_01_AWVALID": { + "direction": "input", + "bits": [ 6447 ] + }, + "AXI_01_BREADY": { + "direction": "input", + "bits": [ 6448 ] + }, + "AXI_01_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 6449 ] + }, + "AXI_01_RREADY": { + "direction": "input", + "bits": [ 6450 ] + }, + "AXI_01_WDATA": { + "direction": "input", + "bits": [ 6451, 6452, 6453, 6454, 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478, 6479, 6480, 6481, 6482, 6483, 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539, 6540, 6541, 6542, 6543, 6544, 6545, 6546, 6547, 6548, 6549, 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560, 6561, 6562, 6563, 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581, 6582, 6583, 6584, 6585, 6586, 6587, 6588, 6589, 6590, 6591, 6592, 6593, 6594, 6595, 6596, 6597, 6598, 6599, 6600, 6601, 6602, 6603, 6604, 6605, 6606, 6607, 6608, 6609, 6610, 6611, 6612, 6613, 6614, 6615, 6616, 6617, 6618, 6619, 6620, 6621, 6622, 6623, 6624, 6625, 6626, 6627, 6628, 6629, 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638, 6639, 6640, 6641, 6642, 6643, 6644, 6645, 6646, 6647, 6648, 6649, 6650, 6651, 6652, 6653, 6654, 6655, 6656, 6657, 6658, 6659, 6660, 6661, 6662, 6663, 6664, 6665, 6666, 6667, 6668, 6669, 6670, 6671, 6672, 6673, 6674, 6675, 6676, 6677, 6678, 6679, 6680, 6681, 6682, 6683, 6684, 6685, 6686, 6687, 6688, 6689, 6690, 6691, 6692, 6693, 6694, 6695, 6696, 6697, 6698, 6699, 6700, 6701, 6702, 6703, 6704, 6705, 6706 ] + }, + "AXI_01_WDATA_PARITY": { + "direction": "input", + "bits": [ 6707, 6708, 6709, 6710, 6711, 6712, 6713, 6714, 6715, 6716, 6717, 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733, 6734, 6735, 6736, 6737, 6738 ] + }, + "AXI_01_WLAST": { + "direction": "input", + "bits": [ 6739 ] + }, + "AXI_01_WSTRB": { + "direction": "input", + "bits": [ 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752, 6753, 6754, 6755, 6756, 6757, 6758, 6759, 6760, 6761, 6762, 6763, 6764, 6765, 6766, 6767, 6768, 6769, 6770, 6771 ] + }, + "AXI_01_WVALID": { + "direction": "input", + "bits": [ 6772 ] + }, + "AXI_02_ACLK": { + "direction": "input", + "bits": [ 6773 ] + }, + "AXI_02_ARADDR": { + "direction": "input", + "bits": [ 6774, 6775, 6776, 6777, 6778, 6779, 6780, 6781, 6782, 6783, 6784, 6785, 6786, 6787, 6788, 6789, 6790, 6791, 6792, 6793, 6794, 6795, 6796, 6797, 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810 ] + }, + "AXI_02_ARBURST": { + "direction": "input", + "bits": [ 6811, 6812 ] + }, + "AXI_02_ARESET_N": { + "direction": "input", + "bits": [ 6813 ] + }, + "AXI_02_ARID": { + "direction": "input", + "bits": [ 6814, 6815, 6816, 6817, 6818, 6819 ] + }, + "AXI_02_ARLEN": { + "direction": "input", + "bits": [ 6820, 6821, 6822, 6823 ] + }, + "AXI_02_ARSIZE": { + "direction": "input", + "bits": [ 6824, 6825, 6826 ] + }, + "AXI_02_ARVALID": { + "direction": "input", + "bits": [ 6827 ] + }, + "AXI_02_AWADDR": { + "direction": "input", + "bits": [ 6828, 6829, 6830, 6831, 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849, 6850, 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864 ] + }, + "AXI_02_AWBURST": { + "direction": "input", + "bits": [ 6865, 6866 ] + }, + "AXI_02_AWID": { + "direction": "input", + "bits": [ 6867, 6868, 6869, 6870, 6871, 6872 ] + }, + "AXI_02_AWLEN": { + "direction": "input", + "bits": [ 6873, 6874, 6875, 6876 ] + }, + "AXI_02_AWSIZE": { + "direction": "input", + "bits": [ 6877, 6878, 6879 ] + }, + "AXI_02_AWVALID": { + "direction": "input", + "bits": [ 6880 ] + }, + "AXI_02_BREADY": { + "direction": "input", + "bits": [ 6881 ] + }, + "AXI_02_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 6882 ] + }, + "AXI_02_RREADY": { + "direction": "input", + "bits": [ 6883 ] + }, + "AXI_02_WDATA": { + "direction": "input", + "bits": [ 6884, 6885, 6886, 6887, 6888, 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906, 6907, 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925, 6926, 6927, 6928, 6929, 6930, 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942, 6943, 6944, 6945, 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962, 6963, 6964, 6965, 6966, 6967, 6968, 6969, 6970, 6971, 6972, 6973, 6974, 6975, 6976, 6977, 6978, 6979, 6980, 6981, 6982, 6983, 6984, 6985, 6986, 6987, 6988, 6989, 6990, 6991, 6992, 6993, 6994, 6995, 6996, 6997, 6998, 6999, 7000, 7001, 7002, 7003, 7004, 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012, 7013, 7014, 7015, 7016, 7017, 7018, 7019, 7020, 7021, 7022, 7023, 7024, 7025, 7026, 7027, 7028, 7029, 7030, 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052, 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060, 7061, 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080, 7081, 7082, 7083, 7084, 7085, 7086, 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094, 7095, 7096, 7097, 7098, 7099, 7100, 7101, 7102, 7103, 7104, 7105, 7106, 7107, 7108, 7109, 7110, 7111, 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139 ] + }, + "AXI_02_WDATA_PARITY": { + "direction": "input", + "bits": [ 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171 ] + }, + "AXI_02_WLAST": { + "direction": "input", + "bits": [ 7172 ] + }, + "AXI_02_WSTRB": { + "direction": "input", + "bits": [ 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204 ] + }, + "AXI_02_WVALID": { + "direction": "input", + "bits": [ 7205 ] + }, + "AXI_03_ACLK": { + "direction": "input", + "bits": [ 7206 ] + }, + "AXI_03_ARADDR": { + "direction": "input", + "bits": [ 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239, 7240, 7241, 7242, 7243 ] + }, + "AXI_03_ARBURST": { + "direction": "input", + "bits": [ 7244, 7245 ] + }, + "AXI_03_ARESET_N": { + "direction": "input", + "bits": [ 7246 ] + }, + "AXI_03_ARID": { + "direction": "input", + "bits": [ 7247, 7248, 7249, 7250, 7251, 7252 ] + }, + "AXI_03_ARLEN": { + "direction": "input", + "bits": [ 7253, 7254, 7255, 7256 ] + }, + "AXI_03_ARSIZE": { + "direction": "input", + "bits": [ 7257, 7258, 7259 ] + }, + "AXI_03_ARVALID": { + "direction": "input", + "bits": [ 7260 ] + }, + "AXI_03_AWADDR": { + "direction": "input", + "bits": [ 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286, 7287, 7288, 7289, 7290, 7291, 7292, 7293, 7294, 7295, 7296, 7297 ] + }, + "AXI_03_AWBURST": { + "direction": "input", + "bits": [ 7298, 7299 ] + }, + "AXI_03_AWID": { + "direction": "input", + "bits": [ 7300, 7301, 7302, 7303, 7304, 7305 ] + }, + "AXI_03_AWLEN": { + "direction": "input", + "bits": [ 7306, 7307, 7308, 7309 ] + }, + "AXI_03_AWSIZE": { + "direction": "input", + "bits": [ 7310, 7311, 7312 ] + }, + "AXI_03_AWVALID": { + "direction": "input", + "bits": [ 7313 ] + }, + "AXI_03_BREADY": { + "direction": "input", + "bits": [ 7314 ] + }, + "AXI_03_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 7315 ] + }, + "AXI_03_RREADY": { + "direction": "input", + "bits": [ 7316 ] + }, + "AXI_03_WDATA": { + "direction": "input", + "bits": [ 7317, 7318, 7319, 7320, 7321, 7322, 7323, 7324, 7325, 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342, 7343, 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351, 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359, 7360, 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372, 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388, 7389, 7390, 7391, 7392, 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400, 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408, 7409, 7410, 7411, 7412, 7413, 7414, 7415, 7416, 7417, 7418, 7419, 7420, 7421, 7422, 7423, 7424, 7425, 7426, 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458, 7459, 7460, 7461, 7462, 7463, 7464, 7465, 7466, 7467, 7468, 7469, 7470, 7471, 7472, 7473, 7474, 7475, 7476, 7477, 7478, 7479, 7480, 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510, 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540, 7541, 7542, 7543, 7544, 7545, 7546, 7547, 7548, 7549, 7550, 7551, 7552, 7553, 7554, 7555, 7556, 7557, 7558, 7559, 7560, 7561, 7562, 7563, 7564, 7565, 7566, 7567, 7568, 7569, 7570, 7571, 7572 ] + }, + "AXI_03_WDATA_PARITY": { + "direction": "input", + "bits": [ 7573, 7574, 7575, 7576, 7577, 7578, 7579, 7580, 7581, 7582, 7583, 7584, 7585, 7586, 7587, 7588, 7589, 7590, 7591, 7592, 7593, 7594, 7595, 7596, 7597, 7598, 7599, 7600, 7601, 7602, 7603, 7604 ] + }, + "AXI_03_WLAST": { + "direction": "input", + "bits": [ 7605 ] + }, + "AXI_03_WSTRB": { + "direction": "input", + "bits": [ 7606, 7607, 7608, 7609, 7610, 7611, 7612, 7613, 7614, 7615, 7616, 7617, 7618, 7619, 7620, 7621, 7622, 7623, 7624, 7625, 7626, 7627, 7628, 7629, 7630, 7631, 7632, 7633, 7634, 7635, 7636, 7637 ] + }, + "AXI_03_WVALID": { + "direction": "input", + "bits": [ 7638 ] + }, + "AXI_04_ACLK": { + "direction": "input", + "bits": [ 7639 ] + }, + "AXI_04_ARADDR": { + "direction": "input", + "bits": [ 7640, 7641, 7642, 7643, 7644, 7645, 7646, 7647, 7648, 7649, 7650, 7651, 7652, 7653, 7654, 7655, 7656, 7657, 7658, 7659, 7660, 7661, 7662, 7663, 7664, 7665, 7666, 7667, 7668, 7669, 7670, 7671, 7672, 7673, 7674, 7675, 7676 ] + }, + "AXI_04_ARBURST": { + "direction": "input", + "bits": [ 7677, 7678 ] + }, + "AXI_04_ARESET_N": { + "direction": "input", + "bits": [ 7679 ] + }, + "AXI_04_ARID": { + "direction": "input", + "bits": [ 7680, 7681, 7682, 7683, 7684, 7685 ] + }, + "AXI_04_ARLEN": { + "direction": "input", + "bits": [ 7686, 7687, 7688, 7689 ] + }, + "AXI_04_ARSIZE": { + "direction": "input", + "bits": [ 7690, 7691, 7692 ] + }, + "AXI_04_ARVALID": { + "direction": "input", + "bits": [ 7693 ] + }, + "AXI_04_AWADDR": { + "direction": "input", + "bits": [ 7694, 7695, 7696, 7697, 7698, 7699, 7700, 7701, 7702, 7703, 7704, 7705, 7706, 7707, 7708, 7709, 7710, 7711, 7712, 7713, 7714, 7715, 7716, 7717, 7718, 7719, 7720, 7721, 7722, 7723, 7724, 7725, 7726, 7727, 7728, 7729, 7730 ] + }, + "AXI_04_AWBURST": { + "direction": "input", + "bits": [ 7731, 7732 ] + }, + "AXI_04_AWID": { + "direction": "input", + "bits": [ 7733, 7734, 7735, 7736, 7737, 7738 ] + }, + "AXI_04_AWLEN": { + "direction": "input", + "bits": [ 7739, 7740, 7741, 7742 ] + }, + "AXI_04_AWSIZE": { + "direction": "input", + "bits": [ 7743, 7744, 7745 ] + }, + "AXI_04_AWVALID": { + "direction": "input", + "bits": [ 7746 ] + }, + "AXI_04_BREADY": { + "direction": "input", + "bits": [ 7747 ] + }, + "AXI_04_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 7748 ] + }, + "AXI_04_RREADY": { + "direction": "input", + "bits": [ 7749 ] + }, + "AXI_04_WDATA": { + "direction": "input", + "bits": [ 7750, 7751, 7752, 7753, 7754, 7755, 7756, 7757, 7758, 7759, 7760, 7761, 7762, 7763, 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 7828, 7829, 7830, 7831, 7832, 7833, 7834, 7835, 7836, 7837, 7838, 7839, 7840, 7841, 7842, 7843, 7844, 7845, 7846, 7847, 7848, 7849, 7850, 7851, 7852, 7853, 7854, 7855, 7856, 7857, 7858, 7859, 7860, 7861, 7862, 7863, 7864, 7865, 7866, 7867, 7868, 7869, 7870, 7871, 7872, 7873, 7874, 7875, 7876, 7877, 7878, 7879, 7880, 7881, 7882, 7883, 7884, 7885, 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 7898, 7899, 7900, 7901, 7902, 7903, 7904, 7905, 7906, 7907, 7908, 7909, 7910, 7911, 7912, 7913, 7914, 7915, 7916, 7917, 7918, 7919, 7920, 7921, 7922, 7923, 7924, 7925, 7926, 7927, 7928, 7929, 7930, 7931, 7932, 7933, 7934, 7935, 7936, 7937, 7938, 7939, 7940, 7941, 7942, 7943, 7944, 7945, 7946, 7947, 7948, 7949, 7950, 7951, 7952, 7953, 7954, 7955, 7956, 7957, 7958, 7959, 7960, 7961, 7962, 7963, 7964, 7965, 7966, 7967, 7968, 7969, 7970, 7971, 7972, 7973, 7974, 7975, 7976, 7977, 7978, 7979, 7980, 7981, 7982, 7983, 7984, 7985, 7986, 7987, 7988, 7989, 7990, 7991, 7992, 7993, 7994, 7995, 7996, 7997, 7998, 7999, 8000, 8001, 8002, 8003, 8004, 8005 ] + }, + "AXI_04_WDATA_PARITY": { + "direction": "input", + "bits": [ 8006, 8007, 8008, 8009, 8010, 8011, 8012, 8013, 8014, 8015, 8016, 8017, 8018, 8019, 8020, 8021, 8022, 8023, 8024, 8025, 8026, 8027, 8028, 8029, 8030, 8031, 8032, 8033, 8034, 8035, 8036, 8037 ] + }, + "AXI_04_WLAST": { + "direction": "input", + "bits": [ 8038 ] + }, + "AXI_04_WSTRB": { + "direction": "input", + "bits": [ 8039, 8040, 8041, 8042, 8043, 8044, 8045, 8046, 8047, 8048, 8049, 8050, 8051, 8052, 8053, 8054, 8055, 8056, 8057, 8058, 8059, 8060, 8061, 8062, 8063, 8064, 8065, 8066, 8067, 8068, 8069, 8070 ] + }, + "AXI_04_WVALID": { + "direction": "input", + "bits": [ 8071 ] + }, + "AXI_05_ACLK": { + "direction": "input", + "bits": [ 8072 ] + }, + "AXI_05_ARADDR": { + "direction": "input", + "bits": [ 8073, 8074, 8075, 8076, 8077, 8078, 8079, 8080, 8081, 8082, 8083, 8084, 8085, 8086, 8087, 8088, 8089, 8090, 8091, 8092, 8093, 8094, 8095, 8096, 8097, 8098, 8099, 8100, 8101, 8102, 8103, 8104, 8105, 8106, 8107, 8108, 8109 ] + }, + "AXI_05_ARBURST": { + "direction": "input", + "bits": [ 8110, 8111 ] + }, + "AXI_05_ARESET_N": { + "direction": "input", + "bits": [ 8112 ] + }, + "AXI_05_ARID": { + "direction": "input", + "bits": [ 8113, 8114, 8115, 8116, 8117, 8118 ] + }, + "AXI_05_ARLEN": { + "direction": "input", + "bits": [ 8119, 8120, 8121, 8122 ] + }, + "AXI_05_ARSIZE": { + "direction": "input", + "bits": [ 8123, 8124, 8125 ] + }, + "AXI_05_ARVALID": { + "direction": "input", + "bits": [ 8126 ] + }, + "AXI_05_AWADDR": { + "direction": "input", + "bits": [ 8127, 8128, 8129, 8130, 8131, 8132, 8133, 8134, 8135, 8136, 8137, 8138, 8139, 8140, 8141, 8142, 8143, 8144, 8145, 8146, 8147, 8148, 8149, 8150, 8151, 8152, 8153, 8154, 8155, 8156, 8157, 8158, 8159, 8160, 8161, 8162, 8163 ] + }, + "AXI_05_AWBURST": { + "direction": "input", + "bits": [ 8164, 8165 ] + }, + "AXI_05_AWID": { + "direction": "input", + "bits": [ 8166, 8167, 8168, 8169, 8170, 8171 ] + }, + "AXI_05_AWLEN": { + "direction": "input", + "bits": [ 8172, 8173, 8174, 8175 ] + }, + "AXI_05_AWSIZE": { + "direction": "input", + "bits": [ 8176, 8177, 8178 ] + }, + "AXI_05_AWVALID": { + "direction": "input", + "bits": [ 8179 ] + }, + "AXI_05_BREADY": { + "direction": "input", + "bits": [ 8180 ] + }, + "AXI_05_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 8181 ] + }, + "AXI_05_RREADY": { + "direction": "input", + "bits": [ 8182 ] + }, + "AXI_05_WDATA": { + "direction": "input", + "bits": [ 8183, 8184, 8185, 8186, 8187, 8188, 8189, 8190, 8191, 8192, 8193, 8194, 8195, 8196, 8197, 8198, 8199, 8200, 8201, 8202, 8203, 8204, 8205, 8206, 8207, 8208, 8209, 8210, 8211, 8212, 8213, 8214, 8215, 8216, 8217, 8218, 8219, 8220, 8221, 8222, 8223, 8224, 8225, 8226, 8227, 8228, 8229, 8230, 8231, 8232, 8233, 8234, 8235, 8236, 8237, 8238, 8239, 8240, 8241, 8242, 8243, 8244, 8245, 8246, 8247, 8248, 8249, 8250, 8251, 8252, 8253, 8254, 8255, 8256, 8257, 8258, 8259, 8260, 8261, 8262, 8263, 8264, 8265, 8266, 8267, 8268, 8269, 8270, 8271, 8272, 8273, 8274, 8275, 8276, 8277, 8278, 8279, 8280, 8281, 8282, 8283, 8284, 8285, 8286, 8287, 8288, 8289, 8290, 8291, 8292, 8293, 8294, 8295, 8296, 8297, 8298, 8299, 8300, 8301, 8302, 8303, 8304, 8305, 8306, 8307, 8308, 8309, 8310, 8311, 8312, 8313, 8314, 8315, 8316, 8317, 8318, 8319, 8320, 8321, 8322, 8323, 8324, 8325, 8326, 8327, 8328, 8329, 8330, 8331, 8332, 8333, 8334, 8335, 8336, 8337, 8338, 8339, 8340, 8341, 8342, 8343, 8344, 8345, 8346, 8347, 8348, 8349, 8350, 8351, 8352, 8353, 8354, 8355, 8356, 8357, 8358, 8359, 8360, 8361, 8362, 8363, 8364, 8365, 8366, 8367, 8368, 8369, 8370, 8371, 8372, 8373, 8374, 8375, 8376, 8377, 8378, 8379, 8380, 8381, 8382, 8383, 8384, 8385, 8386, 8387, 8388, 8389, 8390, 8391, 8392, 8393, 8394, 8395, 8396, 8397, 8398, 8399, 8400, 8401, 8402, 8403, 8404, 8405, 8406, 8407, 8408, 8409, 8410, 8411, 8412, 8413, 8414, 8415, 8416, 8417, 8418, 8419, 8420, 8421, 8422, 8423, 8424, 8425, 8426, 8427, 8428, 8429, 8430, 8431, 8432, 8433, 8434, 8435, 8436, 8437, 8438 ] + }, + "AXI_05_WDATA_PARITY": { + "direction": "input", + "bits": [ 8439, 8440, 8441, 8442, 8443, 8444, 8445, 8446, 8447, 8448, 8449, 8450, 8451, 8452, 8453, 8454, 8455, 8456, 8457, 8458, 8459, 8460, 8461, 8462, 8463, 8464, 8465, 8466, 8467, 8468, 8469, 8470 ] + }, + "AXI_05_WLAST": { + "direction": "input", + "bits": [ 8471 ] + }, + "AXI_05_WSTRB": { + "direction": "input", + "bits": [ 8472, 8473, 8474, 8475, 8476, 8477, 8478, 8479, 8480, 8481, 8482, 8483, 8484, 8485, 8486, 8487, 8488, 8489, 8490, 8491, 8492, 8493, 8494, 8495, 8496, 8497, 8498, 8499, 8500, 8501, 8502, 8503 ] + }, + "AXI_05_WVALID": { + "direction": "input", + "bits": [ 8504 ] + }, + "AXI_06_ACLK": { + "direction": "input", + "bits": [ 8505 ] + }, + "AXI_06_ARADDR": { + "direction": "input", + "bits": [ 8506, 8507, 8508, 8509, 8510, 8511, 8512, 8513, 8514, 8515, 8516, 8517, 8518, 8519, 8520, 8521, 8522, 8523, 8524, 8525, 8526, 8527, 8528, 8529, 8530, 8531, 8532, 8533, 8534, 8535, 8536, 8537, 8538, 8539, 8540, 8541, 8542 ] + }, + "AXI_06_ARBURST": { + "direction": "input", + "bits": [ 8543, 8544 ] + }, + "AXI_06_ARESET_N": { + "direction": "input", + "bits": [ 8545 ] + }, + "AXI_06_ARID": { + "direction": "input", + "bits": [ 8546, 8547, 8548, 8549, 8550, 8551 ] + }, + "AXI_06_ARLEN": { + "direction": "input", + "bits": [ 8552, 8553, 8554, 8555 ] + }, + "AXI_06_ARSIZE": { + "direction": "input", + "bits": [ 8556, 8557, 8558 ] + }, + "AXI_06_ARVALID": { + "direction": "input", + "bits": [ 8559 ] + }, + "AXI_06_AWADDR": { + "direction": "input", + "bits": [ 8560, 8561, 8562, 8563, 8564, 8565, 8566, 8567, 8568, 8569, 8570, 8571, 8572, 8573, 8574, 8575, 8576, 8577, 8578, 8579, 8580, 8581, 8582, 8583, 8584, 8585, 8586, 8587, 8588, 8589, 8590, 8591, 8592, 8593, 8594, 8595, 8596 ] + }, + "AXI_06_AWBURST": { + "direction": "input", + "bits": [ 8597, 8598 ] + }, + "AXI_06_AWID": { + "direction": "input", + "bits": [ 8599, 8600, 8601, 8602, 8603, 8604 ] + }, + "AXI_06_AWLEN": { + "direction": "input", + "bits": [ 8605, 8606, 8607, 8608 ] + }, + "AXI_06_AWSIZE": { + "direction": "input", + "bits": [ 8609, 8610, 8611 ] + }, + "AXI_06_AWVALID": { + "direction": "input", + "bits": [ 8612 ] + }, + "AXI_06_BREADY": { + "direction": "input", + "bits": [ 8613 ] + }, + "AXI_06_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 8614 ] + }, + "AXI_06_RREADY": { + "direction": "input", + "bits": [ 8615 ] + }, + "AXI_06_WDATA": { + "direction": "input", + "bits": [ 8616, 8617, 8618, 8619, 8620, 8621, 8622, 8623, 8624, 8625, 8626, 8627, 8628, 8629, 8630, 8631, 8632, 8633, 8634, 8635, 8636, 8637, 8638, 8639, 8640, 8641, 8642, 8643, 8644, 8645, 8646, 8647, 8648, 8649, 8650, 8651, 8652, 8653, 8654, 8655, 8656, 8657, 8658, 8659, 8660, 8661, 8662, 8663, 8664, 8665, 8666, 8667, 8668, 8669, 8670, 8671, 8672, 8673, 8674, 8675, 8676, 8677, 8678, 8679, 8680, 8681, 8682, 8683, 8684, 8685, 8686, 8687, 8688, 8689, 8690, 8691, 8692, 8693, 8694, 8695, 8696, 8697, 8698, 8699, 8700, 8701, 8702, 8703, 8704, 8705, 8706, 8707, 8708, 8709, 8710, 8711, 8712, 8713, 8714, 8715, 8716, 8717, 8718, 8719, 8720, 8721, 8722, 8723, 8724, 8725, 8726, 8727, 8728, 8729, 8730, 8731, 8732, 8733, 8734, 8735, 8736, 8737, 8738, 8739, 8740, 8741, 8742, 8743, 8744, 8745, 8746, 8747, 8748, 8749, 8750, 8751, 8752, 8753, 8754, 8755, 8756, 8757, 8758, 8759, 8760, 8761, 8762, 8763, 8764, 8765, 8766, 8767, 8768, 8769, 8770, 8771, 8772, 8773, 8774, 8775, 8776, 8777, 8778, 8779, 8780, 8781, 8782, 8783, 8784, 8785, 8786, 8787, 8788, 8789, 8790, 8791, 8792, 8793, 8794, 8795, 8796, 8797, 8798, 8799, 8800, 8801, 8802, 8803, 8804, 8805, 8806, 8807, 8808, 8809, 8810, 8811, 8812, 8813, 8814, 8815, 8816, 8817, 8818, 8819, 8820, 8821, 8822, 8823, 8824, 8825, 8826, 8827, 8828, 8829, 8830, 8831, 8832, 8833, 8834, 8835, 8836, 8837, 8838, 8839, 8840, 8841, 8842, 8843, 8844, 8845, 8846, 8847, 8848, 8849, 8850, 8851, 8852, 8853, 8854, 8855, 8856, 8857, 8858, 8859, 8860, 8861, 8862, 8863, 8864, 8865, 8866, 8867, 8868, 8869, 8870, 8871 ] + }, + "AXI_06_WDATA_PARITY": { + "direction": "input", + "bits": [ 8872, 8873, 8874, 8875, 8876, 8877, 8878, 8879, 8880, 8881, 8882, 8883, 8884, 8885, 8886, 8887, 8888, 8889, 8890, 8891, 8892, 8893, 8894, 8895, 8896, 8897, 8898, 8899, 8900, 8901, 8902, 8903 ] + }, + "AXI_06_WLAST": { + "direction": "input", + "bits": [ 8904 ] + }, + "AXI_06_WSTRB": { + "direction": "input", + "bits": [ 8905, 8906, 8907, 8908, 8909, 8910, 8911, 8912, 8913, 8914, 8915, 8916, 8917, 8918, 8919, 8920, 8921, 8922, 8923, 8924, 8925, 8926, 8927, 8928, 8929, 8930, 8931, 8932, 8933, 8934, 8935, 8936 ] + }, + "AXI_06_WVALID": { + "direction": "input", + "bits": [ 8937 ] + }, + "AXI_07_ACLK": { + "direction": "input", + "bits": [ 8938 ] + }, + "AXI_07_ARADDR": { + "direction": "input", + "bits": [ 8939, 8940, 8941, 8942, 8943, 8944, 8945, 8946, 8947, 8948, 8949, 8950, 8951, 8952, 8953, 8954, 8955, 8956, 8957, 8958, 8959, 8960, 8961, 8962, 8963, 8964, 8965, 8966, 8967, 8968, 8969, 8970, 8971, 8972, 8973, 8974, 8975 ] + }, + "AXI_07_ARBURST": { + "direction": "input", + "bits": [ 8976, 8977 ] + }, + "AXI_07_ARESET_N": { + "direction": "input", + "bits": [ 8978 ] + }, + "AXI_07_ARID": { + "direction": "input", + "bits": [ 8979, 8980, 8981, 8982, 8983, 8984 ] + }, + "AXI_07_ARLEN": { + "direction": "input", + "bits": [ 8985, 8986, 8987, 8988 ] + }, + "AXI_07_ARSIZE": { + "direction": "input", + "bits": [ 8989, 8990, 8991 ] + }, + "AXI_07_ARVALID": { + "direction": "input", + "bits": [ 8992 ] + }, + "AXI_07_AWADDR": { + "direction": "input", + "bits": [ 8993, 8994, 8995, 8996, 8997, 8998, 8999, 9000, 9001, 9002, 9003, 9004, 9005, 9006, 9007, 9008, 9009, 9010, 9011, 9012, 9013, 9014, 9015, 9016, 9017, 9018, 9019, 9020, 9021, 9022, 9023, 9024, 9025, 9026, 9027, 9028, 9029 ] + }, + "AXI_07_AWBURST": { + "direction": "input", + "bits": [ 9030, 9031 ] + }, + "AXI_07_AWID": { + "direction": "input", + "bits": [ 9032, 9033, 9034, 9035, 9036, 9037 ] + }, + "AXI_07_AWLEN": { + "direction": "input", + "bits": [ 9038, 9039, 9040, 9041 ] + }, + "AXI_07_AWSIZE": { + "direction": "input", + "bits": [ 9042, 9043, 9044 ] + }, + "AXI_07_AWVALID": { + "direction": "input", + "bits": [ 9045 ] + }, + "AXI_07_BREADY": { + "direction": "input", + "bits": [ 9046 ] + }, + "AXI_07_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 9047 ] + }, + "AXI_07_RREADY": { + "direction": "input", + "bits": [ 9048 ] + }, + "AXI_07_WDATA": { + "direction": "input", + "bits": [ 9049, 9050, 9051, 9052, 9053, 9054, 9055, 9056, 9057, 9058, 9059, 9060, 9061, 9062, 9063, 9064, 9065, 9066, 9067, 9068, 9069, 9070, 9071, 9072, 9073, 9074, 9075, 9076, 9077, 9078, 9079, 9080, 9081, 9082, 9083, 9084, 9085, 9086, 9087, 9088, 9089, 9090, 9091, 9092, 9093, 9094, 9095, 9096, 9097, 9098, 9099, 9100, 9101, 9102, 9103, 9104, 9105, 9106, 9107, 9108, 9109, 9110, 9111, 9112, 9113, 9114, 9115, 9116, 9117, 9118, 9119, 9120, 9121, 9122, 9123, 9124, 9125, 9126, 9127, 9128, 9129, 9130, 9131, 9132, 9133, 9134, 9135, 9136, 9137, 9138, 9139, 9140, 9141, 9142, 9143, 9144, 9145, 9146, 9147, 9148, 9149, 9150, 9151, 9152, 9153, 9154, 9155, 9156, 9157, 9158, 9159, 9160, 9161, 9162, 9163, 9164, 9165, 9166, 9167, 9168, 9169, 9170, 9171, 9172, 9173, 9174, 9175, 9176, 9177, 9178, 9179, 9180, 9181, 9182, 9183, 9184, 9185, 9186, 9187, 9188, 9189, 9190, 9191, 9192, 9193, 9194, 9195, 9196, 9197, 9198, 9199, 9200, 9201, 9202, 9203, 9204, 9205, 9206, 9207, 9208, 9209, 9210, 9211, 9212, 9213, 9214, 9215, 9216, 9217, 9218, 9219, 9220, 9221, 9222, 9223, 9224, 9225, 9226, 9227, 9228, 9229, 9230, 9231, 9232, 9233, 9234, 9235, 9236, 9237, 9238, 9239, 9240, 9241, 9242, 9243, 9244, 9245, 9246, 9247, 9248, 9249, 9250, 9251, 9252, 9253, 9254, 9255, 9256, 9257, 9258, 9259, 9260, 9261, 9262, 9263, 9264, 9265, 9266, 9267, 9268, 9269, 9270, 9271, 9272, 9273, 9274, 9275, 9276, 9277, 9278, 9279, 9280, 9281, 9282, 9283, 9284, 9285, 9286, 9287, 9288, 9289, 9290, 9291, 9292, 9293, 9294, 9295, 9296, 9297, 9298, 9299, 9300, 9301, 9302, 9303, 9304 ] + }, + "AXI_07_WDATA_PARITY": { + "direction": "input", + "bits": [ 9305, 9306, 9307, 9308, 9309, 9310, 9311, 9312, 9313, 9314, 9315, 9316, 9317, 9318, 9319, 9320, 9321, 9322, 9323, 9324, 9325, 9326, 9327, 9328, 9329, 9330, 9331, 9332, 9333, 9334, 9335, 9336 ] + }, + "AXI_07_WLAST": { + "direction": "input", + "bits": [ 9337 ] + }, + "AXI_07_WSTRB": { + "direction": "input", + "bits": [ 9338, 9339, 9340, 9341, 9342, 9343, 9344, 9345, 9346, 9347, 9348, 9349, 9350, 9351, 9352, 9353, 9354, 9355, 9356, 9357, 9358, 9359, 9360, 9361, 9362, 9363, 9364, 9365, 9366, 9367, 9368, 9369 ] + }, + "AXI_07_WVALID": { + "direction": "input", + "bits": [ 9370 ] + }, + "AXI_08_ACLK": { + "direction": "input", + "bits": [ 9371 ] + }, + "AXI_08_ARADDR": { + "direction": "input", + "bits": [ 9372, 9373, 9374, 9375, 9376, 9377, 9378, 9379, 9380, 9381, 9382, 9383, 9384, 9385, 9386, 9387, 9388, 9389, 9390, 9391, 9392, 9393, 9394, 9395, 9396, 9397, 9398, 9399, 9400, 9401, 9402, 9403, 9404, 9405, 9406, 9407, 9408 ] + }, + "AXI_08_ARBURST": { + "direction": "input", + "bits": [ 9409, 9410 ] + }, + "AXI_08_ARESET_N": { + "direction": "input", + "bits": [ 9411 ] + }, + "AXI_08_ARID": { + "direction": "input", + "bits": [ 9412, 9413, 9414, 9415, 9416, 9417 ] + }, + "AXI_08_ARLEN": { + "direction": "input", + "bits": [ 9418, 9419, 9420, 9421 ] + }, + "AXI_08_ARSIZE": { + "direction": "input", + "bits": [ 9422, 9423, 9424 ] + }, + "AXI_08_ARVALID": { + "direction": "input", + "bits": [ 9425 ] + }, + "AXI_08_AWADDR": { + "direction": "input", + "bits": [ 9426, 9427, 9428, 9429, 9430, 9431, 9432, 9433, 9434, 9435, 9436, 9437, 9438, 9439, 9440, 9441, 9442, 9443, 9444, 9445, 9446, 9447, 9448, 9449, 9450, 9451, 9452, 9453, 9454, 9455, 9456, 9457, 9458, 9459, 9460, 9461, 9462 ] + }, + "AXI_08_AWBURST": { + "direction": "input", + "bits": [ 9463, 9464 ] + }, + "AXI_08_AWID": { + "direction": "input", + "bits": [ 9465, 9466, 9467, 9468, 9469, 9470 ] + }, + "AXI_08_AWLEN": { + "direction": "input", + "bits": [ 9471, 9472, 9473, 9474 ] + }, + "AXI_08_AWSIZE": { + "direction": "input", + "bits": [ 9475, 9476, 9477 ] + }, + "AXI_08_AWVALID": { + "direction": "input", + "bits": [ 9478 ] + }, + "AXI_08_BREADY": { + "direction": "input", + "bits": [ 9479 ] + }, + "AXI_08_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 9480 ] + }, + "AXI_08_RREADY": { + "direction": "input", + "bits": [ 9481 ] + }, + "AXI_08_WDATA": { + "direction": "input", + "bits": [ 9482, 9483, 9484, 9485, 9486, 9487, 9488, 9489, 9490, 9491, 9492, 9493, 9494, 9495, 9496, 9497, 9498, 9499, 9500, 9501, 9502, 9503, 9504, 9505, 9506, 9507, 9508, 9509, 9510, 9511, 9512, 9513, 9514, 9515, 9516, 9517, 9518, 9519, 9520, 9521, 9522, 9523, 9524, 9525, 9526, 9527, 9528, 9529, 9530, 9531, 9532, 9533, 9534, 9535, 9536, 9537, 9538, 9539, 9540, 9541, 9542, 9543, 9544, 9545, 9546, 9547, 9548, 9549, 9550, 9551, 9552, 9553, 9554, 9555, 9556, 9557, 9558, 9559, 9560, 9561, 9562, 9563, 9564, 9565, 9566, 9567, 9568, 9569, 9570, 9571, 9572, 9573, 9574, 9575, 9576, 9577, 9578, 9579, 9580, 9581, 9582, 9583, 9584, 9585, 9586, 9587, 9588, 9589, 9590, 9591, 9592, 9593, 9594, 9595, 9596, 9597, 9598, 9599, 9600, 9601, 9602, 9603, 9604, 9605, 9606, 9607, 9608, 9609, 9610, 9611, 9612, 9613, 9614, 9615, 9616, 9617, 9618, 9619, 9620, 9621, 9622, 9623, 9624, 9625, 9626, 9627, 9628, 9629, 9630, 9631, 9632, 9633, 9634, 9635, 9636, 9637, 9638, 9639, 9640, 9641, 9642, 9643, 9644, 9645, 9646, 9647, 9648, 9649, 9650, 9651, 9652, 9653, 9654, 9655, 9656, 9657, 9658, 9659, 9660, 9661, 9662, 9663, 9664, 9665, 9666, 9667, 9668, 9669, 9670, 9671, 9672, 9673, 9674, 9675, 9676, 9677, 9678, 9679, 9680, 9681, 9682, 9683, 9684, 9685, 9686, 9687, 9688, 9689, 9690, 9691, 9692, 9693, 9694, 9695, 9696, 9697, 9698, 9699, 9700, 9701, 9702, 9703, 9704, 9705, 9706, 9707, 9708, 9709, 9710, 9711, 9712, 9713, 9714, 9715, 9716, 9717, 9718, 9719, 9720, 9721, 9722, 9723, 9724, 9725, 9726, 9727, 9728, 9729, 9730, 9731, 9732, 9733, 9734, 9735, 9736, 9737 ] + }, + "AXI_08_WDATA_PARITY": { + "direction": "input", + "bits": [ 9738, 9739, 9740, 9741, 9742, 9743, 9744, 9745, 9746, 9747, 9748, 9749, 9750, 9751, 9752, 9753, 9754, 9755, 9756, 9757, 9758, 9759, 9760, 9761, 9762, 9763, 9764, 9765, 9766, 9767, 9768, 9769 ] + }, + "AXI_08_WLAST": { + "direction": "input", + "bits": [ 9770 ] + }, + "AXI_08_WSTRB": { + "direction": "input", + "bits": [ 9771, 9772, 9773, 9774, 9775, 9776, 9777, 9778, 9779, 9780, 9781, 9782, 9783, 9784, 9785, 9786, 9787, 9788, 9789, 9790, 9791, 9792, 9793, 9794, 9795, 9796, 9797, 9798, 9799, 9800, 9801, 9802 ] + }, + "AXI_08_WVALID": { + "direction": "input", + "bits": [ 9803 ] + }, + "AXI_09_ACLK": { + "direction": "input", + "bits": [ 9804 ] + }, + "AXI_09_ARADDR": { + "direction": "input", + "bits": [ 9805, 9806, 9807, 9808, 9809, 9810, 9811, 9812, 9813, 9814, 9815, 9816, 9817, 9818, 9819, 9820, 9821, 9822, 9823, 9824, 9825, 9826, 9827, 9828, 9829, 9830, 9831, 9832, 9833, 9834, 9835, 9836, 9837, 9838, 9839, 9840, 9841 ] + }, + "AXI_09_ARBURST": { + "direction": "input", + "bits": [ 9842, 9843 ] + }, + "AXI_09_ARESET_N": { + "direction": "input", + "bits": [ 9844 ] + }, + "AXI_09_ARID": { + "direction": "input", + "bits": [ 9845, 9846, 9847, 9848, 9849, 9850 ] + }, + "AXI_09_ARLEN": { + "direction": "input", + "bits": [ 9851, 9852, 9853, 9854 ] + }, + "AXI_09_ARSIZE": { + "direction": "input", + "bits": [ 9855, 9856, 9857 ] + }, + "AXI_09_ARVALID": { + "direction": "input", + "bits": [ 9858 ] + }, + "AXI_09_AWADDR": { + "direction": "input", + "bits": [ 9859, 9860, 9861, 9862, 9863, 9864, 9865, 9866, 9867, 9868, 9869, 9870, 9871, 9872, 9873, 9874, 9875, 9876, 9877, 9878, 9879, 9880, 9881, 9882, 9883, 9884, 9885, 9886, 9887, 9888, 9889, 9890, 9891, 9892, 9893, 9894, 9895 ] + }, + "AXI_09_AWBURST": { + "direction": "input", + "bits": [ 9896, 9897 ] + }, + "AXI_09_AWID": { + "direction": "input", + "bits": [ 9898, 9899, 9900, 9901, 9902, 9903 ] + }, + "AXI_09_AWLEN": { + "direction": "input", + "bits": [ 9904, 9905, 9906, 9907 ] + }, + "AXI_09_AWSIZE": { + "direction": "input", + "bits": [ 9908, 9909, 9910 ] + }, + "AXI_09_AWVALID": { + "direction": "input", + "bits": [ 9911 ] + }, + "AXI_09_BREADY": { + "direction": "input", + "bits": [ 9912 ] + }, + "AXI_09_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 9913 ] + }, + "AXI_09_RREADY": { + "direction": "input", + "bits": [ 9914 ] + }, + "AXI_09_WDATA": { + "direction": "input", + "bits": [ 9915, 9916, 9917, 9918, 9919, 9920, 9921, 9922, 9923, 9924, 9925, 9926, 9927, 9928, 9929, 9930, 9931, 9932, 9933, 9934, 9935, 9936, 9937, 9938, 9939, 9940, 9941, 9942, 9943, 9944, 9945, 9946, 9947, 9948, 9949, 9950, 9951, 9952, 9953, 9954, 9955, 9956, 9957, 9958, 9959, 9960, 9961, 9962, 9963, 9964, 9965, 9966, 9967, 9968, 9969, 9970, 9971, 9972, 9973, 9974, 9975, 9976, 9977, 9978, 9979, 9980, 9981, 9982, 9983, 9984, 9985, 9986, 9987, 9988, 9989, 9990, 9991, 9992, 9993, 9994, 9995, 9996, 9997, 9998, 9999, 10000, 10001, 10002, 10003, 10004, 10005, 10006, 10007, 10008, 10009, 10010, 10011, 10012, 10013, 10014, 10015, 10016, 10017, 10018, 10019, 10020, 10021, 10022, 10023, 10024, 10025, 10026, 10027, 10028, 10029, 10030, 10031, 10032, 10033, 10034, 10035, 10036, 10037, 10038, 10039, 10040, 10041, 10042, 10043, 10044, 10045, 10046, 10047, 10048, 10049, 10050, 10051, 10052, 10053, 10054, 10055, 10056, 10057, 10058, 10059, 10060, 10061, 10062, 10063, 10064, 10065, 10066, 10067, 10068, 10069, 10070, 10071, 10072, 10073, 10074, 10075, 10076, 10077, 10078, 10079, 10080, 10081, 10082, 10083, 10084, 10085, 10086, 10087, 10088, 10089, 10090, 10091, 10092, 10093, 10094, 10095, 10096, 10097, 10098, 10099, 10100, 10101, 10102, 10103, 10104, 10105, 10106, 10107, 10108, 10109, 10110, 10111, 10112, 10113, 10114, 10115, 10116, 10117, 10118, 10119, 10120, 10121, 10122, 10123, 10124, 10125, 10126, 10127, 10128, 10129, 10130, 10131, 10132, 10133, 10134, 10135, 10136, 10137, 10138, 10139, 10140, 10141, 10142, 10143, 10144, 10145, 10146, 10147, 10148, 10149, 10150, 10151, 10152, 10153, 10154, 10155, 10156, 10157, 10158, 10159, 10160, 10161, 10162, 10163, 10164, 10165, 10166, 10167, 10168, 10169, 10170 ] + }, + "AXI_09_WDATA_PARITY": { + "direction": "input", + "bits": [ 10171, 10172, 10173, 10174, 10175, 10176, 10177, 10178, 10179, 10180, 10181, 10182, 10183, 10184, 10185, 10186, 10187, 10188, 10189, 10190, 10191, 10192, 10193, 10194, 10195, 10196, 10197, 10198, 10199, 10200, 10201, 10202 ] + }, + "AXI_09_WLAST": { + "direction": "input", + "bits": [ 10203 ] + }, + "AXI_09_WSTRB": { + "direction": "input", + "bits": [ 10204, 10205, 10206, 10207, 10208, 10209, 10210, 10211, 10212, 10213, 10214, 10215, 10216, 10217, 10218, 10219, 10220, 10221, 10222, 10223, 10224, 10225, 10226, 10227, 10228, 10229, 10230, 10231, 10232, 10233, 10234, 10235 ] + }, + "AXI_09_WVALID": { + "direction": "input", + "bits": [ 10236 ] + }, + "AXI_10_ACLK": { + "direction": "input", + "bits": [ 10237 ] + }, + "AXI_10_ARADDR": { + "direction": "input", + "bits": [ 10238, 10239, 10240, 10241, 10242, 10243, 10244, 10245, 10246, 10247, 10248, 10249, 10250, 10251, 10252, 10253, 10254, 10255, 10256, 10257, 10258, 10259, 10260, 10261, 10262, 10263, 10264, 10265, 10266, 10267, 10268, 10269, 10270, 10271, 10272, 10273, 10274 ] + }, + "AXI_10_ARBURST": { + "direction": "input", + "bits": [ 10275, 10276 ] + }, + "AXI_10_ARESET_N": { + "direction": "input", + "bits": [ 10277 ] + }, + "AXI_10_ARID": { + "direction": "input", + "bits": [ 10278, 10279, 10280, 10281, 10282, 10283 ] + }, + "AXI_10_ARLEN": { + "direction": "input", + "bits": [ 10284, 10285, 10286, 10287 ] + }, + "AXI_10_ARSIZE": { + "direction": "input", + "bits": [ 10288, 10289, 10290 ] + }, + "AXI_10_ARVALID": { + "direction": "input", + "bits": [ 10291 ] + }, + "AXI_10_AWADDR": { + "direction": "input", + "bits": [ 10292, 10293, 10294, 10295, 10296, 10297, 10298, 10299, 10300, 10301, 10302, 10303, 10304, 10305, 10306, 10307, 10308, 10309, 10310, 10311, 10312, 10313, 10314, 10315, 10316, 10317, 10318, 10319, 10320, 10321, 10322, 10323, 10324, 10325, 10326, 10327, 10328 ] + }, + "AXI_10_AWBURST": { + "direction": "input", + "bits": [ 10329, 10330 ] + }, + "AXI_10_AWID": { + "direction": "input", + "bits": [ 10331, 10332, 10333, 10334, 10335, 10336 ] + }, + "AXI_10_AWLEN": { + "direction": "input", + "bits": [ 10337, 10338, 10339, 10340 ] + }, + "AXI_10_AWSIZE": { + "direction": "input", + "bits": [ 10341, 10342, 10343 ] + }, + "AXI_10_AWVALID": { + "direction": "input", + "bits": [ 10344 ] + }, + "AXI_10_BREADY": { + "direction": "input", + "bits": [ 10345 ] + }, + "AXI_10_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 10346 ] + }, + "AXI_10_RREADY": { + "direction": "input", + "bits": [ 10347 ] + }, + "AXI_10_WDATA": { + "direction": "input", + "bits": [ 10348, 10349, 10350, 10351, 10352, 10353, 10354, 10355, 10356, 10357, 10358, 10359, 10360, 10361, 10362, 10363, 10364, 10365, 10366, 10367, 10368, 10369, 10370, 10371, 10372, 10373, 10374, 10375, 10376, 10377, 10378, 10379, 10380, 10381, 10382, 10383, 10384, 10385, 10386, 10387, 10388, 10389, 10390, 10391, 10392, 10393, 10394, 10395, 10396, 10397, 10398, 10399, 10400, 10401, 10402, 10403, 10404, 10405, 10406, 10407, 10408, 10409, 10410, 10411, 10412, 10413, 10414, 10415, 10416, 10417, 10418, 10419, 10420, 10421, 10422, 10423, 10424, 10425, 10426, 10427, 10428, 10429, 10430, 10431, 10432, 10433, 10434, 10435, 10436, 10437, 10438, 10439, 10440, 10441, 10442, 10443, 10444, 10445, 10446, 10447, 10448, 10449, 10450, 10451, 10452, 10453, 10454, 10455, 10456, 10457, 10458, 10459, 10460, 10461, 10462, 10463, 10464, 10465, 10466, 10467, 10468, 10469, 10470, 10471, 10472, 10473, 10474, 10475, 10476, 10477, 10478, 10479, 10480, 10481, 10482, 10483, 10484, 10485, 10486, 10487, 10488, 10489, 10490, 10491, 10492, 10493, 10494, 10495, 10496, 10497, 10498, 10499, 10500, 10501, 10502, 10503, 10504, 10505, 10506, 10507, 10508, 10509, 10510, 10511, 10512, 10513, 10514, 10515, 10516, 10517, 10518, 10519, 10520, 10521, 10522, 10523, 10524, 10525, 10526, 10527, 10528, 10529, 10530, 10531, 10532, 10533, 10534, 10535, 10536, 10537, 10538, 10539, 10540, 10541, 10542, 10543, 10544, 10545, 10546, 10547, 10548, 10549, 10550, 10551, 10552, 10553, 10554, 10555, 10556, 10557, 10558, 10559, 10560, 10561, 10562, 10563, 10564, 10565, 10566, 10567, 10568, 10569, 10570, 10571, 10572, 10573, 10574, 10575, 10576, 10577, 10578, 10579, 10580, 10581, 10582, 10583, 10584, 10585, 10586, 10587, 10588, 10589, 10590, 10591, 10592, 10593, 10594, 10595, 10596, 10597, 10598, 10599, 10600, 10601, 10602, 10603 ] + }, + "AXI_10_WDATA_PARITY": { + "direction": "input", + "bits": [ 10604, 10605, 10606, 10607, 10608, 10609, 10610, 10611, 10612, 10613, 10614, 10615, 10616, 10617, 10618, 10619, 10620, 10621, 10622, 10623, 10624, 10625, 10626, 10627, 10628, 10629, 10630, 10631, 10632, 10633, 10634, 10635 ] + }, + "AXI_10_WLAST": { + "direction": "input", + "bits": [ 10636 ] + }, + "AXI_10_WSTRB": { + "direction": "input", + "bits": [ 10637, 10638, 10639, 10640, 10641, 10642, 10643, 10644, 10645, 10646, 10647, 10648, 10649, 10650, 10651, 10652, 10653, 10654, 10655, 10656, 10657, 10658, 10659, 10660, 10661, 10662, 10663, 10664, 10665, 10666, 10667, 10668 ] + }, + "AXI_10_WVALID": { + "direction": "input", + "bits": [ 10669 ] + }, + "AXI_11_ACLK": { + "direction": "input", + "bits": [ 10670 ] + }, + "AXI_11_ARADDR": { + "direction": "input", + "bits": [ 10671, 10672, 10673, 10674, 10675, 10676, 10677, 10678, 10679, 10680, 10681, 10682, 10683, 10684, 10685, 10686, 10687, 10688, 10689, 10690, 10691, 10692, 10693, 10694, 10695, 10696, 10697, 10698, 10699, 10700, 10701, 10702, 10703, 10704, 10705, 10706, 10707 ] + }, + "AXI_11_ARBURST": { + "direction": "input", + "bits": [ 10708, 10709 ] + }, + "AXI_11_ARESET_N": { + "direction": "input", + "bits": [ 10710 ] + }, + "AXI_11_ARID": { + "direction": "input", + "bits": [ 10711, 10712, 10713, 10714, 10715, 10716 ] + }, + "AXI_11_ARLEN": { + "direction": "input", + "bits": [ 10717, 10718, 10719, 10720 ] + }, + "AXI_11_ARSIZE": { + "direction": "input", + "bits": [ 10721, 10722, 10723 ] + }, + "AXI_11_ARVALID": { + "direction": "input", + "bits": [ 10724 ] + }, + "AXI_11_AWADDR": { + "direction": "input", + "bits": [ 10725, 10726, 10727, 10728, 10729, 10730, 10731, 10732, 10733, 10734, 10735, 10736, 10737, 10738, 10739, 10740, 10741, 10742, 10743, 10744, 10745, 10746, 10747, 10748, 10749, 10750, 10751, 10752, 10753, 10754, 10755, 10756, 10757, 10758, 10759, 10760, 10761 ] + }, + "AXI_11_AWBURST": { + "direction": "input", + "bits": [ 10762, 10763 ] + }, + "AXI_11_AWID": { + "direction": "input", + "bits": [ 10764, 10765, 10766, 10767, 10768, 10769 ] + }, + "AXI_11_AWLEN": { + "direction": "input", + "bits": [ 10770, 10771, 10772, 10773 ] + }, + "AXI_11_AWSIZE": { + "direction": "input", + "bits": [ 10774, 10775, 10776 ] + }, + "AXI_11_AWVALID": { + "direction": "input", + "bits": [ 10777 ] + }, + "AXI_11_BREADY": { + "direction": "input", + "bits": [ 10778 ] + }, + "AXI_11_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 10779 ] + }, + "AXI_11_RREADY": { + "direction": "input", + "bits": [ 10780 ] + }, + "AXI_11_WDATA": { + "direction": "input", + "bits": [ 10781, 10782, 10783, 10784, 10785, 10786, 10787, 10788, 10789, 10790, 10791, 10792, 10793, 10794, 10795, 10796, 10797, 10798, 10799, 10800, 10801, 10802, 10803, 10804, 10805, 10806, 10807, 10808, 10809, 10810, 10811, 10812, 10813, 10814, 10815, 10816, 10817, 10818, 10819, 10820, 10821, 10822, 10823, 10824, 10825, 10826, 10827, 10828, 10829, 10830, 10831, 10832, 10833, 10834, 10835, 10836, 10837, 10838, 10839, 10840, 10841, 10842, 10843, 10844, 10845, 10846, 10847, 10848, 10849, 10850, 10851, 10852, 10853, 10854, 10855, 10856, 10857, 10858, 10859, 10860, 10861, 10862, 10863, 10864, 10865, 10866, 10867, 10868, 10869, 10870, 10871, 10872, 10873, 10874, 10875, 10876, 10877, 10878, 10879, 10880, 10881, 10882, 10883, 10884, 10885, 10886, 10887, 10888, 10889, 10890, 10891, 10892, 10893, 10894, 10895, 10896, 10897, 10898, 10899, 10900, 10901, 10902, 10903, 10904, 10905, 10906, 10907, 10908, 10909, 10910, 10911, 10912, 10913, 10914, 10915, 10916, 10917, 10918, 10919, 10920, 10921, 10922, 10923, 10924, 10925, 10926, 10927, 10928, 10929, 10930, 10931, 10932, 10933, 10934, 10935, 10936, 10937, 10938, 10939, 10940, 10941, 10942, 10943, 10944, 10945, 10946, 10947, 10948, 10949, 10950, 10951, 10952, 10953, 10954, 10955, 10956, 10957, 10958, 10959, 10960, 10961, 10962, 10963, 10964, 10965, 10966, 10967, 10968, 10969, 10970, 10971, 10972, 10973, 10974, 10975, 10976, 10977, 10978, 10979, 10980, 10981, 10982, 10983, 10984, 10985, 10986, 10987, 10988, 10989, 10990, 10991, 10992, 10993, 10994, 10995, 10996, 10997, 10998, 10999, 11000, 11001, 11002, 11003, 11004, 11005, 11006, 11007, 11008, 11009, 11010, 11011, 11012, 11013, 11014, 11015, 11016, 11017, 11018, 11019, 11020, 11021, 11022, 11023, 11024, 11025, 11026, 11027, 11028, 11029, 11030, 11031, 11032, 11033, 11034, 11035, 11036 ] + }, + "AXI_11_WDATA_PARITY": { + "direction": "input", + "bits": [ 11037, 11038, 11039, 11040, 11041, 11042, 11043, 11044, 11045, 11046, 11047, 11048, 11049, 11050, 11051, 11052, 11053, 11054, 11055, 11056, 11057, 11058, 11059, 11060, 11061, 11062, 11063, 11064, 11065, 11066, 11067, 11068 ] + }, + "AXI_11_WLAST": { + "direction": "input", + "bits": [ 11069 ] + }, + "AXI_11_WSTRB": { + "direction": "input", + "bits": [ 11070, 11071, 11072, 11073, 11074, 11075, 11076, 11077, 11078, 11079, 11080, 11081, 11082, 11083, 11084, 11085, 11086, 11087, 11088, 11089, 11090, 11091, 11092, 11093, 11094, 11095, 11096, 11097, 11098, 11099, 11100, 11101 ] + }, + "AXI_11_WVALID": { + "direction": "input", + "bits": [ 11102 ] + }, + "AXI_12_ACLK": { + "direction": "input", + "bits": [ 11103 ] + }, + "AXI_12_ARADDR": { + "direction": "input", + "bits": [ 11104, 11105, 11106, 11107, 11108, 11109, 11110, 11111, 11112, 11113, 11114, 11115, 11116, 11117, 11118, 11119, 11120, 11121, 11122, 11123, 11124, 11125, 11126, 11127, 11128, 11129, 11130, 11131, 11132, 11133, 11134, 11135, 11136, 11137, 11138, 11139, 11140 ] + }, + "AXI_12_ARBURST": { + "direction": "input", + "bits": [ 11141, 11142 ] + }, + "AXI_12_ARESET_N": { + "direction": "input", + "bits": [ 11143 ] + }, + "AXI_12_ARID": { + "direction": "input", + "bits": [ 11144, 11145, 11146, 11147, 11148, 11149 ] + }, + "AXI_12_ARLEN": { + "direction": "input", + "bits": [ 11150, 11151, 11152, 11153 ] + }, + "AXI_12_ARSIZE": { + "direction": "input", + "bits": [ 11154, 11155, 11156 ] + }, + "AXI_12_ARVALID": { + "direction": "input", + "bits": [ 11157 ] + }, + "AXI_12_AWADDR": { + "direction": "input", + "bits": [ 11158, 11159, 11160, 11161, 11162, 11163, 11164, 11165, 11166, 11167, 11168, 11169, 11170, 11171, 11172, 11173, 11174, 11175, 11176, 11177, 11178, 11179, 11180, 11181, 11182, 11183, 11184, 11185, 11186, 11187, 11188, 11189, 11190, 11191, 11192, 11193, 11194 ] + }, + "AXI_12_AWBURST": { + "direction": "input", + "bits": [ 11195, 11196 ] + }, + "AXI_12_AWID": { + "direction": "input", + "bits": [ 11197, 11198, 11199, 11200, 11201, 11202 ] + }, + "AXI_12_AWLEN": { + "direction": "input", + "bits": [ 11203, 11204, 11205, 11206 ] + }, + "AXI_12_AWSIZE": { + "direction": "input", + "bits": [ 11207, 11208, 11209 ] + }, + "AXI_12_AWVALID": { + "direction": "input", + "bits": [ 11210 ] + }, + "AXI_12_BREADY": { + "direction": "input", + "bits": [ 11211 ] + }, + "AXI_12_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 11212 ] + }, + "AXI_12_RREADY": { + "direction": "input", + "bits": [ 11213 ] + }, + "AXI_12_WDATA": { + "direction": "input", + "bits": [ 11214, 11215, 11216, 11217, 11218, 11219, 11220, 11221, 11222, 11223, 11224, 11225, 11226, 11227, 11228, 11229, 11230, 11231, 11232, 11233, 11234, 11235, 11236, 11237, 11238, 11239, 11240, 11241, 11242, 11243, 11244, 11245, 11246, 11247, 11248, 11249, 11250, 11251, 11252, 11253, 11254, 11255, 11256, 11257, 11258, 11259, 11260, 11261, 11262, 11263, 11264, 11265, 11266, 11267, 11268, 11269, 11270, 11271, 11272, 11273, 11274, 11275, 11276, 11277, 11278, 11279, 11280, 11281, 11282, 11283, 11284, 11285, 11286, 11287, 11288, 11289, 11290, 11291, 11292, 11293, 11294, 11295, 11296, 11297, 11298, 11299, 11300, 11301, 11302, 11303, 11304, 11305, 11306, 11307, 11308, 11309, 11310, 11311, 11312, 11313, 11314, 11315, 11316, 11317, 11318, 11319, 11320, 11321, 11322, 11323, 11324, 11325, 11326, 11327, 11328, 11329, 11330, 11331, 11332, 11333, 11334, 11335, 11336, 11337, 11338, 11339, 11340, 11341, 11342, 11343, 11344, 11345, 11346, 11347, 11348, 11349, 11350, 11351, 11352, 11353, 11354, 11355, 11356, 11357, 11358, 11359, 11360, 11361, 11362, 11363, 11364, 11365, 11366, 11367, 11368, 11369, 11370, 11371, 11372, 11373, 11374, 11375, 11376, 11377, 11378, 11379, 11380, 11381, 11382, 11383, 11384, 11385, 11386, 11387, 11388, 11389, 11390, 11391, 11392, 11393, 11394, 11395, 11396, 11397, 11398, 11399, 11400, 11401, 11402, 11403, 11404, 11405, 11406, 11407, 11408, 11409, 11410, 11411, 11412, 11413, 11414, 11415, 11416, 11417, 11418, 11419, 11420, 11421, 11422, 11423, 11424, 11425, 11426, 11427, 11428, 11429, 11430, 11431, 11432, 11433, 11434, 11435, 11436, 11437, 11438, 11439, 11440, 11441, 11442, 11443, 11444, 11445, 11446, 11447, 11448, 11449, 11450, 11451, 11452, 11453, 11454, 11455, 11456, 11457, 11458, 11459, 11460, 11461, 11462, 11463, 11464, 11465, 11466, 11467, 11468, 11469 ] + }, + "AXI_12_WDATA_PARITY": { + "direction": "input", + "bits": [ 11470, 11471, 11472, 11473, 11474, 11475, 11476, 11477, 11478, 11479, 11480, 11481, 11482, 11483, 11484, 11485, 11486, 11487, 11488, 11489, 11490, 11491, 11492, 11493, 11494, 11495, 11496, 11497, 11498, 11499, 11500, 11501 ] + }, + "AXI_12_WLAST": { + "direction": "input", + "bits": [ 11502 ] + }, + "AXI_12_WSTRB": { + "direction": "input", + "bits": [ 11503, 11504, 11505, 11506, 11507, 11508, 11509, 11510, 11511, 11512, 11513, 11514, 11515, 11516, 11517, 11518, 11519, 11520, 11521, 11522, 11523, 11524, 11525, 11526, 11527, 11528, 11529, 11530, 11531, 11532, 11533, 11534 ] + }, + "AXI_12_WVALID": { + "direction": "input", + "bits": [ 11535 ] + }, + "AXI_13_ACLK": { + "direction": "input", + "bits": [ 11536 ] + }, + "AXI_13_ARADDR": { + "direction": "input", + "bits": [ 11537, 11538, 11539, 11540, 11541, 11542, 11543, 11544, 11545, 11546, 11547, 11548, 11549, 11550, 11551, 11552, 11553, 11554, 11555, 11556, 11557, 11558, 11559, 11560, 11561, 11562, 11563, 11564, 11565, 11566, 11567, 11568, 11569, 11570, 11571, 11572, 11573 ] + }, + "AXI_13_ARBURST": { + "direction": "input", + "bits": [ 11574, 11575 ] + }, + "AXI_13_ARESET_N": { + "direction": "input", + "bits": [ 11576 ] + }, + "AXI_13_ARID": { + "direction": "input", + "bits": [ 11577, 11578, 11579, 11580, 11581, 11582 ] + }, + "AXI_13_ARLEN": { + "direction": "input", + "bits": [ 11583, 11584, 11585, 11586 ] + }, + "AXI_13_ARSIZE": { + "direction": "input", + "bits": [ 11587, 11588, 11589 ] + }, + "AXI_13_ARVALID": { + "direction": "input", + "bits": [ 11590 ] + }, + "AXI_13_AWADDR": { + "direction": "input", + "bits": [ 11591, 11592, 11593, 11594, 11595, 11596, 11597, 11598, 11599, 11600, 11601, 11602, 11603, 11604, 11605, 11606, 11607, 11608, 11609, 11610, 11611, 11612, 11613, 11614, 11615, 11616, 11617, 11618, 11619, 11620, 11621, 11622, 11623, 11624, 11625, 11626, 11627 ] + }, + "AXI_13_AWBURST": { + "direction": "input", + "bits": [ 11628, 11629 ] + }, + "AXI_13_AWID": { + "direction": "input", + "bits": [ 11630, 11631, 11632, 11633, 11634, 11635 ] + }, + "AXI_13_AWLEN": { + "direction": "input", + "bits": [ 11636, 11637, 11638, 11639 ] + }, + "AXI_13_AWSIZE": { + "direction": "input", + "bits": [ 11640, 11641, 11642 ] + }, + "AXI_13_AWVALID": { + "direction": "input", + "bits": [ 11643 ] + }, + "AXI_13_BREADY": { + "direction": "input", + "bits": [ 11644 ] + }, + "AXI_13_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 11645 ] + }, + "AXI_13_RREADY": { + "direction": "input", + "bits": [ 11646 ] + }, + "AXI_13_WDATA": { + "direction": "input", + "bits": [ 11647, 11648, 11649, 11650, 11651, 11652, 11653, 11654, 11655, 11656, 11657, 11658, 11659, 11660, 11661, 11662, 11663, 11664, 11665, 11666, 11667, 11668, 11669, 11670, 11671, 11672, 11673, 11674, 11675, 11676, 11677, 11678, 11679, 11680, 11681, 11682, 11683, 11684, 11685, 11686, 11687, 11688, 11689, 11690, 11691, 11692, 11693, 11694, 11695, 11696, 11697, 11698, 11699, 11700, 11701, 11702, 11703, 11704, 11705, 11706, 11707, 11708, 11709, 11710, 11711, 11712, 11713, 11714, 11715, 11716, 11717, 11718, 11719, 11720, 11721, 11722, 11723, 11724, 11725, 11726, 11727, 11728, 11729, 11730, 11731, 11732, 11733, 11734, 11735, 11736, 11737, 11738, 11739, 11740, 11741, 11742, 11743, 11744, 11745, 11746, 11747, 11748, 11749, 11750, 11751, 11752, 11753, 11754, 11755, 11756, 11757, 11758, 11759, 11760, 11761, 11762, 11763, 11764, 11765, 11766, 11767, 11768, 11769, 11770, 11771, 11772, 11773, 11774, 11775, 11776, 11777, 11778, 11779, 11780, 11781, 11782, 11783, 11784, 11785, 11786, 11787, 11788, 11789, 11790, 11791, 11792, 11793, 11794, 11795, 11796, 11797, 11798, 11799, 11800, 11801, 11802, 11803, 11804, 11805, 11806, 11807, 11808, 11809, 11810, 11811, 11812, 11813, 11814, 11815, 11816, 11817, 11818, 11819, 11820, 11821, 11822, 11823, 11824, 11825, 11826, 11827, 11828, 11829, 11830, 11831, 11832, 11833, 11834, 11835, 11836, 11837, 11838, 11839, 11840, 11841, 11842, 11843, 11844, 11845, 11846, 11847, 11848, 11849, 11850, 11851, 11852, 11853, 11854, 11855, 11856, 11857, 11858, 11859, 11860, 11861, 11862, 11863, 11864, 11865, 11866, 11867, 11868, 11869, 11870, 11871, 11872, 11873, 11874, 11875, 11876, 11877, 11878, 11879, 11880, 11881, 11882, 11883, 11884, 11885, 11886, 11887, 11888, 11889, 11890, 11891, 11892, 11893, 11894, 11895, 11896, 11897, 11898, 11899, 11900, 11901, 11902 ] + }, + "AXI_13_WDATA_PARITY": { + "direction": "input", + "bits": [ 11903, 11904, 11905, 11906, 11907, 11908, 11909, 11910, 11911, 11912, 11913, 11914, 11915, 11916, 11917, 11918, 11919, 11920, 11921, 11922, 11923, 11924, 11925, 11926, 11927, 11928, 11929, 11930, 11931, 11932, 11933, 11934 ] + }, + "AXI_13_WLAST": { + "direction": "input", + "bits": [ 11935 ] + }, + "AXI_13_WSTRB": { + "direction": "input", + "bits": [ 11936, 11937, 11938, 11939, 11940, 11941, 11942, 11943, 11944, 11945, 11946, 11947, 11948, 11949, 11950, 11951, 11952, 11953, 11954, 11955, 11956, 11957, 11958, 11959, 11960, 11961, 11962, 11963, 11964, 11965, 11966, 11967 ] + }, + "AXI_13_WVALID": { + "direction": "input", + "bits": [ 11968 ] + }, + "AXI_14_ACLK": { + "direction": "input", + "bits": [ 11969 ] + }, + "AXI_14_ARADDR": { + "direction": "input", + "bits": [ 11970, 11971, 11972, 11973, 11974, 11975, 11976, 11977, 11978, 11979, 11980, 11981, 11982, 11983, 11984, 11985, 11986, 11987, 11988, 11989, 11990, 11991, 11992, 11993, 11994, 11995, 11996, 11997, 11998, 11999, 12000, 12001, 12002, 12003, 12004, 12005, 12006 ] + }, + "AXI_14_ARBURST": { + "direction": "input", + "bits": [ 12007, 12008 ] + }, + "AXI_14_ARESET_N": { + "direction": "input", + "bits": [ 12009 ] + }, + "AXI_14_ARID": { + "direction": "input", + "bits": [ 12010, 12011, 12012, 12013, 12014, 12015 ] + }, + "AXI_14_ARLEN": { + "direction": "input", + "bits": [ 12016, 12017, 12018, 12019 ] + }, + "AXI_14_ARSIZE": { + "direction": "input", + "bits": [ 12020, 12021, 12022 ] + }, + "AXI_14_ARVALID": { + "direction": "input", + "bits": [ 12023 ] + }, + "AXI_14_AWADDR": { + "direction": "input", + "bits": [ 12024, 12025, 12026, 12027, 12028, 12029, 12030, 12031, 12032, 12033, 12034, 12035, 12036, 12037, 12038, 12039, 12040, 12041, 12042, 12043, 12044, 12045, 12046, 12047, 12048, 12049, 12050, 12051, 12052, 12053, 12054, 12055, 12056, 12057, 12058, 12059, 12060 ] + }, + "AXI_14_AWBURST": { + "direction": "input", + "bits": [ 12061, 12062 ] + }, + "AXI_14_AWID": { + "direction": "input", + "bits": [ 12063, 12064, 12065, 12066, 12067, 12068 ] + }, + "AXI_14_AWLEN": { + "direction": "input", + "bits": [ 12069, 12070, 12071, 12072 ] + }, + "AXI_14_AWSIZE": { + "direction": "input", + "bits": [ 12073, 12074, 12075 ] + }, + "AXI_14_AWVALID": { + "direction": "input", + "bits": [ 12076 ] + }, + "AXI_14_BREADY": { + "direction": "input", + "bits": [ 12077 ] + }, + "AXI_14_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 12078 ] + }, + "AXI_14_RREADY": { + "direction": "input", + "bits": [ 12079 ] + }, + "AXI_14_WDATA": { + "direction": "input", + "bits": [ 12080, 12081, 12082, 12083, 12084, 12085, 12086, 12087, 12088, 12089, 12090, 12091, 12092, 12093, 12094, 12095, 12096, 12097, 12098, 12099, 12100, 12101, 12102, 12103, 12104, 12105, 12106, 12107, 12108, 12109, 12110, 12111, 12112, 12113, 12114, 12115, 12116, 12117, 12118, 12119, 12120, 12121, 12122, 12123, 12124, 12125, 12126, 12127, 12128, 12129, 12130, 12131, 12132, 12133, 12134, 12135, 12136, 12137, 12138, 12139, 12140, 12141, 12142, 12143, 12144, 12145, 12146, 12147, 12148, 12149, 12150, 12151, 12152, 12153, 12154, 12155, 12156, 12157, 12158, 12159, 12160, 12161, 12162, 12163, 12164, 12165, 12166, 12167, 12168, 12169, 12170, 12171, 12172, 12173, 12174, 12175, 12176, 12177, 12178, 12179, 12180, 12181, 12182, 12183, 12184, 12185, 12186, 12187, 12188, 12189, 12190, 12191, 12192, 12193, 12194, 12195, 12196, 12197, 12198, 12199, 12200, 12201, 12202, 12203, 12204, 12205, 12206, 12207, 12208, 12209, 12210, 12211, 12212, 12213, 12214, 12215, 12216, 12217, 12218, 12219, 12220, 12221, 12222, 12223, 12224, 12225, 12226, 12227, 12228, 12229, 12230, 12231, 12232, 12233, 12234, 12235, 12236, 12237, 12238, 12239, 12240, 12241, 12242, 12243, 12244, 12245, 12246, 12247, 12248, 12249, 12250, 12251, 12252, 12253, 12254, 12255, 12256, 12257, 12258, 12259, 12260, 12261, 12262, 12263, 12264, 12265, 12266, 12267, 12268, 12269, 12270, 12271, 12272, 12273, 12274, 12275, 12276, 12277, 12278, 12279, 12280, 12281, 12282, 12283, 12284, 12285, 12286, 12287, 12288, 12289, 12290, 12291, 12292, 12293, 12294, 12295, 12296, 12297, 12298, 12299, 12300, 12301, 12302, 12303, 12304, 12305, 12306, 12307, 12308, 12309, 12310, 12311, 12312, 12313, 12314, 12315, 12316, 12317, 12318, 12319, 12320, 12321, 12322, 12323, 12324, 12325, 12326, 12327, 12328, 12329, 12330, 12331, 12332, 12333, 12334, 12335 ] + }, + "AXI_14_WDATA_PARITY": { + "direction": "input", + "bits": [ 12336, 12337, 12338, 12339, 12340, 12341, 12342, 12343, 12344, 12345, 12346, 12347, 12348, 12349, 12350, 12351, 12352, 12353, 12354, 12355, 12356, 12357, 12358, 12359, 12360, 12361, 12362, 12363, 12364, 12365, 12366, 12367 ] + }, + "AXI_14_WLAST": { + "direction": "input", + "bits": [ 12368 ] + }, + "AXI_14_WSTRB": { + "direction": "input", + "bits": [ 12369, 12370, 12371, 12372, 12373, 12374, 12375, 12376, 12377, 12378, 12379, 12380, 12381, 12382, 12383, 12384, 12385, 12386, 12387, 12388, 12389, 12390, 12391, 12392, 12393, 12394, 12395, 12396, 12397, 12398, 12399, 12400 ] + }, + "AXI_14_WVALID": { + "direction": "input", + "bits": [ 12401 ] + }, + "AXI_15_ACLK": { + "direction": "input", + "bits": [ 12402 ] + }, + "AXI_15_ARADDR": { + "direction": "input", + "bits": [ 12403, 12404, 12405, 12406, 12407, 12408, 12409, 12410, 12411, 12412, 12413, 12414, 12415, 12416, 12417, 12418, 12419, 12420, 12421, 12422, 12423, 12424, 12425, 12426, 12427, 12428, 12429, 12430, 12431, 12432, 12433, 12434, 12435, 12436, 12437, 12438, 12439 ] + }, + "AXI_15_ARBURST": { + "direction": "input", + "bits": [ 12440, 12441 ] + }, + "AXI_15_ARESET_N": { + "direction": "input", + "bits": [ 12442 ] + }, + "AXI_15_ARID": { + "direction": "input", + "bits": [ 12443, 12444, 12445, 12446, 12447, 12448 ] + }, + "AXI_15_ARLEN": { + "direction": "input", + "bits": [ 12449, 12450, 12451, 12452 ] + }, + "AXI_15_ARSIZE": { + "direction": "input", + "bits": [ 12453, 12454, 12455 ] + }, + "AXI_15_ARVALID": { + "direction": "input", + "bits": [ 12456 ] + }, + "AXI_15_AWADDR": { + "direction": "input", + "bits": [ 12457, 12458, 12459, 12460, 12461, 12462, 12463, 12464, 12465, 12466, 12467, 12468, 12469, 12470, 12471, 12472, 12473, 12474, 12475, 12476, 12477, 12478, 12479, 12480, 12481, 12482, 12483, 12484, 12485, 12486, 12487, 12488, 12489, 12490, 12491, 12492, 12493 ] + }, + "AXI_15_AWBURST": { + "direction": "input", + "bits": [ 12494, 12495 ] + }, + "AXI_15_AWID": { + "direction": "input", + "bits": [ 12496, 12497, 12498, 12499, 12500, 12501 ] + }, + "AXI_15_AWLEN": { + "direction": "input", + "bits": [ 12502, 12503, 12504, 12505 ] + }, + "AXI_15_AWSIZE": { + "direction": "input", + "bits": [ 12506, 12507, 12508 ] + }, + "AXI_15_AWVALID": { + "direction": "input", + "bits": [ 12509 ] + }, + "AXI_15_BREADY": { + "direction": "input", + "bits": [ 12510 ] + }, + "AXI_15_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 12511 ] + }, + "AXI_15_RREADY": { + "direction": "input", + "bits": [ 12512 ] + }, + "AXI_15_WDATA": { + "direction": "input", + "bits": [ 12513, 12514, 12515, 12516, 12517, 12518, 12519, 12520, 12521, 12522, 12523, 12524, 12525, 12526, 12527, 12528, 12529, 12530, 12531, 12532, 12533, 12534, 12535, 12536, 12537, 12538, 12539, 12540, 12541, 12542, 12543, 12544, 12545, 12546, 12547, 12548, 12549, 12550, 12551, 12552, 12553, 12554, 12555, 12556, 12557, 12558, 12559, 12560, 12561, 12562, 12563, 12564, 12565, 12566, 12567, 12568, 12569, 12570, 12571, 12572, 12573, 12574, 12575, 12576, 12577, 12578, 12579, 12580, 12581, 12582, 12583, 12584, 12585, 12586, 12587, 12588, 12589, 12590, 12591, 12592, 12593, 12594, 12595, 12596, 12597, 12598, 12599, 12600, 12601, 12602, 12603, 12604, 12605, 12606, 12607, 12608, 12609, 12610, 12611, 12612, 12613, 12614, 12615, 12616, 12617, 12618, 12619, 12620, 12621, 12622, 12623, 12624, 12625, 12626, 12627, 12628, 12629, 12630, 12631, 12632, 12633, 12634, 12635, 12636, 12637, 12638, 12639, 12640, 12641, 12642, 12643, 12644, 12645, 12646, 12647, 12648, 12649, 12650, 12651, 12652, 12653, 12654, 12655, 12656, 12657, 12658, 12659, 12660, 12661, 12662, 12663, 12664, 12665, 12666, 12667, 12668, 12669, 12670, 12671, 12672, 12673, 12674, 12675, 12676, 12677, 12678, 12679, 12680, 12681, 12682, 12683, 12684, 12685, 12686, 12687, 12688, 12689, 12690, 12691, 12692, 12693, 12694, 12695, 12696, 12697, 12698, 12699, 12700, 12701, 12702, 12703, 12704, 12705, 12706, 12707, 12708, 12709, 12710, 12711, 12712, 12713, 12714, 12715, 12716, 12717, 12718, 12719, 12720, 12721, 12722, 12723, 12724, 12725, 12726, 12727, 12728, 12729, 12730, 12731, 12732, 12733, 12734, 12735, 12736, 12737, 12738, 12739, 12740, 12741, 12742, 12743, 12744, 12745, 12746, 12747, 12748, 12749, 12750, 12751, 12752, 12753, 12754, 12755, 12756, 12757, 12758, 12759, 12760, 12761, 12762, 12763, 12764, 12765, 12766, 12767, 12768 ] + }, + "AXI_15_WDATA_PARITY": { + "direction": "input", + "bits": [ 12769, 12770, 12771, 12772, 12773, 12774, 12775, 12776, 12777, 12778, 12779, 12780, 12781, 12782, 12783, 12784, 12785, 12786, 12787, 12788, 12789, 12790, 12791, 12792, 12793, 12794, 12795, 12796, 12797, 12798, 12799, 12800 ] + }, + "AXI_15_WLAST": { + "direction": "input", + "bits": [ 12801 ] + }, + "AXI_15_WSTRB": { + "direction": "input", + "bits": [ 12802, 12803, 12804, 12805, 12806, 12807, 12808, 12809, 12810, 12811, 12812, 12813, 12814, 12815, 12816, 12817, 12818, 12819, 12820, 12821, 12822, 12823, 12824, 12825, 12826, 12827, 12828, 12829, 12830, 12831, 12832, 12833 ] + }, + "AXI_15_WVALID": { + "direction": "input", + "bits": [ 12834 ] + }, + "BSCAN_DRCK": { + "direction": "input", + "bits": [ 12835 ] + }, + "BSCAN_TCK": { + "direction": "input", + "bits": [ 12836 ] + }, + "HBM_REF_CLK": { + "direction": "input", + "bits": [ 12837 ] + }, + "MBIST_EN_00": { + "direction": "input", + "bits": [ 12838 ] + }, + "MBIST_EN_01": { + "direction": "input", + "bits": [ 12839 ] + }, + "MBIST_EN_02": { + "direction": "input", + "bits": [ 12840 ] + }, + "MBIST_EN_03": { + "direction": "input", + "bits": [ 12841 ] + }, + "MBIST_EN_04": { + "direction": "input", + "bits": [ 12842 ] + }, + "MBIST_EN_05": { + "direction": "input", + "bits": [ 12843 ] + }, + "MBIST_EN_06": { + "direction": "input", + "bits": [ 12844 ] + }, + "MBIST_EN_07": { + "direction": "input", + "bits": [ 12845 ] + } + }, + "cells": { + }, + "netnames": { + "APB_0_PADDR": { + "hide_name": 0, + "bits": [ 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28889.18-28889.29" + } + }, + "APB_0_PCLK": { + "hide_name": 0, + "bits": [ 5870 ], + "attributes": { + "invertible_pin": "IS_APB_0_PCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28891.11-28891.21" + } + }, + "APB_0_PENABLE": { + "hide_name": 0, + "bits": [ 5871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28892.11-28892.24" + } + }, + "APB_0_PRDATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28516.19-28516.31" + } + }, + "APB_0_PREADY": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28517.12-28517.24" + } + }, + "APB_0_PRESET_N": { + "hide_name": 0, + "bits": [ 5872 ], + "attributes": { + "invertible_pin": "IS_APB_0_PRESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28894.11-28894.25" + } + }, + "APB_0_PSEL": { + "hide_name": 0, + "bits": [ 5873 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28895.11-28895.21" + } + }, + "APB_0_PSLVERR": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28518.12-28518.25" + } + }, + "APB_0_PWDATA": { + "hide_name": 0, + "bits": [ 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28896.18-28896.30" + } + }, + "APB_0_PWRITE": { + "hide_name": 0, + "bits": [ 5906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28897.11-28897.23" + } + }, + "AXI_00_ACLK": { + "hide_name": 0, + "bits": [ 5907 ], + "attributes": { + "invertible_pin": "IS_AXI_00_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28899.11-28899.22" + } + }, + "AXI_00_ARADDR": { + "hide_name": 0, + "bits": [ 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28900.18-28900.31" + } + }, + "AXI_00_ARBURST": { + "hide_name": 0, + "bits": [ 5945, 5946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28901.17-28901.31" + } + }, + "AXI_00_ARESET_N": { + "hide_name": 0, + "bits": [ 5947 ], + "attributes": { + "invertible_pin": "IS_AXI_00_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28903.11-28903.26" + } + }, + "AXI_00_ARID": { + "hide_name": 0, + "bits": [ 5948, 5949, 5950, 5951, 5952, 5953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28904.17-28904.28" + } + }, + "AXI_00_ARLEN": { + "hide_name": 0, + "bits": [ 5954, 5955, 5956, 5957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28905.17-28905.29" + } + }, + "AXI_00_ARREADY": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28519.12-28519.26" + } + }, + "AXI_00_ARSIZE": { + "hide_name": 0, + "bits": [ 5958, 5959, 5960 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28906.17-28906.30" + } + }, + "AXI_00_ARVALID": { + "hide_name": 0, + "bits": [ 5961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28907.11-28907.25" + } + }, + "AXI_00_AWADDR": { + "hide_name": 0, + "bits": [ 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983, 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994, 5995, 5996, 5997, 5998 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28908.18-28908.31" + } + }, + "AXI_00_AWBURST": { + "hide_name": 0, + "bits": [ 5999, 6000 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28909.17-28909.31" + } + }, + "AXI_00_AWID": { + "hide_name": 0, + "bits": [ 6001, 6002, 6003, 6004, 6005, 6006 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28910.17-28910.28" + } + }, + "AXI_00_AWLEN": { + "hide_name": 0, + "bits": [ 6007, 6008, 6009, 6010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28911.17-28911.29" + } + }, + "AXI_00_AWREADY": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28520.12-28520.26" + } + }, + "AXI_00_AWSIZE": { + "hide_name": 0, + "bits": [ 6011, 6012, 6013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28912.17-28912.30" + } + }, + "AXI_00_AWVALID": { + "hide_name": 0, + "bits": [ 6014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28913.11-28913.25" + } + }, + "AXI_00_BID": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28521.18-28521.28" + } + }, + "AXI_00_BREADY": { + "hide_name": 0, + "bits": [ 6015 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28914.11-28914.24" + } + }, + "AXI_00_BRESP": { + "hide_name": 0, + "bits": [ 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28522.18-28522.30" + } + }, + "AXI_00_BVALID": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28523.12-28523.25" + } + }, + "AXI_00_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 47, 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28524.18-28524.38" + } + }, + "AXI_00_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28525.12-28525.30" + } + }, + "AXI_00_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28526.18-28526.45" + } + }, + "AXI_00_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28527.19-28527.43" + } + }, + "AXI_00_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28528.18-28528.43" + } + }, + "AXI_00_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 87, 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28529.18-28529.44" + } + }, + "AXI_00_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28530.12-28530.36" + } + }, + "AXI_00_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 6016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28915.11-28915.34" + } + }, + "AXI_00_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28531.12-28531.33" + } + }, + "AXI_00_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28532.12-28532.35" + } + }, + "AXI_00_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28533.12-28533.32" + } + }, + "AXI_00_MC_STATUS": { + "hide_name": 0, + "bits": [ 93, 94, 95, 96, 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28534.18-28534.34" + } + }, + "AXI_00_PHY_STATUS": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28535.18-28535.35" + } + }, + "AXI_00_RDATA": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28536.20-28536.32" + } + }, + "AXI_00_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28537.19-28537.38" + } + }, + "AXI_00_RID": { + "hide_name": 0, + "bits": [ 395, 396, 397, 398, 399, 400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28538.18-28538.28" + } + }, + "AXI_00_RLAST": { + "hide_name": 0, + "bits": [ 401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28539.12-28539.24" + } + }, + "AXI_00_RREADY": { + "hide_name": 0, + "bits": [ 6017 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28916.11-28916.24" + } + }, + "AXI_00_RRESP": { + "hide_name": 0, + "bits": [ 402, 403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28540.18-28540.30" + } + }, + "AXI_00_RVALID": { + "hide_name": 0, + "bits": [ 404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28541.12-28541.25" + } + }, + "AXI_00_WDATA": { + "hide_name": 0, + "bits": [ 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027, 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082, 6083, 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152, 6153, 6154, 6155, 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28917.19-28917.31" + } + }, + "AXI_00_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283, 6284, 6285, 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28918.18-28918.37" + } + }, + "AXI_00_WLAST": { + "hide_name": 0, + "bits": [ 6306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28919.11-28919.23" + } + }, + "AXI_00_WREADY": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28542.12-28542.25" + } + }, + "AXI_00_WSTRB": { + "hide_name": 0, + "bits": [ 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28920.18-28920.30" + } + }, + "AXI_00_WVALID": { + "hide_name": 0, + "bits": [ 6339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28921.11-28921.24" + } + }, + "AXI_01_ACLK": { + "hide_name": 0, + "bits": [ 6340 ], + "attributes": { + "invertible_pin": "IS_AXI_01_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28923.11-28923.22" + } + }, + "AXI_01_ARADDR": { + "hide_name": 0, + "bits": [ 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28924.18-28924.31" + } + }, + "AXI_01_ARBURST": { + "hide_name": 0, + "bits": [ 6378, 6379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28925.17-28925.31" + } + }, + "AXI_01_ARESET_N": { + "hide_name": 0, + "bits": [ 6380 ], + "attributes": { + "invertible_pin": "IS_AXI_01_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28927.11-28927.26" + } + }, + "AXI_01_ARID": { + "hide_name": 0, + "bits": [ 6381, 6382, 6383, 6384, 6385, 6386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28928.17-28928.28" + } + }, + "AXI_01_ARLEN": { + "hide_name": 0, + "bits": [ 6387, 6388, 6389, 6390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28929.17-28929.29" + } + }, + "AXI_01_ARREADY": { + "hide_name": 0, + "bits": [ 406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28543.12-28543.26" + } + }, + "AXI_01_ARSIZE": { + "hide_name": 0, + "bits": [ 6391, 6392, 6393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28930.17-28930.30" + } + }, + "AXI_01_ARVALID": { + "hide_name": 0, + "bits": [ 6394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28931.11-28931.25" + } + }, + "AXI_01_AWADDR": { + "hide_name": 0, + "bits": [ 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411, 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28932.18-28932.31" + } + }, + "AXI_01_AWBURST": { + "hide_name": 0, + "bits": [ 6432, 6433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28933.17-28933.31" + } + }, + "AXI_01_AWID": { + "hide_name": 0, + "bits": [ 6434, 6435, 6436, 6437, 6438, 6439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28934.17-28934.28" + } + }, + "AXI_01_AWLEN": { + "hide_name": 0, + "bits": [ 6440, 6441, 6442, 6443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28935.17-28935.29" + } + }, + "AXI_01_AWREADY": { + "hide_name": 0, + "bits": [ 407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28544.12-28544.26" + } + }, + "AXI_01_AWSIZE": { + "hide_name": 0, + "bits": [ 6444, 6445, 6446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28936.17-28936.30" + } + }, + "AXI_01_AWVALID": { + "hide_name": 0, + "bits": [ 6447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28937.11-28937.25" + } + }, + "AXI_01_BID": { + "hide_name": 0, + "bits": [ 408, 409, 410, 411, 412, 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28545.18-28545.28" + } + }, + "AXI_01_BREADY": { + "hide_name": 0, + "bits": [ 6448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28938.11-28938.24" + } + }, + "AXI_01_BRESP": { + "hide_name": 0, + "bits": [ 414, 415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28546.18-28546.30" + } + }, + "AXI_01_BVALID": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28547.12-28547.25" + } + }, + "AXI_01_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 417, 418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28548.18-28548.38" + } + }, + "AXI_01_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28549.12-28549.30" + } + }, + "AXI_01_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 420, 421, 422, 423, 424, 425, 426, 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28550.18-28550.45" + } + }, + "AXI_01_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28551.19-28551.43" + } + }, + "AXI_01_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 449, 450, 451, 452, 453, 454, 455, 456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28552.18-28552.43" + } + }, + "AXI_01_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 457, 458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28553.18-28553.44" + } + }, + "AXI_01_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28554.12-28554.36" + } + }, + "AXI_01_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 6449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28939.11-28939.34" + } + }, + "AXI_01_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28555.12-28555.33" + } + }, + "AXI_01_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28556.12-28556.35" + } + }, + "AXI_01_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28557.12-28557.32" + } + }, + "AXI_01_RDATA": { + "hide_name": 0, + "bits": [ 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28558.20-28558.32" + } + }, + "AXI_01_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28559.19-28559.38" + } + }, + "AXI_01_RID": { + "hide_name": 0, + "bits": [ 751, 752, 753, 754, 755, 756 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28560.18-28560.28" + } + }, + "AXI_01_RLAST": { + "hide_name": 0, + "bits": [ 757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28561.12-28561.24" + } + }, + "AXI_01_RREADY": { + "hide_name": 0, + "bits": [ 6450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28940.11-28940.24" + } + }, + "AXI_01_RRESP": { + "hide_name": 0, + "bits": [ 758, 759 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28562.18-28562.30" + } + }, + "AXI_01_RVALID": { + "hide_name": 0, + "bits": [ 760 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28563.12-28563.25" + } + }, + "AXI_01_WDATA": { + "hide_name": 0, + "bits": [ 6451, 6452, 6453, 6454, 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478, 6479, 6480, 6481, 6482, 6483, 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539, 6540, 6541, 6542, 6543, 6544, 6545, 6546, 6547, 6548, 6549, 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560, 6561, 6562, 6563, 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581, 6582, 6583, 6584, 6585, 6586, 6587, 6588, 6589, 6590, 6591, 6592, 6593, 6594, 6595, 6596, 6597, 6598, 6599, 6600, 6601, 6602, 6603, 6604, 6605, 6606, 6607, 6608, 6609, 6610, 6611, 6612, 6613, 6614, 6615, 6616, 6617, 6618, 6619, 6620, 6621, 6622, 6623, 6624, 6625, 6626, 6627, 6628, 6629, 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638, 6639, 6640, 6641, 6642, 6643, 6644, 6645, 6646, 6647, 6648, 6649, 6650, 6651, 6652, 6653, 6654, 6655, 6656, 6657, 6658, 6659, 6660, 6661, 6662, 6663, 6664, 6665, 6666, 6667, 6668, 6669, 6670, 6671, 6672, 6673, 6674, 6675, 6676, 6677, 6678, 6679, 6680, 6681, 6682, 6683, 6684, 6685, 6686, 6687, 6688, 6689, 6690, 6691, 6692, 6693, 6694, 6695, 6696, 6697, 6698, 6699, 6700, 6701, 6702, 6703, 6704, 6705, 6706 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28941.19-28941.31" + } + }, + "AXI_01_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 6707, 6708, 6709, 6710, 6711, 6712, 6713, 6714, 6715, 6716, 6717, 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733, 6734, 6735, 6736, 6737, 6738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28942.18-28942.37" + } + }, + "AXI_01_WLAST": { + "hide_name": 0, + "bits": [ 6739 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28943.11-28943.23" + } + }, + "AXI_01_WREADY": { + "hide_name": 0, + "bits": [ 761 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28564.12-28564.25" + } + }, + "AXI_01_WSTRB": { + "hide_name": 0, + "bits": [ 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752, 6753, 6754, 6755, 6756, 6757, 6758, 6759, 6760, 6761, 6762, 6763, 6764, 6765, 6766, 6767, 6768, 6769, 6770, 6771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28944.18-28944.30" + } + }, + "AXI_01_WVALID": { + "hide_name": 0, + "bits": [ 6772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28945.11-28945.24" + } + }, + "AXI_02_ACLK": { + "hide_name": 0, + "bits": [ 6773 ], + "attributes": { + "invertible_pin": "IS_AXI_02_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28947.11-28947.22" + } + }, + "AXI_02_ARADDR": { + "hide_name": 0, + "bits": [ 6774, 6775, 6776, 6777, 6778, 6779, 6780, 6781, 6782, 6783, 6784, 6785, 6786, 6787, 6788, 6789, 6790, 6791, 6792, 6793, 6794, 6795, 6796, 6797, 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28948.18-28948.31" + } + }, + "AXI_02_ARBURST": { + "hide_name": 0, + "bits": [ 6811, 6812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28949.17-28949.31" + } + }, + "AXI_02_ARESET_N": { + "hide_name": 0, + "bits": [ 6813 ], + "attributes": { + "invertible_pin": "IS_AXI_02_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28951.11-28951.26" + } + }, + "AXI_02_ARID": { + "hide_name": 0, + "bits": [ 6814, 6815, 6816, 6817, 6818, 6819 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28952.17-28952.28" + } + }, + "AXI_02_ARLEN": { + "hide_name": 0, + "bits": [ 6820, 6821, 6822, 6823 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28953.17-28953.29" + } + }, + "AXI_02_ARREADY": { + "hide_name": 0, + "bits": [ 762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28565.12-28565.26" + } + }, + "AXI_02_ARSIZE": { + "hide_name": 0, + "bits": [ 6824, 6825, 6826 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28954.17-28954.30" + } + }, + "AXI_02_ARVALID": { + "hide_name": 0, + "bits": [ 6827 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28955.11-28955.25" + } + }, + "AXI_02_AWADDR": { + "hide_name": 0, + "bits": [ 6828, 6829, 6830, 6831, 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849, 6850, 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28956.18-28956.31" + } + }, + "AXI_02_AWBURST": { + "hide_name": 0, + "bits": [ 6865, 6866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28957.17-28957.31" + } + }, + "AXI_02_AWID": { + "hide_name": 0, + "bits": [ 6867, 6868, 6869, 6870, 6871, 6872 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28958.17-28958.28" + } + }, + "AXI_02_AWLEN": { + "hide_name": 0, + "bits": [ 6873, 6874, 6875, 6876 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28959.17-28959.29" + } + }, + "AXI_02_AWREADY": { + "hide_name": 0, + "bits": [ 763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28566.12-28566.26" + } + }, + "AXI_02_AWSIZE": { + "hide_name": 0, + "bits": [ 6877, 6878, 6879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28960.17-28960.30" + } + }, + "AXI_02_AWVALID": { + "hide_name": 0, + "bits": [ 6880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28961.11-28961.25" + } + }, + "AXI_02_BID": { + "hide_name": 0, + "bits": [ 764, 765, 766, 767, 768, 769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28567.18-28567.28" + } + }, + "AXI_02_BREADY": { + "hide_name": 0, + "bits": [ 6881 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28962.11-28962.24" + } + }, + "AXI_02_BRESP": { + "hide_name": 0, + "bits": [ 770, 771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28568.18-28568.30" + } + }, + "AXI_02_BVALID": { + "hide_name": 0, + "bits": [ 772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28569.12-28569.25" + } + }, + "AXI_02_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 773, 774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28570.18-28570.38" + } + }, + "AXI_02_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28571.12-28571.30" + } + }, + "AXI_02_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 776, 777, 778, 779, 780, 781, 782, 783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28572.18-28572.45" + } + }, + "AXI_02_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28573.19-28573.43" + } + }, + "AXI_02_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 805, 806, 807, 808, 809, 810, 811, 812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28574.18-28574.43" + } + }, + "AXI_02_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 813, 814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28575.18-28575.44" + } + }, + "AXI_02_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28576.12-28576.36" + } + }, + "AXI_02_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 6882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28963.11-28963.34" + } + }, + "AXI_02_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28577.12-28577.33" + } + }, + "AXI_02_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28578.12-28578.35" + } + }, + "AXI_02_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 818 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28579.12-28579.32" + } + }, + "AXI_02_MC_STATUS": { + "hide_name": 0, + "bits": [ 819, 820, 821, 822, 823, 824 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28580.18-28580.34" + } + }, + "AXI_02_PHY_STATUS": { + "hide_name": 0, + "bits": [ 825, 826, 827, 828, 829, 830, 831, 832 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28581.18-28581.35" + } + }, + "AXI_02_RDATA": { + "hide_name": 0, + "bits": [ 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28582.20-28582.32" + } + }, + "AXI_02_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28583.19-28583.38" + } + }, + "AXI_02_RID": { + "hide_name": 0, + "bits": [ 1121, 1122, 1123, 1124, 1125, 1126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28584.18-28584.28" + } + }, + "AXI_02_RLAST": { + "hide_name": 0, + "bits": [ 1127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28585.12-28585.24" + } + }, + "AXI_02_RREADY": { + "hide_name": 0, + "bits": [ 6883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28964.11-28964.24" + } + }, + "AXI_02_RRESP": { + "hide_name": 0, + "bits": [ 1128, 1129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28586.18-28586.30" + } + }, + "AXI_02_RVALID": { + "hide_name": 0, + "bits": [ 1130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28587.12-28587.25" + } + }, + "AXI_02_WDATA": { + "hide_name": 0, + "bits": [ 6884, 6885, 6886, 6887, 6888, 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906, 6907, 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925, 6926, 6927, 6928, 6929, 6930, 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942, 6943, 6944, 6945, 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962, 6963, 6964, 6965, 6966, 6967, 6968, 6969, 6970, 6971, 6972, 6973, 6974, 6975, 6976, 6977, 6978, 6979, 6980, 6981, 6982, 6983, 6984, 6985, 6986, 6987, 6988, 6989, 6990, 6991, 6992, 6993, 6994, 6995, 6996, 6997, 6998, 6999, 7000, 7001, 7002, 7003, 7004, 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012, 7013, 7014, 7015, 7016, 7017, 7018, 7019, 7020, 7021, 7022, 7023, 7024, 7025, 7026, 7027, 7028, 7029, 7030, 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052, 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060, 7061, 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080, 7081, 7082, 7083, 7084, 7085, 7086, 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094, 7095, 7096, 7097, 7098, 7099, 7100, 7101, 7102, 7103, 7104, 7105, 7106, 7107, 7108, 7109, 7110, 7111, 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28965.19-28965.31" + } + }, + "AXI_02_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28966.18-28966.37" + } + }, + "AXI_02_WLAST": { + "hide_name": 0, + "bits": [ 7172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28967.11-28967.23" + } + }, + "AXI_02_WREADY": { + "hide_name": 0, + "bits": [ 1131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28588.12-28588.25" + } + }, + "AXI_02_WSTRB": { + "hide_name": 0, + "bits": [ 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28968.18-28968.30" + } + }, + "AXI_02_WVALID": { + "hide_name": 0, + "bits": [ 7205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28969.11-28969.24" + } + }, + "AXI_03_ACLK": { + "hide_name": 0, + "bits": [ 7206 ], + "attributes": { + "invertible_pin": "IS_AXI_03_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28971.11-28971.22" + } + }, + "AXI_03_ARADDR": { + "hide_name": 0, + "bits": [ 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239, 7240, 7241, 7242, 7243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28972.18-28972.31" + } + }, + "AXI_03_ARBURST": { + "hide_name": 0, + "bits": [ 7244, 7245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28973.17-28973.31" + } + }, + "AXI_03_ARESET_N": { + "hide_name": 0, + "bits": [ 7246 ], + "attributes": { + "invertible_pin": "IS_AXI_03_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28975.11-28975.26" + } + }, + "AXI_03_ARID": { + "hide_name": 0, + "bits": [ 7247, 7248, 7249, 7250, 7251, 7252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28976.17-28976.28" + } + }, + "AXI_03_ARLEN": { + "hide_name": 0, + "bits": [ 7253, 7254, 7255, 7256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28977.17-28977.29" + } + }, + "AXI_03_ARREADY": { + "hide_name": 0, + "bits": [ 1132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28589.12-28589.26" + } + }, + "AXI_03_ARSIZE": { + "hide_name": 0, + "bits": [ 7257, 7258, 7259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28978.17-28978.30" + } + }, + "AXI_03_ARVALID": { + "hide_name": 0, + "bits": [ 7260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28979.11-28979.25" + } + }, + "AXI_03_AWADDR": { + "hide_name": 0, + "bits": [ 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286, 7287, 7288, 7289, 7290, 7291, 7292, 7293, 7294, 7295, 7296, 7297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28980.18-28980.31" + } + }, + "AXI_03_AWBURST": { + "hide_name": 0, + "bits": [ 7298, 7299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28981.17-28981.31" + } + }, + "AXI_03_AWID": { + "hide_name": 0, + "bits": [ 7300, 7301, 7302, 7303, 7304, 7305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28982.17-28982.28" + } + }, + "AXI_03_AWLEN": { + "hide_name": 0, + "bits": [ 7306, 7307, 7308, 7309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28983.17-28983.29" + } + }, + "AXI_03_AWREADY": { + "hide_name": 0, + "bits": [ 1133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28590.12-28590.26" + } + }, + "AXI_03_AWSIZE": { + "hide_name": 0, + "bits": [ 7310, 7311, 7312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28984.17-28984.30" + } + }, + "AXI_03_AWVALID": { + "hide_name": 0, + "bits": [ 7313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28985.11-28985.25" + } + }, + "AXI_03_BID": { + "hide_name": 0, + "bits": [ 1134, 1135, 1136, 1137, 1138, 1139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28591.18-28591.28" + } + }, + "AXI_03_BREADY": { + "hide_name": 0, + "bits": [ 7314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28986.11-28986.24" + } + }, + "AXI_03_BRESP": { + "hide_name": 0, + "bits": [ 1140, 1141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28592.18-28592.30" + } + }, + "AXI_03_BVALID": { + "hide_name": 0, + "bits": [ 1142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28593.12-28593.25" + } + }, + "AXI_03_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 1143, 1144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28594.18-28594.38" + } + }, + "AXI_03_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 1145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28595.12-28595.30" + } + }, + "AXI_03_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28596.18-28596.45" + } + }, + "AXI_03_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28597.19-28597.43" + } + }, + "AXI_03_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28598.18-28598.43" + } + }, + "AXI_03_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 1183, 1184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28599.18-28599.44" + } + }, + "AXI_03_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 1185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28600.12-28600.36" + } + }, + "AXI_03_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 7315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28987.11-28987.34" + } + }, + "AXI_03_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 1186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28601.12-28601.33" + } + }, + "AXI_03_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 1187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28602.12-28602.35" + } + }, + "AXI_03_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 1188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28603.12-28603.32" + } + }, + "AXI_03_RDATA": { + "hide_name": 0, + "bits": [ 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28604.20-28604.32" + } + }, + "AXI_03_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28605.19-28605.38" + } + }, + "AXI_03_RID": { + "hide_name": 0, + "bits": [ 1477, 1478, 1479, 1480, 1481, 1482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28606.18-28606.28" + } + }, + "AXI_03_RLAST": { + "hide_name": 0, + "bits": [ 1483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28607.12-28607.24" + } + }, + "AXI_03_RREADY": { + "hide_name": 0, + "bits": [ 7316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28988.11-28988.24" + } + }, + "AXI_03_RRESP": { + "hide_name": 0, + "bits": [ 1484, 1485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28608.18-28608.30" + } + }, + "AXI_03_RVALID": { + "hide_name": 0, + "bits": [ 1486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28609.12-28609.25" + } + }, + "AXI_03_WDATA": { + "hide_name": 0, + "bits": [ 7317, 7318, 7319, 7320, 7321, 7322, 7323, 7324, 7325, 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342, 7343, 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351, 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359, 7360, 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372, 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388, 7389, 7390, 7391, 7392, 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400, 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408, 7409, 7410, 7411, 7412, 7413, 7414, 7415, 7416, 7417, 7418, 7419, 7420, 7421, 7422, 7423, 7424, 7425, 7426, 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458, 7459, 7460, 7461, 7462, 7463, 7464, 7465, 7466, 7467, 7468, 7469, 7470, 7471, 7472, 7473, 7474, 7475, 7476, 7477, 7478, 7479, 7480, 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510, 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540, 7541, 7542, 7543, 7544, 7545, 7546, 7547, 7548, 7549, 7550, 7551, 7552, 7553, 7554, 7555, 7556, 7557, 7558, 7559, 7560, 7561, 7562, 7563, 7564, 7565, 7566, 7567, 7568, 7569, 7570, 7571, 7572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28989.19-28989.31" + } + }, + "AXI_03_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 7573, 7574, 7575, 7576, 7577, 7578, 7579, 7580, 7581, 7582, 7583, 7584, 7585, 7586, 7587, 7588, 7589, 7590, 7591, 7592, 7593, 7594, 7595, 7596, 7597, 7598, 7599, 7600, 7601, 7602, 7603, 7604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28990.18-28990.37" + } + }, + "AXI_03_WLAST": { + "hide_name": 0, + "bits": [ 7605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28991.11-28991.23" + } + }, + "AXI_03_WREADY": { + "hide_name": 0, + "bits": [ 1487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28610.12-28610.25" + } + }, + "AXI_03_WSTRB": { + "hide_name": 0, + "bits": [ 7606, 7607, 7608, 7609, 7610, 7611, 7612, 7613, 7614, 7615, 7616, 7617, 7618, 7619, 7620, 7621, 7622, 7623, 7624, 7625, 7626, 7627, 7628, 7629, 7630, 7631, 7632, 7633, 7634, 7635, 7636, 7637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28992.18-28992.30" + } + }, + "AXI_03_WVALID": { + "hide_name": 0, + "bits": [ 7638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28993.11-28993.24" + } + }, + "AXI_04_ACLK": { + "hide_name": 0, + "bits": [ 7639 ], + "attributes": { + "invertible_pin": "IS_AXI_04_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28995.11-28995.22" + } + }, + "AXI_04_ARADDR": { + "hide_name": 0, + "bits": [ 7640, 7641, 7642, 7643, 7644, 7645, 7646, 7647, 7648, 7649, 7650, 7651, 7652, 7653, 7654, 7655, 7656, 7657, 7658, 7659, 7660, 7661, 7662, 7663, 7664, 7665, 7666, 7667, 7668, 7669, 7670, 7671, 7672, 7673, 7674, 7675, 7676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28996.18-28996.31" + } + }, + "AXI_04_ARBURST": { + "hide_name": 0, + "bits": [ 7677, 7678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28997.17-28997.31" + } + }, + "AXI_04_ARESET_N": { + "hide_name": 0, + "bits": [ 7679 ], + "attributes": { + "invertible_pin": "IS_AXI_04_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28999.11-28999.26" + } + }, + "AXI_04_ARID": { + "hide_name": 0, + "bits": [ 7680, 7681, 7682, 7683, 7684, 7685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29000.17-29000.28" + } + }, + "AXI_04_ARLEN": { + "hide_name": 0, + "bits": [ 7686, 7687, 7688, 7689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29001.17-29001.29" + } + }, + "AXI_04_ARREADY": { + "hide_name": 0, + "bits": [ 1488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28611.12-28611.26" + } + }, + "AXI_04_ARSIZE": { + "hide_name": 0, + "bits": [ 7690, 7691, 7692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29002.17-29002.30" + } + }, + "AXI_04_ARVALID": { + "hide_name": 0, + "bits": [ 7693 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29003.11-29003.25" + } + }, + "AXI_04_AWADDR": { + "hide_name": 0, + "bits": [ 7694, 7695, 7696, 7697, 7698, 7699, 7700, 7701, 7702, 7703, 7704, 7705, 7706, 7707, 7708, 7709, 7710, 7711, 7712, 7713, 7714, 7715, 7716, 7717, 7718, 7719, 7720, 7721, 7722, 7723, 7724, 7725, 7726, 7727, 7728, 7729, 7730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29004.18-29004.31" + } + }, + "AXI_04_AWBURST": { + "hide_name": 0, + "bits": [ 7731, 7732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29005.17-29005.31" + } + }, + "AXI_04_AWID": { + "hide_name": 0, + "bits": [ 7733, 7734, 7735, 7736, 7737, 7738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29006.17-29006.28" + } + }, + "AXI_04_AWLEN": { + "hide_name": 0, + "bits": [ 7739, 7740, 7741, 7742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29007.17-29007.29" + } + }, + "AXI_04_AWREADY": { + "hide_name": 0, + "bits": [ 1489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28612.12-28612.26" + } + }, + "AXI_04_AWSIZE": { + "hide_name": 0, + "bits": [ 7743, 7744, 7745 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29008.17-29008.30" + } + }, + "AXI_04_AWVALID": { + "hide_name": 0, + "bits": [ 7746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29009.11-29009.25" + } + }, + "AXI_04_BID": { + "hide_name": 0, + "bits": [ 1490, 1491, 1492, 1493, 1494, 1495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28613.18-28613.28" + } + }, + "AXI_04_BREADY": { + "hide_name": 0, + "bits": [ 7747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29010.11-29010.24" + } + }, + "AXI_04_BRESP": { + "hide_name": 0, + "bits": [ 1496, 1497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28614.18-28614.30" + } + }, + "AXI_04_BVALID": { + "hide_name": 0, + "bits": [ 1498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28615.12-28615.25" + } + }, + "AXI_04_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 1499, 1500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28616.18-28616.38" + } + }, + "AXI_04_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 1501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28617.12-28617.30" + } + }, + "AXI_04_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28618.18-28618.45" + } + }, + "AXI_04_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28619.19-28619.43" + } + }, + "AXI_04_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28620.18-28620.43" + } + }, + "AXI_04_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 1539, 1540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28621.18-28621.44" + } + }, + "AXI_04_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 1541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28622.12-28622.36" + } + }, + "AXI_04_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 7748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29011.11-29011.34" + } + }, + "AXI_04_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 1542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28623.12-28623.33" + } + }, + "AXI_04_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 1543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28624.12-28624.35" + } + }, + "AXI_04_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 1544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28625.12-28625.32" + } + }, + "AXI_04_MC_STATUS": { + "hide_name": 0, + "bits": [ 1545, 1546, 1547, 1548, 1549, 1550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28626.18-28626.34" + } + }, + "AXI_04_PHY_STATUS": { + "hide_name": 0, + "bits": [ 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28627.18-28627.35" + } + }, + "AXI_04_RDATA": { + "hide_name": 0, + "bits": [ 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28628.20-28628.32" + } + }, + "AXI_04_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28629.19-28629.38" + } + }, + "AXI_04_RID": { + "hide_name": 0, + "bits": [ 1847, 1848, 1849, 1850, 1851, 1852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28630.18-28630.28" + } + }, + "AXI_04_RLAST": { + "hide_name": 0, + "bits": [ 1853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28631.12-28631.24" + } + }, + "AXI_04_RREADY": { + "hide_name": 0, + "bits": [ 7749 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29012.11-29012.24" + } + }, + "AXI_04_RRESP": { + "hide_name": 0, + "bits": [ 1854, 1855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28632.18-28632.30" + } + }, + "AXI_04_RVALID": { + "hide_name": 0, + "bits": [ 1856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28633.12-28633.25" + } + }, + "AXI_04_WDATA": { + "hide_name": 0, + "bits": [ 7750, 7751, 7752, 7753, 7754, 7755, 7756, 7757, 7758, 7759, 7760, 7761, 7762, 7763, 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 7828, 7829, 7830, 7831, 7832, 7833, 7834, 7835, 7836, 7837, 7838, 7839, 7840, 7841, 7842, 7843, 7844, 7845, 7846, 7847, 7848, 7849, 7850, 7851, 7852, 7853, 7854, 7855, 7856, 7857, 7858, 7859, 7860, 7861, 7862, 7863, 7864, 7865, 7866, 7867, 7868, 7869, 7870, 7871, 7872, 7873, 7874, 7875, 7876, 7877, 7878, 7879, 7880, 7881, 7882, 7883, 7884, 7885, 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 7898, 7899, 7900, 7901, 7902, 7903, 7904, 7905, 7906, 7907, 7908, 7909, 7910, 7911, 7912, 7913, 7914, 7915, 7916, 7917, 7918, 7919, 7920, 7921, 7922, 7923, 7924, 7925, 7926, 7927, 7928, 7929, 7930, 7931, 7932, 7933, 7934, 7935, 7936, 7937, 7938, 7939, 7940, 7941, 7942, 7943, 7944, 7945, 7946, 7947, 7948, 7949, 7950, 7951, 7952, 7953, 7954, 7955, 7956, 7957, 7958, 7959, 7960, 7961, 7962, 7963, 7964, 7965, 7966, 7967, 7968, 7969, 7970, 7971, 7972, 7973, 7974, 7975, 7976, 7977, 7978, 7979, 7980, 7981, 7982, 7983, 7984, 7985, 7986, 7987, 7988, 7989, 7990, 7991, 7992, 7993, 7994, 7995, 7996, 7997, 7998, 7999, 8000, 8001, 8002, 8003, 8004, 8005 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29013.19-29013.31" + } + }, + "AXI_04_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 8006, 8007, 8008, 8009, 8010, 8011, 8012, 8013, 8014, 8015, 8016, 8017, 8018, 8019, 8020, 8021, 8022, 8023, 8024, 8025, 8026, 8027, 8028, 8029, 8030, 8031, 8032, 8033, 8034, 8035, 8036, 8037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29014.18-29014.37" + } + }, + "AXI_04_WLAST": { + "hide_name": 0, + "bits": [ 8038 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29015.11-29015.23" + } + }, + "AXI_04_WREADY": { + "hide_name": 0, + "bits": [ 1857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28634.12-28634.25" + } + }, + "AXI_04_WSTRB": { + "hide_name": 0, + "bits": [ 8039, 8040, 8041, 8042, 8043, 8044, 8045, 8046, 8047, 8048, 8049, 8050, 8051, 8052, 8053, 8054, 8055, 8056, 8057, 8058, 8059, 8060, 8061, 8062, 8063, 8064, 8065, 8066, 8067, 8068, 8069, 8070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29016.18-29016.30" + } + }, + "AXI_04_WVALID": { + "hide_name": 0, + "bits": [ 8071 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29017.11-29017.24" + } + }, + "AXI_05_ACLK": { + "hide_name": 0, + "bits": [ 8072 ], + "attributes": { + "invertible_pin": "IS_AXI_05_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29019.11-29019.22" + } + }, + "AXI_05_ARADDR": { + "hide_name": 0, + "bits": [ 8073, 8074, 8075, 8076, 8077, 8078, 8079, 8080, 8081, 8082, 8083, 8084, 8085, 8086, 8087, 8088, 8089, 8090, 8091, 8092, 8093, 8094, 8095, 8096, 8097, 8098, 8099, 8100, 8101, 8102, 8103, 8104, 8105, 8106, 8107, 8108, 8109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29020.18-29020.31" + } + }, + "AXI_05_ARBURST": { + "hide_name": 0, + "bits": [ 8110, 8111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29021.17-29021.31" + } + }, + "AXI_05_ARESET_N": { + "hide_name": 0, + "bits": [ 8112 ], + "attributes": { + "invertible_pin": "IS_AXI_05_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29023.11-29023.26" + } + }, + "AXI_05_ARID": { + "hide_name": 0, + "bits": [ 8113, 8114, 8115, 8116, 8117, 8118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29024.17-29024.28" + } + }, + "AXI_05_ARLEN": { + "hide_name": 0, + "bits": [ 8119, 8120, 8121, 8122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29025.17-29025.29" + } + }, + "AXI_05_ARREADY": { + "hide_name": 0, + "bits": [ 1858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28635.12-28635.26" + } + }, + "AXI_05_ARSIZE": { + "hide_name": 0, + "bits": [ 8123, 8124, 8125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29026.17-29026.30" + } + }, + "AXI_05_ARVALID": { + "hide_name": 0, + "bits": [ 8126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29027.11-29027.25" + } + }, + "AXI_05_AWADDR": { + "hide_name": 0, + "bits": [ 8127, 8128, 8129, 8130, 8131, 8132, 8133, 8134, 8135, 8136, 8137, 8138, 8139, 8140, 8141, 8142, 8143, 8144, 8145, 8146, 8147, 8148, 8149, 8150, 8151, 8152, 8153, 8154, 8155, 8156, 8157, 8158, 8159, 8160, 8161, 8162, 8163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29028.18-29028.31" + } + }, + "AXI_05_AWBURST": { + "hide_name": 0, + "bits": [ 8164, 8165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29029.17-29029.31" + } + }, + "AXI_05_AWID": { + "hide_name": 0, + "bits": [ 8166, 8167, 8168, 8169, 8170, 8171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29030.17-29030.28" + } + }, + "AXI_05_AWLEN": { + "hide_name": 0, + "bits": [ 8172, 8173, 8174, 8175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29031.17-29031.29" + } + }, + "AXI_05_AWREADY": { + "hide_name": 0, + "bits": [ 1859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28636.12-28636.26" + } + }, + "AXI_05_AWSIZE": { + "hide_name": 0, + "bits": [ 8176, 8177, 8178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29032.17-29032.30" + } + }, + "AXI_05_AWVALID": { + "hide_name": 0, + "bits": [ 8179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29033.11-29033.25" + } + }, + "AXI_05_BID": { + "hide_name": 0, + "bits": [ 1860, 1861, 1862, 1863, 1864, 1865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28637.18-28637.28" + } + }, + "AXI_05_BREADY": { + "hide_name": 0, + "bits": [ 8180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29034.11-29034.24" + } + }, + "AXI_05_BRESP": { + "hide_name": 0, + "bits": [ 1866, 1867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28638.18-28638.30" + } + }, + "AXI_05_BVALID": { + "hide_name": 0, + "bits": [ 1868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28639.12-28639.25" + } + }, + "AXI_05_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 1869, 1870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28640.18-28640.38" + } + }, + "AXI_05_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 1871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28641.12-28641.30" + } + }, + "AXI_05_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28642.18-28642.45" + } + }, + "AXI_05_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28643.19-28643.43" + } + }, + "AXI_05_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28644.18-28644.43" + } + }, + "AXI_05_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 1909, 1910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28645.18-28645.44" + } + }, + "AXI_05_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 1911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28646.12-28646.36" + } + }, + "AXI_05_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 8181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29035.11-29035.34" + } + }, + "AXI_05_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 1912 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28647.12-28647.33" + } + }, + "AXI_05_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 1913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28648.12-28648.35" + } + }, + "AXI_05_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 1914 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28649.12-28649.32" + } + }, + "AXI_05_RDATA": { + "hide_name": 0, + "bits": [ 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28650.20-28650.32" + } + }, + "AXI_05_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28651.19-28651.38" + } + }, + "AXI_05_RID": { + "hide_name": 0, + "bits": [ 2203, 2204, 2205, 2206, 2207, 2208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28652.18-28652.28" + } + }, + "AXI_05_RLAST": { + "hide_name": 0, + "bits": [ 2209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28653.12-28653.24" + } + }, + "AXI_05_RREADY": { + "hide_name": 0, + "bits": [ 8182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29036.11-29036.24" + } + }, + "AXI_05_RRESP": { + "hide_name": 0, + "bits": [ 2210, 2211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28654.18-28654.30" + } + }, + "AXI_05_RVALID": { + "hide_name": 0, + "bits": [ 2212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28655.12-28655.25" + } + }, + "AXI_05_WDATA": { + "hide_name": 0, + "bits": [ 8183, 8184, 8185, 8186, 8187, 8188, 8189, 8190, 8191, 8192, 8193, 8194, 8195, 8196, 8197, 8198, 8199, 8200, 8201, 8202, 8203, 8204, 8205, 8206, 8207, 8208, 8209, 8210, 8211, 8212, 8213, 8214, 8215, 8216, 8217, 8218, 8219, 8220, 8221, 8222, 8223, 8224, 8225, 8226, 8227, 8228, 8229, 8230, 8231, 8232, 8233, 8234, 8235, 8236, 8237, 8238, 8239, 8240, 8241, 8242, 8243, 8244, 8245, 8246, 8247, 8248, 8249, 8250, 8251, 8252, 8253, 8254, 8255, 8256, 8257, 8258, 8259, 8260, 8261, 8262, 8263, 8264, 8265, 8266, 8267, 8268, 8269, 8270, 8271, 8272, 8273, 8274, 8275, 8276, 8277, 8278, 8279, 8280, 8281, 8282, 8283, 8284, 8285, 8286, 8287, 8288, 8289, 8290, 8291, 8292, 8293, 8294, 8295, 8296, 8297, 8298, 8299, 8300, 8301, 8302, 8303, 8304, 8305, 8306, 8307, 8308, 8309, 8310, 8311, 8312, 8313, 8314, 8315, 8316, 8317, 8318, 8319, 8320, 8321, 8322, 8323, 8324, 8325, 8326, 8327, 8328, 8329, 8330, 8331, 8332, 8333, 8334, 8335, 8336, 8337, 8338, 8339, 8340, 8341, 8342, 8343, 8344, 8345, 8346, 8347, 8348, 8349, 8350, 8351, 8352, 8353, 8354, 8355, 8356, 8357, 8358, 8359, 8360, 8361, 8362, 8363, 8364, 8365, 8366, 8367, 8368, 8369, 8370, 8371, 8372, 8373, 8374, 8375, 8376, 8377, 8378, 8379, 8380, 8381, 8382, 8383, 8384, 8385, 8386, 8387, 8388, 8389, 8390, 8391, 8392, 8393, 8394, 8395, 8396, 8397, 8398, 8399, 8400, 8401, 8402, 8403, 8404, 8405, 8406, 8407, 8408, 8409, 8410, 8411, 8412, 8413, 8414, 8415, 8416, 8417, 8418, 8419, 8420, 8421, 8422, 8423, 8424, 8425, 8426, 8427, 8428, 8429, 8430, 8431, 8432, 8433, 8434, 8435, 8436, 8437, 8438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29037.19-29037.31" + } + }, + "AXI_05_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 8439, 8440, 8441, 8442, 8443, 8444, 8445, 8446, 8447, 8448, 8449, 8450, 8451, 8452, 8453, 8454, 8455, 8456, 8457, 8458, 8459, 8460, 8461, 8462, 8463, 8464, 8465, 8466, 8467, 8468, 8469, 8470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29038.18-29038.37" + } + }, + "AXI_05_WLAST": { + "hide_name": 0, + "bits": [ 8471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29039.11-29039.23" + } + }, + "AXI_05_WREADY": { + "hide_name": 0, + "bits": [ 2213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28656.12-28656.25" + } + }, + "AXI_05_WSTRB": { + "hide_name": 0, + "bits": [ 8472, 8473, 8474, 8475, 8476, 8477, 8478, 8479, 8480, 8481, 8482, 8483, 8484, 8485, 8486, 8487, 8488, 8489, 8490, 8491, 8492, 8493, 8494, 8495, 8496, 8497, 8498, 8499, 8500, 8501, 8502, 8503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29040.18-29040.30" + } + }, + "AXI_05_WVALID": { + "hide_name": 0, + "bits": [ 8504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29041.11-29041.24" + } + }, + "AXI_06_ACLK": { + "hide_name": 0, + "bits": [ 8505 ], + "attributes": { + "invertible_pin": "IS_AXI_06_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29043.11-29043.22" + } + }, + "AXI_06_ARADDR": { + "hide_name": 0, + "bits": [ 8506, 8507, 8508, 8509, 8510, 8511, 8512, 8513, 8514, 8515, 8516, 8517, 8518, 8519, 8520, 8521, 8522, 8523, 8524, 8525, 8526, 8527, 8528, 8529, 8530, 8531, 8532, 8533, 8534, 8535, 8536, 8537, 8538, 8539, 8540, 8541, 8542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29044.18-29044.31" + } + }, + "AXI_06_ARBURST": { + "hide_name": 0, + "bits": [ 8543, 8544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29045.17-29045.31" + } + }, + "AXI_06_ARESET_N": { + "hide_name": 0, + "bits": [ 8545 ], + "attributes": { + "invertible_pin": "IS_AXI_06_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29047.11-29047.26" + } + }, + "AXI_06_ARID": { + "hide_name": 0, + "bits": [ 8546, 8547, 8548, 8549, 8550, 8551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29048.17-29048.28" + } + }, + "AXI_06_ARLEN": { + "hide_name": 0, + "bits": [ 8552, 8553, 8554, 8555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29049.17-29049.29" + } + }, + "AXI_06_ARREADY": { + "hide_name": 0, + "bits": [ 2214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28657.12-28657.26" + } + }, + "AXI_06_ARSIZE": { + "hide_name": 0, + "bits": [ 8556, 8557, 8558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29050.17-29050.30" + } + }, + "AXI_06_ARVALID": { + "hide_name": 0, + "bits": [ 8559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29051.11-29051.25" + } + }, + "AXI_06_AWADDR": { + "hide_name": 0, + "bits": [ 8560, 8561, 8562, 8563, 8564, 8565, 8566, 8567, 8568, 8569, 8570, 8571, 8572, 8573, 8574, 8575, 8576, 8577, 8578, 8579, 8580, 8581, 8582, 8583, 8584, 8585, 8586, 8587, 8588, 8589, 8590, 8591, 8592, 8593, 8594, 8595, 8596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29052.18-29052.31" + } + }, + "AXI_06_AWBURST": { + "hide_name": 0, + "bits": [ 8597, 8598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29053.17-29053.31" + } + }, + "AXI_06_AWID": { + "hide_name": 0, + "bits": [ 8599, 8600, 8601, 8602, 8603, 8604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29054.17-29054.28" + } + }, + "AXI_06_AWLEN": { + "hide_name": 0, + "bits": [ 8605, 8606, 8607, 8608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29055.17-29055.29" + } + }, + "AXI_06_AWREADY": { + "hide_name": 0, + "bits": [ 2215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28658.12-28658.26" + } + }, + "AXI_06_AWSIZE": { + "hide_name": 0, + "bits": [ 8609, 8610, 8611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29056.17-29056.30" + } + }, + "AXI_06_AWVALID": { + "hide_name": 0, + "bits": [ 8612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29057.11-29057.25" + } + }, + "AXI_06_BID": { + "hide_name": 0, + "bits": [ 2216, 2217, 2218, 2219, 2220, 2221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28659.18-28659.28" + } + }, + "AXI_06_BREADY": { + "hide_name": 0, + "bits": [ 8613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29058.11-29058.24" + } + }, + "AXI_06_BRESP": { + "hide_name": 0, + "bits": [ 2222, 2223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28660.18-28660.30" + } + }, + "AXI_06_BVALID": { + "hide_name": 0, + "bits": [ 2224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28661.12-28661.25" + } + }, + "AXI_06_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 2225, 2226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28662.18-28662.38" + } + }, + "AXI_06_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 2227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28663.12-28663.30" + } + }, + "AXI_06_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28664.18-28664.45" + } + }, + "AXI_06_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28665.19-28665.43" + } + }, + "AXI_06_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28666.18-28666.43" + } + }, + "AXI_06_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 2265, 2266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28667.18-28667.44" + } + }, + "AXI_06_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 2267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28668.12-28668.36" + } + }, + "AXI_06_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 8614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29059.11-29059.34" + } + }, + "AXI_06_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 2268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28669.12-28669.33" + } + }, + "AXI_06_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 2269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28670.12-28670.35" + } + }, + "AXI_06_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 2270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28671.12-28671.32" + } + }, + "AXI_06_MC_STATUS": { + "hide_name": 0, + "bits": [ 2271, 2272, 2273, 2274, 2275, 2276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28672.18-28672.34" + } + }, + "AXI_06_PHY_STATUS": { + "hide_name": 0, + "bits": [ 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28673.18-28673.35" + } + }, + "AXI_06_RDATA": { + "hide_name": 0, + "bits": [ 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28674.20-28674.32" + } + }, + "AXI_06_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28675.19-28675.38" + } + }, + "AXI_06_RID": { + "hide_name": 0, + "bits": [ 2573, 2574, 2575, 2576, 2577, 2578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28676.18-28676.28" + } + }, + "AXI_06_RLAST": { + "hide_name": 0, + "bits": [ 2579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28677.12-28677.24" + } + }, + "AXI_06_RREADY": { + "hide_name": 0, + "bits": [ 8615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29060.11-29060.24" + } + }, + "AXI_06_RRESP": { + "hide_name": 0, + "bits": [ 2580, 2581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28678.18-28678.30" + } + }, + "AXI_06_RVALID": { + "hide_name": 0, + "bits": [ 2582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28679.12-28679.25" + } + }, + "AXI_06_WDATA": { + "hide_name": 0, + "bits": [ 8616, 8617, 8618, 8619, 8620, 8621, 8622, 8623, 8624, 8625, 8626, 8627, 8628, 8629, 8630, 8631, 8632, 8633, 8634, 8635, 8636, 8637, 8638, 8639, 8640, 8641, 8642, 8643, 8644, 8645, 8646, 8647, 8648, 8649, 8650, 8651, 8652, 8653, 8654, 8655, 8656, 8657, 8658, 8659, 8660, 8661, 8662, 8663, 8664, 8665, 8666, 8667, 8668, 8669, 8670, 8671, 8672, 8673, 8674, 8675, 8676, 8677, 8678, 8679, 8680, 8681, 8682, 8683, 8684, 8685, 8686, 8687, 8688, 8689, 8690, 8691, 8692, 8693, 8694, 8695, 8696, 8697, 8698, 8699, 8700, 8701, 8702, 8703, 8704, 8705, 8706, 8707, 8708, 8709, 8710, 8711, 8712, 8713, 8714, 8715, 8716, 8717, 8718, 8719, 8720, 8721, 8722, 8723, 8724, 8725, 8726, 8727, 8728, 8729, 8730, 8731, 8732, 8733, 8734, 8735, 8736, 8737, 8738, 8739, 8740, 8741, 8742, 8743, 8744, 8745, 8746, 8747, 8748, 8749, 8750, 8751, 8752, 8753, 8754, 8755, 8756, 8757, 8758, 8759, 8760, 8761, 8762, 8763, 8764, 8765, 8766, 8767, 8768, 8769, 8770, 8771, 8772, 8773, 8774, 8775, 8776, 8777, 8778, 8779, 8780, 8781, 8782, 8783, 8784, 8785, 8786, 8787, 8788, 8789, 8790, 8791, 8792, 8793, 8794, 8795, 8796, 8797, 8798, 8799, 8800, 8801, 8802, 8803, 8804, 8805, 8806, 8807, 8808, 8809, 8810, 8811, 8812, 8813, 8814, 8815, 8816, 8817, 8818, 8819, 8820, 8821, 8822, 8823, 8824, 8825, 8826, 8827, 8828, 8829, 8830, 8831, 8832, 8833, 8834, 8835, 8836, 8837, 8838, 8839, 8840, 8841, 8842, 8843, 8844, 8845, 8846, 8847, 8848, 8849, 8850, 8851, 8852, 8853, 8854, 8855, 8856, 8857, 8858, 8859, 8860, 8861, 8862, 8863, 8864, 8865, 8866, 8867, 8868, 8869, 8870, 8871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29061.19-29061.31" + } + }, + "AXI_06_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 8872, 8873, 8874, 8875, 8876, 8877, 8878, 8879, 8880, 8881, 8882, 8883, 8884, 8885, 8886, 8887, 8888, 8889, 8890, 8891, 8892, 8893, 8894, 8895, 8896, 8897, 8898, 8899, 8900, 8901, 8902, 8903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29062.18-29062.37" + } + }, + "AXI_06_WLAST": { + "hide_name": 0, + "bits": [ 8904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29063.11-29063.23" + } + }, + "AXI_06_WREADY": { + "hide_name": 0, + "bits": [ 2583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28680.12-28680.25" + } + }, + "AXI_06_WSTRB": { + "hide_name": 0, + "bits": [ 8905, 8906, 8907, 8908, 8909, 8910, 8911, 8912, 8913, 8914, 8915, 8916, 8917, 8918, 8919, 8920, 8921, 8922, 8923, 8924, 8925, 8926, 8927, 8928, 8929, 8930, 8931, 8932, 8933, 8934, 8935, 8936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29064.18-29064.30" + } + }, + "AXI_06_WVALID": { + "hide_name": 0, + "bits": [ 8937 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29065.11-29065.24" + } + }, + "AXI_07_ACLK": { + "hide_name": 0, + "bits": [ 8938 ], + "attributes": { + "invertible_pin": "IS_AXI_07_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29067.11-29067.22" + } + }, + "AXI_07_ARADDR": { + "hide_name": 0, + "bits": [ 8939, 8940, 8941, 8942, 8943, 8944, 8945, 8946, 8947, 8948, 8949, 8950, 8951, 8952, 8953, 8954, 8955, 8956, 8957, 8958, 8959, 8960, 8961, 8962, 8963, 8964, 8965, 8966, 8967, 8968, 8969, 8970, 8971, 8972, 8973, 8974, 8975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29068.18-29068.31" + } + }, + "AXI_07_ARBURST": { + "hide_name": 0, + "bits": [ 8976, 8977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29069.17-29069.31" + } + }, + "AXI_07_ARESET_N": { + "hide_name": 0, + "bits": [ 8978 ], + "attributes": { + "invertible_pin": "IS_AXI_07_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29071.11-29071.26" + } + }, + "AXI_07_ARID": { + "hide_name": 0, + "bits": [ 8979, 8980, 8981, 8982, 8983, 8984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29072.17-29072.28" + } + }, + "AXI_07_ARLEN": { + "hide_name": 0, + "bits": [ 8985, 8986, 8987, 8988 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29073.17-29073.29" + } + }, + "AXI_07_ARREADY": { + "hide_name": 0, + "bits": [ 2584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28681.12-28681.26" + } + }, + "AXI_07_ARSIZE": { + "hide_name": 0, + "bits": [ 8989, 8990, 8991 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29074.17-29074.30" + } + }, + "AXI_07_ARVALID": { + "hide_name": 0, + "bits": [ 8992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29075.11-29075.25" + } + }, + "AXI_07_AWADDR": { + "hide_name": 0, + "bits": [ 8993, 8994, 8995, 8996, 8997, 8998, 8999, 9000, 9001, 9002, 9003, 9004, 9005, 9006, 9007, 9008, 9009, 9010, 9011, 9012, 9013, 9014, 9015, 9016, 9017, 9018, 9019, 9020, 9021, 9022, 9023, 9024, 9025, 9026, 9027, 9028, 9029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29076.18-29076.31" + } + }, + "AXI_07_AWBURST": { + "hide_name": 0, + "bits": [ 9030, 9031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29077.17-29077.31" + } + }, + "AXI_07_AWID": { + "hide_name": 0, + "bits": [ 9032, 9033, 9034, 9035, 9036, 9037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29078.17-29078.28" + } + }, + "AXI_07_AWLEN": { + "hide_name": 0, + "bits": [ 9038, 9039, 9040, 9041 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29079.17-29079.29" + } + }, + "AXI_07_AWREADY": { + "hide_name": 0, + "bits": [ 2585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28682.12-28682.26" + } + }, + "AXI_07_AWSIZE": { + "hide_name": 0, + "bits": [ 9042, 9043, 9044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29080.17-29080.30" + } + }, + "AXI_07_AWVALID": { + "hide_name": 0, + "bits": [ 9045 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29081.11-29081.25" + } + }, + "AXI_07_BID": { + "hide_name": 0, + "bits": [ 2586, 2587, 2588, 2589, 2590, 2591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28683.18-28683.28" + } + }, + "AXI_07_BREADY": { + "hide_name": 0, + "bits": [ 9046 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29082.11-29082.24" + } + }, + "AXI_07_BRESP": { + "hide_name": 0, + "bits": [ 2592, 2593 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28684.18-28684.30" + } + }, + "AXI_07_BVALID": { + "hide_name": 0, + "bits": [ 2594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28685.12-28685.25" + } + }, + "AXI_07_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 2595, 2596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28686.18-28686.38" + } + }, + "AXI_07_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 2597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28687.12-28687.30" + } + }, + "AXI_07_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28688.18-28688.45" + } + }, + "AXI_07_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623, 2624, 2625, 2626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28689.19-28689.43" + } + }, + "AXI_07_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28690.18-28690.43" + } + }, + "AXI_07_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 2635, 2636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28691.18-28691.44" + } + }, + "AXI_07_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 2637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28692.12-28692.36" + } + }, + "AXI_07_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 9047 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29083.11-29083.34" + } + }, + "AXI_07_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 2638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28693.12-28693.33" + } + }, + "AXI_07_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 2639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28694.12-28694.35" + } + }, + "AXI_07_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 2640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28695.12-28695.32" + } + }, + "AXI_07_RDATA": { + "hide_name": 0, + "bits": [ 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28696.20-28696.32" + } + }, + "AXI_07_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28697.19-28697.38" + } + }, + "AXI_07_RID": { + "hide_name": 0, + "bits": [ 2929, 2930, 2931, 2932, 2933, 2934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28698.18-28698.28" + } + }, + "AXI_07_RLAST": { + "hide_name": 0, + "bits": [ 2935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28699.12-28699.24" + } + }, + "AXI_07_RREADY": { + "hide_name": 0, + "bits": [ 9048 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29084.11-29084.24" + } + }, + "AXI_07_RRESP": { + "hide_name": 0, + "bits": [ 2936, 2937 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28700.18-28700.30" + } + }, + "AXI_07_RVALID": { + "hide_name": 0, + "bits": [ 2938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28701.12-28701.25" + } + }, + "AXI_07_WDATA": { + "hide_name": 0, + "bits": [ 9049, 9050, 9051, 9052, 9053, 9054, 9055, 9056, 9057, 9058, 9059, 9060, 9061, 9062, 9063, 9064, 9065, 9066, 9067, 9068, 9069, 9070, 9071, 9072, 9073, 9074, 9075, 9076, 9077, 9078, 9079, 9080, 9081, 9082, 9083, 9084, 9085, 9086, 9087, 9088, 9089, 9090, 9091, 9092, 9093, 9094, 9095, 9096, 9097, 9098, 9099, 9100, 9101, 9102, 9103, 9104, 9105, 9106, 9107, 9108, 9109, 9110, 9111, 9112, 9113, 9114, 9115, 9116, 9117, 9118, 9119, 9120, 9121, 9122, 9123, 9124, 9125, 9126, 9127, 9128, 9129, 9130, 9131, 9132, 9133, 9134, 9135, 9136, 9137, 9138, 9139, 9140, 9141, 9142, 9143, 9144, 9145, 9146, 9147, 9148, 9149, 9150, 9151, 9152, 9153, 9154, 9155, 9156, 9157, 9158, 9159, 9160, 9161, 9162, 9163, 9164, 9165, 9166, 9167, 9168, 9169, 9170, 9171, 9172, 9173, 9174, 9175, 9176, 9177, 9178, 9179, 9180, 9181, 9182, 9183, 9184, 9185, 9186, 9187, 9188, 9189, 9190, 9191, 9192, 9193, 9194, 9195, 9196, 9197, 9198, 9199, 9200, 9201, 9202, 9203, 9204, 9205, 9206, 9207, 9208, 9209, 9210, 9211, 9212, 9213, 9214, 9215, 9216, 9217, 9218, 9219, 9220, 9221, 9222, 9223, 9224, 9225, 9226, 9227, 9228, 9229, 9230, 9231, 9232, 9233, 9234, 9235, 9236, 9237, 9238, 9239, 9240, 9241, 9242, 9243, 9244, 9245, 9246, 9247, 9248, 9249, 9250, 9251, 9252, 9253, 9254, 9255, 9256, 9257, 9258, 9259, 9260, 9261, 9262, 9263, 9264, 9265, 9266, 9267, 9268, 9269, 9270, 9271, 9272, 9273, 9274, 9275, 9276, 9277, 9278, 9279, 9280, 9281, 9282, 9283, 9284, 9285, 9286, 9287, 9288, 9289, 9290, 9291, 9292, 9293, 9294, 9295, 9296, 9297, 9298, 9299, 9300, 9301, 9302, 9303, 9304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29085.19-29085.31" + } + }, + "AXI_07_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 9305, 9306, 9307, 9308, 9309, 9310, 9311, 9312, 9313, 9314, 9315, 9316, 9317, 9318, 9319, 9320, 9321, 9322, 9323, 9324, 9325, 9326, 9327, 9328, 9329, 9330, 9331, 9332, 9333, 9334, 9335, 9336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29086.18-29086.37" + } + }, + "AXI_07_WLAST": { + "hide_name": 0, + "bits": [ 9337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29087.11-29087.23" + } + }, + "AXI_07_WREADY": { + "hide_name": 0, + "bits": [ 2939 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28702.12-28702.25" + } + }, + "AXI_07_WSTRB": { + "hide_name": 0, + "bits": [ 9338, 9339, 9340, 9341, 9342, 9343, 9344, 9345, 9346, 9347, 9348, 9349, 9350, 9351, 9352, 9353, 9354, 9355, 9356, 9357, 9358, 9359, 9360, 9361, 9362, 9363, 9364, 9365, 9366, 9367, 9368, 9369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29088.18-29088.30" + } + }, + "AXI_07_WVALID": { + "hide_name": 0, + "bits": [ 9370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29089.11-29089.24" + } + }, + "AXI_08_ACLK": { + "hide_name": 0, + "bits": [ 9371 ], + "attributes": { + "invertible_pin": "IS_AXI_08_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29091.11-29091.22" + } + }, + "AXI_08_ARADDR": { + "hide_name": 0, + "bits": [ 9372, 9373, 9374, 9375, 9376, 9377, 9378, 9379, 9380, 9381, 9382, 9383, 9384, 9385, 9386, 9387, 9388, 9389, 9390, 9391, 9392, 9393, 9394, 9395, 9396, 9397, 9398, 9399, 9400, 9401, 9402, 9403, 9404, 9405, 9406, 9407, 9408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29092.18-29092.31" + } + }, + "AXI_08_ARBURST": { + "hide_name": 0, + "bits": [ 9409, 9410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29093.17-29093.31" + } + }, + "AXI_08_ARESET_N": { + "hide_name": 0, + "bits": [ 9411 ], + "attributes": { + "invertible_pin": "IS_AXI_08_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29095.11-29095.26" + } + }, + "AXI_08_ARID": { + "hide_name": 0, + "bits": [ 9412, 9413, 9414, 9415, 9416, 9417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29096.17-29096.28" + } + }, + "AXI_08_ARLEN": { + "hide_name": 0, + "bits": [ 9418, 9419, 9420, 9421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29097.17-29097.29" + } + }, + "AXI_08_ARREADY": { + "hide_name": 0, + "bits": [ 2940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28703.12-28703.26" + } + }, + "AXI_08_ARSIZE": { + "hide_name": 0, + "bits": [ 9422, 9423, 9424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29098.17-29098.30" + } + }, + "AXI_08_ARVALID": { + "hide_name": 0, + "bits": [ 9425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29099.11-29099.25" + } + }, + "AXI_08_AWADDR": { + "hide_name": 0, + "bits": [ 9426, 9427, 9428, 9429, 9430, 9431, 9432, 9433, 9434, 9435, 9436, 9437, 9438, 9439, 9440, 9441, 9442, 9443, 9444, 9445, 9446, 9447, 9448, 9449, 9450, 9451, 9452, 9453, 9454, 9455, 9456, 9457, 9458, 9459, 9460, 9461, 9462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29100.18-29100.31" + } + }, + "AXI_08_AWBURST": { + "hide_name": 0, + "bits": [ 9463, 9464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29101.17-29101.31" + } + }, + "AXI_08_AWID": { + "hide_name": 0, + "bits": [ 9465, 9466, 9467, 9468, 9469, 9470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29102.17-29102.28" + } + }, + "AXI_08_AWLEN": { + "hide_name": 0, + "bits": [ 9471, 9472, 9473, 9474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29103.17-29103.29" + } + }, + "AXI_08_AWREADY": { + "hide_name": 0, + "bits": [ 2941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28704.12-28704.26" + } + }, + "AXI_08_AWSIZE": { + "hide_name": 0, + "bits": [ 9475, 9476, 9477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29104.17-29104.30" + } + }, + "AXI_08_AWVALID": { + "hide_name": 0, + "bits": [ 9478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29105.11-29105.25" + } + }, + "AXI_08_BID": { + "hide_name": 0, + "bits": [ 2942, 2943, 2944, 2945, 2946, 2947 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28705.18-28705.28" + } + }, + "AXI_08_BREADY": { + "hide_name": 0, + "bits": [ 9479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29106.11-29106.24" + } + }, + "AXI_08_BRESP": { + "hide_name": 0, + "bits": [ 2948, 2949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28706.18-28706.30" + } + }, + "AXI_08_BVALID": { + "hide_name": 0, + "bits": [ 2950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28707.12-28707.25" + } + }, + "AXI_08_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 2951, 2952 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28708.18-28708.38" + } + }, + "AXI_08_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 2953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28709.12-28709.30" + } + }, + "AXI_08_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28710.18-28710.45" + } + }, + "AXI_08_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28711.19-28711.43" + } + }, + "AXI_08_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28712.18-28712.43" + } + }, + "AXI_08_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 2991, 2992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28713.18-28713.44" + } + }, + "AXI_08_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 2993 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28714.12-28714.36" + } + }, + "AXI_08_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 9480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29107.11-29107.34" + } + }, + "AXI_08_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 2994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28715.12-28715.33" + } + }, + "AXI_08_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 2995 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28716.12-28716.35" + } + }, + "AXI_08_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 2996 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28717.12-28717.32" + } + }, + "AXI_08_MC_STATUS": { + "hide_name": 0, + "bits": [ 2997, 2998, 2999, 3000, 3001, 3002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28718.18-28718.34" + } + }, + "AXI_08_PHY_STATUS": { + "hide_name": 0, + "bits": [ 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28719.18-28719.35" + } + }, + "AXI_08_RDATA": { + "hide_name": 0, + "bits": [ 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28720.20-28720.32" + } + }, + "AXI_08_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28721.19-28721.38" + } + }, + "AXI_08_RID": { + "hide_name": 0, + "bits": [ 3299, 3300, 3301, 3302, 3303, 3304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28722.18-28722.28" + } + }, + "AXI_08_RLAST": { + "hide_name": 0, + "bits": [ 3305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28723.12-28723.24" + } + }, + "AXI_08_RREADY": { + "hide_name": 0, + "bits": [ 9481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29108.11-29108.24" + } + }, + "AXI_08_RRESP": { + "hide_name": 0, + "bits": [ 3306, 3307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28724.18-28724.30" + } + }, + "AXI_08_RVALID": { + "hide_name": 0, + "bits": [ 3308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28725.12-28725.25" + } + }, + "AXI_08_WDATA": { + "hide_name": 0, + "bits": [ 9482, 9483, 9484, 9485, 9486, 9487, 9488, 9489, 9490, 9491, 9492, 9493, 9494, 9495, 9496, 9497, 9498, 9499, 9500, 9501, 9502, 9503, 9504, 9505, 9506, 9507, 9508, 9509, 9510, 9511, 9512, 9513, 9514, 9515, 9516, 9517, 9518, 9519, 9520, 9521, 9522, 9523, 9524, 9525, 9526, 9527, 9528, 9529, 9530, 9531, 9532, 9533, 9534, 9535, 9536, 9537, 9538, 9539, 9540, 9541, 9542, 9543, 9544, 9545, 9546, 9547, 9548, 9549, 9550, 9551, 9552, 9553, 9554, 9555, 9556, 9557, 9558, 9559, 9560, 9561, 9562, 9563, 9564, 9565, 9566, 9567, 9568, 9569, 9570, 9571, 9572, 9573, 9574, 9575, 9576, 9577, 9578, 9579, 9580, 9581, 9582, 9583, 9584, 9585, 9586, 9587, 9588, 9589, 9590, 9591, 9592, 9593, 9594, 9595, 9596, 9597, 9598, 9599, 9600, 9601, 9602, 9603, 9604, 9605, 9606, 9607, 9608, 9609, 9610, 9611, 9612, 9613, 9614, 9615, 9616, 9617, 9618, 9619, 9620, 9621, 9622, 9623, 9624, 9625, 9626, 9627, 9628, 9629, 9630, 9631, 9632, 9633, 9634, 9635, 9636, 9637, 9638, 9639, 9640, 9641, 9642, 9643, 9644, 9645, 9646, 9647, 9648, 9649, 9650, 9651, 9652, 9653, 9654, 9655, 9656, 9657, 9658, 9659, 9660, 9661, 9662, 9663, 9664, 9665, 9666, 9667, 9668, 9669, 9670, 9671, 9672, 9673, 9674, 9675, 9676, 9677, 9678, 9679, 9680, 9681, 9682, 9683, 9684, 9685, 9686, 9687, 9688, 9689, 9690, 9691, 9692, 9693, 9694, 9695, 9696, 9697, 9698, 9699, 9700, 9701, 9702, 9703, 9704, 9705, 9706, 9707, 9708, 9709, 9710, 9711, 9712, 9713, 9714, 9715, 9716, 9717, 9718, 9719, 9720, 9721, 9722, 9723, 9724, 9725, 9726, 9727, 9728, 9729, 9730, 9731, 9732, 9733, 9734, 9735, 9736, 9737 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29109.19-29109.31" + } + }, + "AXI_08_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 9738, 9739, 9740, 9741, 9742, 9743, 9744, 9745, 9746, 9747, 9748, 9749, 9750, 9751, 9752, 9753, 9754, 9755, 9756, 9757, 9758, 9759, 9760, 9761, 9762, 9763, 9764, 9765, 9766, 9767, 9768, 9769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29110.18-29110.37" + } + }, + "AXI_08_WLAST": { + "hide_name": 0, + "bits": [ 9770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29111.11-29111.23" + } + }, + "AXI_08_WREADY": { + "hide_name": 0, + "bits": [ 3309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28726.12-28726.25" + } + }, + "AXI_08_WSTRB": { + "hide_name": 0, + "bits": [ 9771, 9772, 9773, 9774, 9775, 9776, 9777, 9778, 9779, 9780, 9781, 9782, 9783, 9784, 9785, 9786, 9787, 9788, 9789, 9790, 9791, 9792, 9793, 9794, 9795, 9796, 9797, 9798, 9799, 9800, 9801, 9802 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29112.18-29112.30" + } + }, + "AXI_08_WVALID": { + "hide_name": 0, + "bits": [ 9803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29113.11-29113.24" + } + }, + "AXI_09_ACLK": { + "hide_name": 0, + "bits": [ 9804 ], + "attributes": { + "invertible_pin": "IS_AXI_09_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29115.11-29115.22" + } + }, + "AXI_09_ARADDR": { + "hide_name": 0, + "bits": [ 9805, 9806, 9807, 9808, 9809, 9810, 9811, 9812, 9813, 9814, 9815, 9816, 9817, 9818, 9819, 9820, 9821, 9822, 9823, 9824, 9825, 9826, 9827, 9828, 9829, 9830, 9831, 9832, 9833, 9834, 9835, 9836, 9837, 9838, 9839, 9840, 9841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29116.18-29116.31" + } + }, + "AXI_09_ARBURST": { + "hide_name": 0, + "bits": [ 9842, 9843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29117.17-29117.31" + } + }, + "AXI_09_ARESET_N": { + "hide_name": 0, + "bits": [ 9844 ], + "attributes": { + "invertible_pin": "IS_AXI_09_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29119.11-29119.26" + } + }, + "AXI_09_ARID": { + "hide_name": 0, + "bits": [ 9845, 9846, 9847, 9848, 9849, 9850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29120.17-29120.28" + } + }, + "AXI_09_ARLEN": { + "hide_name": 0, + "bits": [ 9851, 9852, 9853, 9854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29121.17-29121.29" + } + }, + "AXI_09_ARREADY": { + "hide_name": 0, + "bits": [ 3310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28727.12-28727.26" + } + }, + "AXI_09_ARSIZE": { + "hide_name": 0, + "bits": [ 9855, 9856, 9857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29122.17-29122.30" + } + }, + "AXI_09_ARVALID": { + "hide_name": 0, + "bits": [ 9858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29123.11-29123.25" + } + }, + "AXI_09_AWADDR": { + "hide_name": 0, + "bits": [ 9859, 9860, 9861, 9862, 9863, 9864, 9865, 9866, 9867, 9868, 9869, 9870, 9871, 9872, 9873, 9874, 9875, 9876, 9877, 9878, 9879, 9880, 9881, 9882, 9883, 9884, 9885, 9886, 9887, 9888, 9889, 9890, 9891, 9892, 9893, 9894, 9895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29124.18-29124.31" + } + }, + "AXI_09_AWBURST": { + "hide_name": 0, + "bits": [ 9896, 9897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29125.17-29125.31" + } + }, + "AXI_09_AWID": { + "hide_name": 0, + "bits": [ 9898, 9899, 9900, 9901, 9902, 9903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29126.17-29126.28" + } + }, + "AXI_09_AWLEN": { + "hide_name": 0, + "bits": [ 9904, 9905, 9906, 9907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29127.17-29127.29" + } + }, + "AXI_09_AWREADY": { + "hide_name": 0, + "bits": [ 3311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28728.12-28728.26" + } + }, + "AXI_09_AWSIZE": { + "hide_name": 0, + "bits": [ 9908, 9909, 9910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29128.17-29128.30" + } + }, + "AXI_09_AWVALID": { + "hide_name": 0, + "bits": [ 9911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29129.11-29129.25" + } + }, + "AXI_09_BID": { + "hide_name": 0, + "bits": [ 3312, 3313, 3314, 3315, 3316, 3317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28729.18-28729.28" + } + }, + "AXI_09_BREADY": { + "hide_name": 0, + "bits": [ 9912 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29130.11-29130.24" + } + }, + "AXI_09_BRESP": { + "hide_name": 0, + "bits": [ 3318, 3319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28730.18-28730.30" + } + }, + "AXI_09_BVALID": { + "hide_name": 0, + "bits": [ 3320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28731.12-28731.25" + } + }, + "AXI_09_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 3321, 3322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28732.18-28732.38" + } + }, + "AXI_09_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 3323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28733.12-28733.30" + } + }, + "AXI_09_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28734.18-28734.45" + } + }, + "AXI_09_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28735.19-28735.43" + } + }, + "AXI_09_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28736.18-28736.43" + } + }, + "AXI_09_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 3361, 3362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28737.18-28737.44" + } + }, + "AXI_09_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 3363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28738.12-28738.36" + } + }, + "AXI_09_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 9913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29131.11-29131.34" + } + }, + "AXI_09_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 3364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28739.12-28739.33" + } + }, + "AXI_09_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 3365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28740.12-28740.35" + } + }, + "AXI_09_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 3366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28741.12-28741.32" + } + }, + "AXI_09_RDATA": { + "hide_name": 0, + "bits": [ 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28742.20-28742.32" + } + }, + "AXI_09_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28743.19-28743.38" + } + }, + "AXI_09_RID": { + "hide_name": 0, + "bits": [ 3655, 3656, 3657, 3658, 3659, 3660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28744.18-28744.28" + } + }, + "AXI_09_RLAST": { + "hide_name": 0, + "bits": [ 3661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28745.12-28745.24" + } + }, + "AXI_09_RREADY": { + "hide_name": 0, + "bits": [ 9914 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29132.11-29132.24" + } + }, + "AXI_09_RRESP": { + "hide_name": 0, + "bits": [ 3662, 3663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28746.18-28746.30" + } + }, + "AXI_09_RVALID": { + "hide_name": 0, + "bits": [ 3664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28747.12-28747.25" + } + }, + "AXI_09_WDATA": { + "hide_name": 0, + "bits": [ 9915, 9916, 9917, 9918, 9919, 9920, 9921, 9922, 9923, 9924, 9925, 9926, 9927, 9928, 9929, 9930, 9931, 9932, 9933, 9934, 9935, 9936, 9937, 9938, 9939, 9940, 9941, 9942, 9943, 9944, 9945, 9946, 9947, 9948, 9949, 9950, 9951, 9952, 9953, 9954, 9955, 9956, 9957, 9958, 9959, 9960, 9961, 9962, 9963, 9964, 9965, 9966, 9967, 9968, 9969, 9970, 9971, 9972, 9973, 9974, 9975, 9976, 9977, 9978, 9979, 9980, 9981, 9982, 9983, 9984, 9985, 9986, 9987, 9988, 9989, 9990, 9991, 9992, 9993, 9994, 9995, 9996, 9997, 9998, 9999, 10000, 10001, 10002, 10003, 10004, 10005, 10006, 10007, 10008, 10009, 10010, 10011, 10012, 10013, 10014, 10015, 10016, 10017, 10018, 10019, 10020, 10021, 10022, 10023, 10024, 10025, 10026, 10027, 10028, 10029, 10030, 10031, 10032, 10033, 10034, 10035, 10036, 10037, 10038, 10039, 10040, 10041, 10042, 10043, 10044, 10045, 10046, 10047, 10048, 10049, 10050, 10051, 10052, 10053, 10054, 10055, 10056, 10057, 10058, 10059, 10060, 10061, 10062, 10063, 10064, 10065, 10066, 10067, 10068, 10069, 10070, 10071, 10072, 10073, 10074, 10075, 10076, 10077, 10078, 10079, 10080, 10081, 10082, 10083, 10084, 10085, 10086, 10087, 10088, 10089, 10090, 10091, 10092, 10093, 10094, 10095, 10096, 10097, 10098, 10099, 10100, 10101, 10102, 10103, 10104, 10105, 10106, 10107, 10108, 10109, 10110, 10111, 10112, 10113, 10114, 10115, 10116, 10117, 10118, 10119, 10120, 10121, 10122, 10123, 10124, 10125, 10126, 10127, 10128, 10129, 10130, 10131, 10132, 10133, 10134, 10135, 10136, 10137, 10138, 10139, 10140, 10141, 10142, 10143, 10144, 10145, 10146, 10147, 10148, 10149, 10150, 10151, 10152, 10153, 10154, 10155, 10156, 10157, 10158, 10159, 10160, 10161, 10162, 10163, 10164, 10165, 10166, 10167, 10168, 10169, 10170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29133.19-29133.31" + } + }, + "AXI_09_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 10171, 10172, 10173, 10174, 10175, 10176, 10177, 10178, 10179, 10180, 10181, 10182, 10183, 10184, 10185, 10186, 10187, 10188, 10189, 10190, 10191, 10192, 10193, 10194, 10195, 10196, 10197, 10198, 10199, 10200, 10201, 10202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29134.18-29134.37" + } + }, + "AXI_09_WLAST": { + "hide_name": 0, + "bits": [ 10203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29135.11-29135.23" + } + }, + "AXI_09_WREADY": { + "hide_name": 0, + "bits": [ 3665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28748.12-28748.25" + } + }, + "AXI_09_WSTRB": { + "hide_name": 0, + "bits": [ 10204, 10205, 10206, 10207, 10208, 10209, 10210, 10211, 10212, 10213, 10214, 10215, 10216, 10217, 10218, 10219, 10220, 10221, 10222, 10223, 10224, 10225, 10226, 10227, 10228, 10229, 10230, 10231, 10232, 10233, 10234, 10235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29136.18-29136.30" + } + }, + "AXI_09_WVALID": { + "hide_name": 0, + "bits": [ 10236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29137.11-29137.24" + } + }, + "AXI_10_ACLK": { + "hide_name": 0, + "bits": [ 10237 ], + "attributes": { + "invertible_pin": "IS_AXI_10_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29139.11-29139.22" + } + }, + "AXI_10_ARADDR": { + "hide_name": 0, + "bits": [ 10238, 10239, 10240, 10241, 10242, 10243, 10244, 10245, 10246, 10247, 10248, 10249, 10250, 10251, 10252, 10253, 10254, 10255, 10256, 10257, 10258, 10259, 10260, 10261, 10262, 10263, 10264, 10265, 10266, 10267, 10268, 10269, 10270, 10271, 10272, 10273, 10274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29140.18-29140.31" + } + }, + "AXI_10_ARBURST": { + "hide_name": 0, + "bits": [ 10275, 10276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29141.17-29141.31" + } + }, + "AXI_10_ARESET_N": { + "hide_name": 0, + "bits": [ 10277 ], + "attributes": { + "invertible_pin": "IS_AXI_10_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29143.11-29143.26" + } + }, + "AXI_10_ARID": { + "hide_name": 0, + "bits": [ 10278, 10279, 10280, 10281, 10282, 10283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29144.17-29144.28" + } + }, + "AXI_10_ARLEN": { + "hide_name": 0, + "bits": [ 10284, 10285, 10286, 10287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29145.17-29145.29" + } + }, + "AXI_10_ARREADY": { + "hide_name": 0, + "bits": [ 3666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28749.12-28749.26" + } + }, + "AXI_10_ARSIZE": { + "hide_name": 0, + "bits": [ 10288, 10289, 10290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29146.17-29146.30" + } + }, + "AXI_10_ARVALID": { + "hide_name": 0, + "bits": [ 10291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29147.11-29147.25" + } + }, + "AXI_10_AWADDR": { + "hide_name": 0, + "bits": [ 10292, 10293, 10294, 10295, 10296, 10297, 10298, 10299, 10300, 10301, 10302, 10303, 10304, 10305, 10306, 10307, 10308, 10309, 10310, 10311, 10312, 10313, 10314, 10315, 10316, 10317, 10318, 10319, 10320, 10321, 10322, 10323, 10324, 10325, 10326, 10327, 10328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29148.18-29148.31" + } + }, + "AXI_10_AWBURST": { + "hide_name": 0, + "bits": [ 10329, 10330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29149.17-29149.31" + } + }, + "AXI_10_AWID": { + "hide_name": 0, + "bits": [ 10331, 10332, 10333, 10334, 10335, 10336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29150.17-29150.28" + } + }, + "AXI_10_AWLEN": { + "hide_name": 0, + "bits": [ 10337, 10338, 10339, 10340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29151.17-29151.29" + } + }, + "AXI_10_AWREADY": { + "hide_name": 0, + "bits": [ 3667 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28750.12-28750.26" + } + }, + "AXI_10_AWSIZE": { + "hide_name": 0, + "bits": [ 10341, 10342, 10343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29152.17-29152.30" + } + }, + "AXI_10_AWVALID": { + "hide_name": 0, + "bits": [ 10344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29153.11-29153.25" + } + }, + "AXI_10_BID": { + "hide_name": 0, + "bits": [ 3668, 3669, 3670, 3671, 3672, 3673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28751.18-28751.28" + } + }, + "AXI_10_BREADY": { + "hide_name": 0, + "bits": [ 10345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29154.11-29154.24" + } + }, + "AXI_10_BRESP": { + "hide_name": 0, + "bits": [ 3674, 3675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28752.18-28752.30" + } + }, + "AXI_10_BVALID": { + "hide_name": 0, + "bits": [ 3676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28753.12-28753.25" + } + }, + "AXI_10_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 3677, 3678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28754.18-28754.38" + } + }, + "AXI_10_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 3679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28755.12-28755.30" + } + }, + "AXI_10_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28756.18-28756.45" + } + }, + "AXI_10_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28757.19-28757.43" + } + }, + "AXI_10_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28758.18-28758.43" + } + }, + "AXI_10_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 3717, 3718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28759.18-28759.44" + } + }, + "AXI_10_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 3719 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28760.12-28760.36" + } + }, + "AXI_10_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 10346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29155.11-29155.34" + } + }, + "AXI_10_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 3720 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28761.12-28761.33" + } + }, + "AXI_10_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 3721 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28762.12-28762.35" + } + }, + "AXI_10_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 3722 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28763.12-28763.32" + } + }, + "AXI_10_MC_STATUS": { + "hide_name": 0, + "bits": [ 3723, 3724, 3725, 3726, 3727, 3728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28764.18-28764.34" + } + }, + "AXI_10_PHY_STATUS": { + "hide_name": 0, + "bits": [ 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28765.18-28765.35" + } + }, + "AXI_10_RDATA": { + "hide_name": 0, + "bits": [ 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28766.20-28766.32" + } + }, + "AXI_10_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28767.19-28767.38" + } + }, + "AXI_10_RID": { + "hide_name": 0, + "bits": [ 4025, 4026, 4027, 4028, 4029, 4030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28768.18-28768.28" + } + }, + "AXI_10_RLAST": { + "hide_name": 0, + "bits": [ 4031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28769.12-28769.24" + } + }, + "AXI_10_RREADY": { + "hide_name": 0, + "bits": [ 10347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29156.11-29156.24" + } + }, + "AXI_10_RRESP": { + "hide_name": 0, + "bits": [ 4032, 4033 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28770.18-28770.30" + } + }, + "AXI_10_RVALID": { + "hide_name": 0, + "bits": [ 4034 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28771.12-28771.25" + } + }, + "AXI_10_WDATA": { + "hide_name": 0, + "bits": [ 10348, 10349, 10350, 10351, 10352, 10353, 10354, 10355, 10356, 10357, 10358, 10359, 10360, 10361, 10362, 10363, 10364, 10365, 10366, 10367, 10368, 10369, 10370, 10371, 10372, 10373, 10374, 10375, 10376, 10377, 10378, 10379, 10380, 10381, 10382, 10383, 10384, 10385, 10386, 10387, 10388, 10389, 10390, 10391, 10392, 10393, 10394, 10395, 10396, 10397, 10398, 10399, 10400, 10401, 10402, 10403, 10404, 10405, 10406, 10407, 10408, 10409, 10410, 10411, 10412, 10413, 10414, 10415, 10416, 10417, 10418, 10419, 10420, 10421, 10422, 10423, 10424, 10425, 10426, 10427, 10428, 10429, 10430, 10431, 10432, 10433, 10434, 10435, 10436, 10437, 10438, 10439, 10440, 10441, 10442, 10443, 10444, 10445, 10446, 10447, 10448, 10449, 10450, 10451, 10452, 10453, 10454, 10455, 10456, 10457, 10458, 10459, 10460, 10461, 10462, 10463, 10464, 10465, 10466, 10467, 10468, 10469, 10470, 10471, 10472, 10473, 10474, 10475, 10476, 10477, 10478, 10479, 10480, 10481, 10482, 10483, 10484, 10485, 10486, 10487, 10488, 10489, 10490, 10491, 10492, 10493, 10494, 10495, 10496, 10497, 10498, 10499, 10500, 10501, 10502, 10503, 10504, 10505, 10506, 10507, 10508, 10509, 10510, 10511, 10512, 10513, 10514, 10515, 10516, 10517, 10518, 10519, 10520, 10521, 10522, 10523, 10524, 10525, 10526, 10527, 10528, 10529, 10530, 10531, 10532, 10533, 10534, 10535, 10536, 10537, 10538, 10539, 10540, 10541, 10542, 10543, 10544, 10545, 10546, 10547, 10548, 10549, 10550, 10551, 10552, 10553, 10554, 10555, 10556, 10557, 10558, 10559, 10560, 10561, 10562, 10563, 10564, 10565, 10566, 10567, 10568, 10569, 10570, 10571, 10572, 10573, 10574, 10575, 10576, 10577, 10578, 10579, 10580, 10581, 10582, 10583, 10584, 10585, 10586, 10587, 10588, 10589, 10590, 10591, 10592, 10593, 10594, 10595, 10596, 10597, 10598, 10599, 10600, 10601, 10602, 10603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29157.19-29157.31" + } + }, + "AXI_10_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 10604, 10605, 10606, 10607, 10608, 10609, 10610, 10611, 10612, 10613, 10614, 10615, 10616, 10617, 10618, 10619, 10620, 10621, 10622, 10623, 10624, 10625, 10626, 10627, 10628, 10629, 10630, 10631, 10632, 10633, 10634, 10635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29158.18-29158.37" + } + }, + "AXI_10_WLAST": { + "hide_name": 0, + "bits": [ 10636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29159.11-29159.23" + } + }, + "AXI_10_WREADY": { + "hide_name": 0, + "bits": [ 4035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28772.12-28772.25" + } + }, + "AXI_10_WSTRB": { + "hide_name": 0, + "bits": [ 10637, 10638, 10639, 10640, 10641, 10642, 10643, 10644, 10645, 10646, 10647, 10648, 10649, 10650, 10651, 10652, 10653, 10654, 10655, 10656, 10657, 10658, 10659, 10660, 10661, 10662, 10663, 10664, 10665, 10666, 10667, 10668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29160.18-29160.30" + } + }, + "AXI_10_WVALID": { + "hide_name": 0, + "bits": [ 10669 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29161.11-29161.24" + } + }, + "AXI_11_ACLK": { + "hide_name": 0, + "bits": [ 10670 ], + "attributes": { + "invertible_pin": "IS_AXI_11_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29163.11-29163.22" + } + }, + "AXI_11_ARADDR": { + "hide_name": 0, + "bits": [ 10671, 10672, 10673, 10674, 10675, 10676, 10677, 10678, 10679, 10680, 10681, 10682, 10683, 10684, 10685, 10686, 10687, 10688, 10689, 10690, 10691, 10692, 10693, 10694, 10695, 10696, 10697, 10698, 10699, 10700, 10701, 10702, 10703, 10704, 10705, 10706, 10707 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29164.18-29164.31" + } + }, + "AXI_11_ARBURST": { + "hide_name": 0, + "bits": [ 10708, 10709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29165.17-29165.31" + } + }, + "AXI_11_ARESET_N": { + "hide_name": 0, + "bits": [ 10710 ], + "attributes": { + "invertible_pin": "IS_AXI_11_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29167.11-29167.26" + } + }, + "AXI_11_ARID": { + "hide_name": 0, + "bits": [ 10711, 10712, 10713, 10714, 10715, 10716 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29168.17-29168.28" + } + }, + "AXI_11_ARLEN": { + "hide_name": 0, + "bits": [ 10717, 10718, 10719, 10720 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29169.17-29169.29" + } + }, + "AXI_11_ARREADY": { + "hide_name": 0, + "bits": [ 4036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28773.12-28773.26" + } + }, + "AXI_11_ARSIZE": { + "hide_name": 0, + "bits": [ 10721, 10722, 10723 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29170.17-29170.30" + } + }, + "AXI_11_ARVALID": { + "hide_name": 0, + "bits": [ 10724 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29171.11-29171.25" + } + }, + "AXI_11_AWADDR": { + "hide_name": 0, + "bits": [ 10725, 10726, 10727, 10728, 10729, 10730, 10731, 10732, 10733, 10734, 10735, 10736, 10737, 10738, 10739, 10740, 10741, 10742, 10743, 10744, 10745, 10746, 10747, 10748, 10749, 10750, 10751, 10752, 10753, 10754, 10755, 10756, 10757, 10758, 10759, 10760, 10761 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29172.18-29172.31" + } + }, + "AXI_11_AWBURST": { + "hide_name": 0, + "bits": [ 10762, 10763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29173.17-29173.31" + } + }, + "AXI_11_AWID": { + "hide_name": 0, + "bits": [ 10764, 10765, 10766, 10767, 10768, 10769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29174.17-29174.28" + } + }, + "AXI_11_AWLEN": { + "hide_name": 0, + "bits": [ 10770, 10771, 10772, 10773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29175.17-29175.29" + } + }, + "AXI_11_AWREADY": { + "hide_name": 0, + "bits": [ 4037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28774.12-28774.26" + } + }, + "AXI_11_AWSIZE": { + "hide_name": 0, + "bits": [ 10774, 10775, 10776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29176.17-29176.30" + } + }, + "AXI_11_AWVALID": { + "hide_name": 0, + "bits": [ 10777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29177.11-29177.25" + } + }, + "AXI_11_BID": { + "hide_name": 0, + "bits": [ 4038, 4039, 4040, 4041, 4042, 4043 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28775.18-28775.28" + } + }, + "AXI_11_BREADY": { + "hide_name": 0, + "bits": [ 10778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29178.11-29178.24" + } + }, + "AXI_11_BRESP": { + "hide_name": 0, + "bits": [ 4044, 4045 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28776.18-28776.30" + } + }, + "AXI_11_BVALID": { + "hide_name": 0, + "bits": [ 4046 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28777.12-28777.25" + } + }, + "AXI_11_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 4047, 4048 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28778.18-28778.38" + } + }, + "AXI_11_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 4049 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28779.12-28779.30" + } + }, + "AXI_11_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28780.18-28780.45" + } + }, + "AXI_11_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28781.19-28781.43" + } + }, + "AXI_11_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28782.18-28782.43" + } + }, + "AXI_11_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 4087, 4088 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28783.18-28783.44" + } + }, + "AXI_11_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 4089 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28784.12-28784.36" + } + }, + "AXI_11_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 10779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29179.11-29179.34" + } + }, + "AXI_11_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 4090 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28785.12-28785.33" + } + }, + "AXI_11_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 4091 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28786.12-28786.35" + } + }, + "AXI_11_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 4092 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28787.12-28787.32" + } + }, + "AXI_11_RDATA": { + "hide_name": 0, + "bits": [ 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28788.20-28788.32" + } + }, + "AXI_11_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28789.19-28789.38" + } + }, + "AXI_11_RID": { + "hide_name": 0, + "bits": [ 4381, 4382, 4383, 4384, 4385, 4386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28790.18-28790.28" + } + }, + "AXI_11_RLAST": { + "hide_name": 0, + "bits": [ 4387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28791.12-28791.24" + } + }, + "AXI_11_RREADY": { + "hide_name": 0, + "bits": [ 10780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29180.11-29180.24" + } + }, + "AXI_11_RRESP": { + "hide_name": 0, + "bits": [ 4388, 4389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28792.18-28792.30" + } + }, + "AXI_11_RVALID": { + "hide_name": 0, + "bits": [ 4390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28793.12-28793.25" + } + }, + "AXI_11_WDATA": { + "hide_name": 0, + "bits": [ 10781, 10782, 10783, 10784, 10785, 10786, 10787, 10788, 10789, 10790, 10791, 10792, 10793, 10794, 10795, 10796, 10797, 10798, 10799, 10800, 10801, 10802, 10803, 10804, 10805, 10806, 10807, 10808, 10809, 10810, 10811, 10812, 10813, 10814, 10815, 10816, 10817, 10818, 10819, 10820, 10821, 10822, 10823, 10824, 10825, 10826, 10827, 10828, 10829, 10830, 10831, 10832, 10833, 10834, 10835, 10836, 10837, 10838, 10839, 10840, 10841, 10842, 10843, 10844, 10845, 10846, 10847, 10848, 10849, 10850, 10851, 10852, 10853, 10854, 10855, 10856, 10857, 10858, 10859, 10860, 10861, 10862, 10863, 10864, 10865, 10866, 10867, 10868, 10869, 10870, 10871, 10872, 10873, 10874, 10875, 10876, 10877, 10878, 10879, 10880, 10881, 10882, 10883, 10884, 10885, 10886, 10887, 10888, 10889, 10890, 10891, 10892, 10893, 10894, 10895, 10896, 10897, 10898, 10899, 10900, 10901, 10902, 10903, 10904, 10905, 10906, 10907, 10908, 10909, 10910, 10911, 10912, 10913, 10914, 10915, 10916, 10917, 10918, 10919, 10920, 10921, 10922, 10923, 10924, 10925, 10926, 10927, 10928, 10929, 10930, 10931, 10932, 10933, 10934, 10935, 10936, 10937, 10938, 10939, 10940, 10941, 10942, 10943, 10944, 10945, 10946, 10947, 10948, 10949, 10950, 10951, 10952, 10953, 10954, 10955, 10956, 10957, 10958, 10959, 10960, 10961, 10962, 10963, 10964, 10965, 10966, 10967, 10968, 10969, 10970, 10971, 10972, 10973, 10974, 10975, 10976, 10977, 10978, 10979, 10980, 10981, 10982, 10983, 10984, 10985, 10986, 10987, 10988, 10989, 10990, 10991, 10992, 10993, 10994, 10995, 10996, 10997, 10998, 10999, 11000, 11001, 11002, 11003, 11004, 11005, 11006, 11007, 11008, 11009, 11010, 11011, 11012, 11013, 11014, 11015, 11016, 11017, 11018, 11019, 11020, 11021, 11022, 11023, 11024, 11025, 11026, 11027, 11028, 11029, 11030, 11031, 11032, 11033, 11034, 11035, 11036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29181.19-29181.31" + } + }, + "AXI_11_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 11037, 11038, 11039, 11040, 11041, 11042, 11043, 11044, 11045, 11046, 11047, 11048, 11049, 11050, 11051, 11052, 11053, 11054, 11055, 11056, 11057, 11058, 11059, 11060, 11061, 11062, 11063, 11064, 11065, 11066, 11067, 11068 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29182.18-29182.37" + } + }, + "AXI_11_WLAST": { + "hide_name": 0, + "bits": [ 11069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29183.11-29183.23" + } + }, + "AXI_11_WREADY": { + "hide_name": 0, + "bits": [ 4391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28794.12-28794.25" + } + }, + "AXI_11_WSTRB": { + "hide_name": 0, + "bits": [ 11070, 11071, 11072, 11073, 11074, 11075, 11076, 11077, 11078, 11079, 11080, 11081, 11082, 11083, 11084, 11085, 11086, 11087, 11088, 11089, 11090, 11091, 11092, 11093, 11094, 11095, 11096, 11097, 11098, 11099, 11100, 11101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29184.18-29184.30" + } + }, + "AXI_11_WVALID": { + "hide_name": 0, + "bits": [ 11102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29185.11-29185.24" + } + }, + "AXI_12_ACLK": { + "hide_name": 0, + "bits": [ 11103 ], + "attributes": { + "invertible_pin": "IS_AXI_12_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29187.11-29187.22" + } + }, + "AXI_12_ARADDR": { + "hide_name": 0, + "bits": [ 11104, 11105, 11106, 11107, 11108, 11109, 11110, 11111, 11112, 11113, 11114, 11115, 11116, 11117, 11118, 11119, 11120, 11121, 11122, 11123, 11124, 11125, 11126, 11127, 11128, 11129, 11130, 11131, 11132, 11133, 11134, 11135, 11136, 11137, 11138, 11139, 11140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29188.18-29188.31" + } + }, + "AXI_12_ARBURST": { + "hide_name": 0, + "bits": [ 11141, 11142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29189.17-29189.31" + } + }, + "AXI_12_ARESET_N": { + "hide_name": 0, + "bits": [ 11143 ], + "attributes": { + "invertible_pin": "IS_AXI_12_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29191.11-29191.26" + } + }, + "AXI_12_ARID": { + "hide_name": 0, + "bits": [ 11144, 11145, 11146, 11147, 11148, 11149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29192.17-29192.28" + } + }, + "AXI_12_ARLEN": { + "hide_name": 0, + "bits": [ 11150, 11151, 11152, 11153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29193.17-29193.29" + } + }, + "AXI_12_ARREADY": { + "hide_name": 0, + "bits": [ 4392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28795.12-28795.26" + } + }, + "AXI_12_ARSIZE": { + "hide_name": 0, + "bits": [ 11154, 11155, 11156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29194.17-29194.30" + } + }, + "AXI_12_ARVALID": { + "hide_name": 0, + "bits": [ 11157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29195.11-29195.25" + } + }, + "AXI_12_AWADDR": { + "hide_name": 0, + "bits": [ 11158, 11159, 11160, 11161, 11162, 11163, 11164, 11165, 11166, 11167, 11168, 11169, 11170, 11171, 11172, 11173, 11174, 11175, 11176, 11177, 11178, 11179, 11180, 11181, 11182, 11183, 11184, 11185, 11186, 11187, 11188, 11189, 11190, 11191, 11192, 11193, 11194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29196.18-29196.31" + } + }, + "AXI_12_AWBURST": { + "hide_name": 0, + "bits": [ 11195, 11196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29197.17-29197.31" + } + }, + "AXI_12_AWID": { + "hide_name": 0, + "bits": [ 11197, 11198, 11199, 11200, 11201, 11202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29198.17-29198.28" + } + }, + "AXI_12_AWLEN": { + "hide_name": 0, + "bits": [ 11203, 11204, 11205, 11206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29199.17-29199.29" + } + }, + "AXI_12_AWREADY": { + "hide_name": 0, + "bits": [ 4393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28796.12-28796.26" + } + }, + "AXI_12_AWSIZE": { + "hide_name": 0, + "bits": [ 11207, 11208, 11209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29200.17-29200.30" + } + }, + "AXI_12_AWVALID": { + "hide_name": 0, + "bits": [ 11210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29201.11-29201.25" + } + }, + "AXI_12_BID": { + "hide_name": 0, + "bits": [ 4394, 4395, 4396, 4397, 4398, 4399 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28797.18-28797.28" + } + }, + "AXI_12_BREADY": { + "hide_name": 0, + "bits": [ 11211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29202.11-29202.24" + } + }, + "AXI_12_BRESP": { + "hide_name": 0, + "bits": [ 4400, 4401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28798.18-28798.30" + } + }, + "AXI_12_BVALID": { + "hide_name": 0, + "bits": [ 4402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28799.12-28799.25" + } + }, + "AXI_12_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 4403, 4404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28800.18-28800.38" + } + }, + "AXI_12_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 4405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28801.12-28801.30" + } + }, + "AXI_12_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28802.18-28802.45" + } + }, + "AXI_12_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28803.19-28803.43" + } + }, + "AXI_12_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28804.18-28804.43" + } + }, + "AXI_12_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 4443, 4444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28805.18-28805.44" + } + }, + "AXI_12_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 4445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28806.12-28806.36" + } + }, + "AXI_12_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 11212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29203.11-29203.34" + } + }, + "AXI_12_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 4446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28807.12-28807.33" + } + }, + "AXI_12_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 4447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28808.12-28808.35" + } + }, + "AXI_12_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 4448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28809.12-28809.32" + } + }, + "AXI_12_MC_STATUS": { + "hide_name": 0, + "bits": [ 4449, 4450, 4451, 4452, 4453, 4454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28810.18-28810.34" + } + }, + "AXI_12_PHY_STATUS": { + "hide_name": 0, + "bits": [ 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28811.18-28811.35" + } + }, + "AXI_12_RDATA": { + "hide_name": 0, + "bits": [ 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28812.20-28812.32" + } + }, + "AXI_12_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28813.19-28813.38" + } + }, + "AXI_12_RID": { + "hide_name": 0, + "bits": [ 4751, 4752, 4753, 4754, 4755, 4756 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28814.18-28814.28" + } + }, + "AXI_12_RLAST": { + "hide_name": 0, + "bits": [ 4757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28815.12-28815.24" + } + }, + "AXI_12_RREADY": { + "hide_name": 0, + "bits": [ 11213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29204.11-29204.24" + } + }, + "AXI_12_RRESP": { + "hide_name": 0, + "bits": [ 4758, 4759 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28816.18-28816.30" + } + }, + "AXI_12_RVALID": { + "hide_name": 0, + "bits": [ 4760 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28817.12-28817.25" + } + }, + "AXI_12_WDATA": { + "hide_name": 0, + "bits": [ 11214, 11215, 11216, 11217, 11218, 11219, 11220, 11221, 11222, 11223, 11224, 11225, 11226, 11227, 11228, 11229, 11230, 11231, 11232, 11233, 11234, 11235, 11236, 11237, 11238, 11239, 11240, 11241, 11242, 11243, 11244, 11245, 11246, 11247, 11248, 11249, 11250, 11251, 11252, 11253, 11254, 11255, 11256, 11257, 11258, 11259, 11260, 11261, 11262, 11263, 11264, 11265, 11266, 11267, 11268, 11269, 11270, 11271, 11272, 11273, 11274, 11275, 11276, 11277, 11278, 11279, 11280, 11281, 11282, 11283, 11284, 11285, 11286, 11287, 11288, 11289, 11290, 11291, 11292, 11293, 11294, 11295, 11296, 11297, 11298, 11299, 11300, 11301, 11302, 11303, 11304, 11305, 11306, 11307, 11308, 11309, 11310, 11311, 11312, 11313, 11314, 11315, 11316, 11317, 11318, 11319, 11320, 11321, 11322, 11323, 11324, 11325, 11326, 11327, 11328, 11329, 11330, 11331, 11332, 11333, 11334, 11335, 11336, 11337, 11338, 11339, 11340, 11341, 11342, 11343, 11344, 11345, 11346, 11347, 11348, 11349, 11350, 11351, 11352, 11353, 11354, 11355, 11356, 11357, 11358, 11359, 11360, 11361, 11362, 11363, 11364, 11365, 11366, 11367, 11368, 11369, 11370, 11371, 11372, 11373, 11374, 11375, 11376, 11377, 11378, 11379, 11380, 11381, 11382, 11383, 11384, 11385, 11386, 11387, 11388, 11389, 11390, 11391, 11392, 11393, 11394, 11395, 11396, 11397, 11398, 11399, 11400, 11401, 11402, 11403, 11404, 11405, 11406, 11407, 11408, 11409, 11410, 11411, 11412, 11413, 11414, 11415, 11416, 11417, 11418, 11419, 11420, 11421, 11422, 11423, 11424, 11425, 11426, 11427, 11428, 11429, 11430, 11431, 11432, 11433, 11434, 11435, 11436, 11437, 11438, 11439, 11440, 11441, 11442, 11443, 11444, 11445, 11446, 11447, 11448, 11449, 11450, 11451, 11452, 11453, 11454, 11455, 11456, 11457, 11458, 11459, 11460, 11461, 11462, 11463, 11464, 11465, 11466, 11467, 11468, 11469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29205.19-29205.31" + } + }, + "AXI_12_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 11470, 11471, 11472, 11473, 11474, 11475, 11476, 11477, 11478, 11479, 11480, 11481, 11482, 11483, 11484, 11485, 11486, 11487, 11488, 11489, 11490, 11491, 11492, 11493, 11494, 11495, 11496, 11497, 11498, 11499, 11500, 11501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29206.18-29206.37" + } + }, + "AXI_12_WLAST": { + "hide_name": 0, + "bits": [ 11502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29207.11-29207.23" + } + }, + "AXI_12_WREADY": { + "hide_name": 0, + "bits": [ 4761 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28818.12-28818.25" + } + }, + "AXI_12_WSTRB": { + "hide_name": 0, + "bits": [ 11503, 11504, 11505, 11506, 11507, 11508, 11509, 11510, 11511, 11512, 11513, 11514, 11515, 11516, 11517, 11518, 11519, 11520, 11521, 11522, 11523, 11524, 11525, 11526, 11527, 11528, 11529, 11530, 11531, 11532, 11533, 11534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29208.18-29208.30" + } + }, + "AXI_12_WVALID": { + "hide_name": 0, + "bits": [ 11535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29209.11-29209.24" + } + }, + "AXI_13_ACLK": { + "hide_name": 0, + "bits": [ 11536 ], + "attributes": { + "invertible_pin": "IS_AXI_13_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29211.11-29211.22" + } + }, + "AXI_13_ARADDR": { + "hide_name": 0, + "bits": [ 11537, 11538, 11539, 11540, 11541, 11542, 11543, 11544, 11545, 11546, 11547, 11548, 11549, 11550, 11551, 11552, 11553, 11554, 11555, 11556, 11557, 11558, 11559, 11560, 11561, 11562, 11563, 11564, 11565, 11566, 11567, 11568, 11569, 11570, 11571, 11572, 11573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29212.18-29212.31" + } + }, + "AXI_13_ARBURST": { + "hide_name": 0, + "bits": [ 11574, 11575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29213.17-29213.31" + } + }, + "AXI_13_ARESET_N": { + "hide_name": 0, + "bits": [ 11576 ], + "attributes": { + "invertible_pin": "IS_AXI_13_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29215.11-29215.26" + } + }, + "AXI_13_ARID": { + "hide_name": 0, + "bits": [ 11577, 11578, 11579, 11580, 11581, 11582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29216.17-29216.28" + } + }, + "AXI_13_ARLEN": { + "hide_name": 0, + "bits": [ 11583, 11584, 11585, 11586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29217.17-29217.29" + } + }, + "AXI_13_ARREADY": { + "hide_name": 0, + "bits": [ 4762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28819.12-28819.26" + } + }, + "AXI_13_ARSIZE": { + "hide_name": 0, + "bits": [ 11587, 11588, 11589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29218.17-29218.30" + } + }, + "AXI_13_ARVALID": { + "hide_name": 0, + "bits": [ 11590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29219.11-29219.25" + } + }, + "AXI_13_AWADDR": { + "hide_name": 0, + "bits": [ 11591, 11592, 11593, 11594, 11595, 11596, 11597, 11598, 11599, 11600, 11601, 11602, 11603, 11604, 11605, 11606, 11607, 11608, 11609, 11610, 11611, 11612, 11613, 11614, 11615, 11616, 11617, 11618, 11619, 11620, 11621, 11622, 11623, 11624, 11625, 11626, 11627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29220.18-29220.31" + } + }, + "AXI_13_AWBURST": { + "hide_name": 0, + "bits": [ 11628, 11629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29221.17-29221.31" + } + }, + "AXI_13_AWID": { + "hide_name": 0, + "bits": [ 11630, 11631, 11632, 11633, 11634, 11635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29222.17-29222.28" + } + }, + "AXI_13_AWLEN": { + "hide_name": 0, + "bits": [ 11636, 11637, 11638, 11639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29223.17-29223.29" + } + }, + "AXI_13_AWREADY": { + "hide_name": 0, + "bits": [ 4763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28820.12-28820.26" + } + }, + "AXI_13_AWSIZE": { + "hide_name": 0, + "bits": [ 11640, 11641, 11642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29224.17-29224.30" + } + }, + "AXI_13_AWVALID": { + "hide_name": 0, + "bits": [ 11643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29225.11-29225.25" + } + }, + "AXI_13_BID": { + "hide_name": 0, + "bits": [ 4764, 4765, 4766, 4767, 4768, 4769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28821.18-28821.28" + } + }, + "AXI_13_BREADY": { + "hide_name": 0, + "bits": [ 11644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29226.11-29226.24" + } + }, + "AXI_13_BRESP": { + "hide_name": 0, + "bits": [ 4770, 4771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28822.18-28822.30" + } + }, + "AXI_13_BVALID": { + "hide_name": 0, + "bits": [ 4772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28823.12-28823.25" + } + }, + "AXI_13_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 4773, 4774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28824.18-28824.38" + } + }, + "AXI_13_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 4775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28825.12-28825.30" + } + }, + "AXI_13_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28826.18-28826.45" + } + }, + "AXI_13_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28827.19-28827.43" + } + }, + "AXI_13_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28828.18-28828.43" + } + }, + "AXI_13_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 4813, 4814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28829.18-28829.44" + } + }, + "AXI_13_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 4815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28830.12-28830.36" + } + }, + "AXI_13_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 11645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29227.11-29227.34" + } + }, + "AXI_13_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 4816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28831.12-28831.33" + } + }, + "AXI_13_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 4817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28832.12-28832.35" + } + }, + "AXI_13_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 4818 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28833.12-28833.32" + } + }, + "AXI_13_RDATA": { + "hide_name": 0, + "bits": [ 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28834.20-28834.32" + } + }, + "AXI_13_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28835.19-28835.38" + } + }, + "AXI_13_RID": { + "hide_name": 0, + "bits": [ 5107, 5108, 5109, 5110, 5111, 5112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28836.18-28836.28" + } + }, + "AXI_13_RLAST": { + "hide_name": 0, + "bits": [ 5113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28837.12-28837.24" + } + }, + "AXI_13_RREADY": { + "hide_name": 0, + "bits": [ 11646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29228.11-29228.24" + } + }, + "AXI_13_RRESP": { + "hide_name": 0, + "bits": [ 5114, 5115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28838.18-28838.30" + } + }, + "AXI_13_RVALID": { + "hide_name": 0, + "bits": [ 5116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28839.12-28839.25" + } + }, + "AXI_13_WDATA": { + "hide_name": 0, + "bits": [ 11647, 11648, 11649, 11650, 11651, 11652, 11653, 11654, 11655, 11656, 11657, 11658, 11659, 11660, 11661, 11662, 11663, 11664, 11665, 11666, 11667, 11668, 11669, 11670, 11671, 11672, 11673, 11674, 11675, 11676, 11677, 11678, 11679, 11680, 11681, 11682, 11683, 11684, 11685, 11686, 11687, 11688, 11689, 11690, 11691, 11692, 11693, 11694, 11695, 11696, 11697, 11698, 11699, 11700, 11701, 11702, 11703, 11704, 11705, 11706, 11707, 11708, 11709, 11710, 11711, 11712, 11713, 11714, 11715, 11716, 11717, 11718, 11719, 11720, 11721, 11722, 11723, 11724, 11725, 11726, 11727, 11728, 11729, 11730, 11731, 11732, 11733, 11734, 11735, 11736, 11737, 11738, 11739, 11740, 11741, 11742, 11743, 11744, 11745, 11746, 11747, 11748, 11749, 11750, 11751, 11752, 11753, 11754, 11755, 11756, 11757, 11758, 11759, 11760, 11761, 11762, 11763, 11764, 11765, 11766, 11767, 11768, 11769, 11770, 11771, 11772, 11773, 11774, 11775, 11776, 11777, 11778, 11779, 11780, 11781, 11782, 11783, 11784, 11785, 11786, 11787, 11788, 11789, 11790, 11791, 11792, 11793, 11794, 11795, 11796, 11797, 11798, 11799, 11800, 11801, 11802, 11803, 11804, 11805, 11806, 11807, 11808, 11809, 11810, 11811, 11812, 11813, 11814, 11815, 11816, 11817, 11818, 11819, 11820, 11821, 11822, 11823, 11824, 11825, 11826, 11827, 11828, 11829, 11830, 11831, 11832, 11833, 11834, 11835, 11836, 11837, 11838, 11839, 11840, 11841, 11842, 11843, 11844, 11845, 11846, 11847, 11848, 11849, 11850, 11851, 11852, 11853, 11854, 11855, 11856, 11857, 11858, 11859, 11860, 11861, 11862, 11863, 11864, 11865, 11866, 11867, 11868, 11869, 11870, 11871, 11872, 11873, 11874, 11875, 11876, 11877, 11878, 11879, 11880, 11881, 11882, 11883, 11884, 11885, 11886, 11887, 11888, 11889, 11890, 11891, 11892, 11893, 11894, 11895, 11896, 11897, 11898, 11899, 11900, 11901, 11902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29229.19-29229.31" + } + }, + "AXI_13_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 11903, 11904, 11905, 11906, 11907, 11908, 11909, 11910, 11911, 11912, 11913, 11914, 11915, 11916, 11917, 11918, 11919, 11920, 11921, 11922, 11923, 11924, 11925, 11926, 11927, 11928, 11929, 11930, 11931, 11932, 11933, 11934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29230.18-29230.37" + } + }, + "AXI_13_WLAST": { + "hide_name": 0, + "bits": [ 11935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29231.11-29231.23" + } + }, + "AXI_13_WREADY": { + "hide_name": 0, + "bits": [ 5117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28840.12-28840.25" + } + }, + "AXI_13_WSTRB": { + "hide_name": 0, + "bits": [ 11936, 11937, 11938, 11939, 11940, 11941, 11942, 11943, 11944, 11945, 11946, 11947, 11948, 11949, 11950, 11951, 11952, 11953, 11954, 11955, 11956, 11957, 11958, 11959, 11960, 11961, 11962, 11963, 11964, 11965, 11966, 11967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29232.18-29232.30" + } + }, + "AXI_13_WVALID": { + "hide_name": 0, + "bits": [ 11968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29233.11-29233.24" + } + }, + "AXI_14_ACLK": { + "hide_name": 0, + "bits": [ 11969 ], + "attributes": { + "invertible_pin": "IS_AXI_14_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29235.11-29235.22" + } + }, + "AXI_14_ARADDR": { + "hide_name": 0, + "bits": [ 11970, 11971, 11972, 11973, 11974, 11975, 11976, 11977, 11978, 11979, 11980, 11981, 11982, 11983, 11984, 11985, 11986, 11987, 11988, 11989, 11990, 11991, 11992, 11993, 11994, 11995, 11996, 11997, 11998, 11999, 12000, 12001, 12002, 12003, 12004, 12005, 12006 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29236.18-29236.31" + } + }, + "AXI_14_ARBURST": { + "hide_name": 0, + "bits": [ 12007, 12008 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29237.17-29237.31" + } + }, + "AXI_14_ARESET_N": { + "hide_name": 0, + "bits": [ 12009 ], + "attributes": { + "invertible_pin": "IS_AXI_14_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29239.11-29239.26" + } + }, + "AXI_14_ARID": { + "hide_name": 0, + "bits": [ 12010, 12011, 12012, 12013, 12014, 12015 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29240.17-29240.28" + } + }, + "AXI_14_ARLEN": { + "hide_name": 0, + "bits": [ 12016, 12017, 12018, 12019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29241.17-29241.29" + } + }, + "AXI_14_ARREADY": { + "hide_name": 0, + "bits": [ 5118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28841.12-28841.26" + } + }, + "AXI_14_ARSIZE": { + "hide_name": 0, + "bits": [ 12020, 12021, 12022 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29242.17-29242.30" + } + }, + "AXI_14_ARVALID": { + "hide_name": 0, + "bits": [ 12023 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29243.11-29243.25" + } + }, + "AXI_14_AWADDR": { + "hide_name": 0, + "bits": [ 12024, 12025, 12026, 12027, 12028, 12029, 12030, 12031, 12032, 12033, 12034, 12035, 12036, 12037, 12038, 12039, 12040, 12041, 12042, 12043, 12044, 12045, 12046, 12047, 12048, 12049, 12050, 12051, 12052, 12053, 12054, 12055, 12056, 12057, 12058, 12059, 12060 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29244.18-29244.31" + } + }, + "AXI_14_AWBURST": { + "hide_name": 0, + "bits": [ 12061, 12062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29245.17-29245.31" + } + }, + "AXI_14_AWID": { + "hide_name": 0, + "bits": [ 12063, 12064, 12065, 12066, 12067, 12068 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29246.17-29246.28" + } + }, + "AXI_14_AWLEN": { + "hide_name": 0, + "bits": [ 12069, 12070, 12071, 12072 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29247.17-29247.29" + } + }, + "AXI_14_AWREADY": { + "hide_name": 0, + "bits": [ 5119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28842.12-28842.26" + } + }, + "AXI_14_AWSIZE": { + "hide_name": 0, + "bits": [ 12073, 12074, 12075 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29248.17-29248.30" + } + }, + "AXI_14_AWVALID": { + "hide_name": 0, + "bits": [ 12076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29249.11-29249.25" + } + }, + "AXI_14_BID": { + "hide_name": 0, + "bits": [ 5120, 5121, 5122, 5123, 5124, 5125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28843.18-28843.28" + } + }, + "AXI_14_BREADY": { + "hide_name": 0, + "bits": [ 12077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29250.11-29250.24" + } + }, + "AXI_14_BRESP": { + "hide_name": 0, + "bits": [ 5126, 5127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28844.18-28844.30" + } + }, + "AXI_14_BVALID": { + "hide_name": 0, + "bits": [ 5128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28845.12-28845.25" + } + }, + "AXI_14_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 5129, 5130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28846.18-28846.38" + } + }, + "AXI_14_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 5131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28847.12-28847.30" + } + }, + "AXI_14_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28848.18-28848.45" + } + }, + "AXI_14_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28849.19-28849.43" + } + }, + "AXI_14_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28850.18-28850.43" + } + }, + "AXI_14_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 5169, 5170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28851.18-28851.44" + } + }, + "AXI_14_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 5171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28852.12-28852.36" + } + }, + "AXI_14_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 12078 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29251.11-29251.34" + } + }, + "AXI_14_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 5172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28853.12-28853.33" + } + }, + "AXI_14_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 5173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28854.12-28854.35" + } + }, + "AXI_14_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 5174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28855.12-28855.32" + } + }, + "AXI_14_MC_STATUS": { + "hide_name": 0, + "bits": [ 5175, 5176, 5177, 5178, 5179, 5180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28856.18-28856.34" + } + }, + "AXI_14_PHY_STATUS": { + "hide_name": 0, + "bits": [ 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28857.18-28857.35" + } + }, + "AXI_14_RDATA": { + "hide_name": 0, + "bits": [ 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394, 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28858.20-28858.32" + } + }, + "AXI_14_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28859.19-28859.38" + } + }, + "AXI_14_RID": { + "hide_name": 0, + "bits": [ 5477, 5478, 5479, 5480, 5481, 5482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28860.18-28860.28" + } + }, + "AXI_14_RLAST": { + "hide_name": 0, + "bits": [ 5483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28861.12-28861.24" + } + }, + "AXI_14_RREADY": { + "hide_name": 0, + "bits": [ 12079 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29252.11-29252.24" + } + }, + "AXI_14_RRESP": { + "hide_name": 0, + "bits": [ 5484, 5485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28862.18-28862.30" + } + }, + "AXI_14_RVALID": { + "hide_name": 0, + "bits": [ 5486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28863.12-28863.25" + } + }, + "AXI_14_WDATA": { + "hide_name": 0, + "bits": [ 12080, 12081, 12082, 12083, 12084, 12085, 12086, 12087, 12088, 12089, 12090, 12091, 12092, 12093, 12094, 12095, 12096, 12097, 12098, 12099, 12100, 12101, 12102, 12103, 12104, 12105, 12106, 12107, 12108, 12109, 12110, 12111, 12112, 12113, 12114, 12115, 12116, 12117, 12118, 12119, 12120, 12121, 12122, 12123, 12124, 12125, 12126, 12127, 12128, 12129, 12130, 12131, 12132, 12133, 12134, 12135, 12136, 12137, 12138, 12139, 12140, 12141, 12142, 12143, 12144, 12145, 12146, 12147, 12148, 12149, 12150, 12151, 12152, 12153, 12154, 12155, 12156, 12157, 12158, 12159, 12160, 12161, 12162, 12163, 12164, 12165, 12166, 12167, 12168, 12169, 12170, 12171, 12172, 12173, 12174, 12175, 12176, 12177, 12178, 12179, 12180, 12181, 12182, 12183, 12184, 12185, 12186, 12187, 12188, 12189, 12190, 12191, 12192, 12193, 12194, 12195, 12196, 12197, 12198, 12199, 12200, 12201, 12202, 12203, 12204, 12205, 12206, 12207, 12208, 12209, 12210, 12211, 12212, 12213, 12214, 12215, 12216, 12217, 12218, 12219, 12220, 12221, 12222, 12223, 12224, 12225, 12226, 12227, 12228, 12229, 12230, 12231, 12232, 12233, 12234, 12235, 12236, 12237, 12238, 12239, 12240, 12241, 12242, 12243, 12244, 12245, 12246, 12247, 12248, 12249, 12250, 12251, 12252, 12253, 12254, 12255, 12256, 12257, 12258, 12259, 12260, 12261, 12262, 12263, 12264, 12265, 12266, 12267, 12268, 12269, 12270, 12271, 12272, 12273, 12274, 12275, 12276, 12277, 12278, 12279, 12280, 12281, 12282, 12283, 12284, 12285, 12286, 12287, 12288, 12289, 12290, 12291, 12292, 12293, 12294, 12295, 12296, 12297, 12298, 12299, 12300, 12301, 12302, 12303, 12304, 12305, 12306, 12307, 12308, 12309, 12310, 12311, 12312, 12313, 12314, 12315, 12316, 12317, 12318, 12319, 12320, 12321, 12322, 12323, 12324, 12325, 12326, 12327, 12328, 12329, 12330, 12331, 12332, 12333, 12334, 12335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29253.19-29253.31" + } + }, + "AXI_14_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 12336, 12337, 12338, 12339, 12340, 12341, 12342, 12343, 12344, 12345, 12346, 12347, 12348, 12349, 12350, 12351, 12352, 12353, 12354, 12355, 12356, 12357, 12358, 12359, 12360, 12361, 12362, 12363, 12364, 12365, 12366, 12367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29254.18-29254.37" + } + }, + "AXI_14_WLAST": { + "hide_name": 0, + "bits": [ 12368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29255.11-29255.23" + } + }, + "AXI_14_WREADY": { + "hide_name": 0, + "bits": [ 5487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28864.12-28864.25" + } + }, + "AXI_14_WSTRB": { + "hide_name": 0, + "bits": [ 12369, 12370, 12371, 12372, 12373, 12374, 12375, 12376, 12377, 12378, 12379, 12380, 12381, 12382, 12383, 12384, 12385, 12386, 12387, 12388, 12389, 12390, 12391, 12392, 12393, 12394, 12395, 12396, 12397, 12398, 12399, 12400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29256.18-29256.30" + } + }, + "AXI_14_WVALID": { + "hide_name": 0, + "bits": [ 12401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29257.11-29257.24" + } + }, + "AXI_15_ACLK": { + "hide_name": 0, + "bits": [ 12402 ], + "attributes": { + "invertible_pin": "IS_AXI_15_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29259.11-29259.22" + } + }, + "AXI_15_ARADDR": { + "hide_name": 0, + "bits": [ 12403, 12404, 12405, 12406, 12407, 12408, 12409, 12410, 12411, 12412, 12413, 12414, 12415, 12416, 12417, 12418, 12419, 12420, 12421, 12422, 12423, 12424, 12425, 12426, 12427, 12428, 12429, 12430, 12431, 12432, 12433, 12434, 12435, 12436, 12437, 12438, 12439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29260.18-29260.31" + } + }, + "AXI_15_ARBURST": { + "hide_name": 0, + "bits": [ 12440, 12441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29261.17-29261.31" + } + }, + "AXI_15_ARESET_N": { + "hide_name": 0, + "bits": [ 12442 ], + "attributes": { + "invertible_pin": "IS_AXI_15_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29263.11-29263.26" + } + }, + "AXI_15_ARID": { + "hide_name": 0, + "bits": [ 12443, 12444, 12445, 12446, 12447, 12448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29264.17-29264.28" + } + }, + "AXI_15_ARLEN": { + "hide_name": 0, + "bits": [ 12449, 12450, 12451, 12452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29265.17-29265.29" + } + }, + "AXI_15_ARREADY": { + "hide_name": 0, + "bits": [ 5488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28865.12-28865.26" + } + }, + "AXI_15_ARSIZE": { + "hide_name": 0, + "bits": [ 12453, 12454, 12455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29266.17-29266.30" + } + }, + "AXI_15_ARVALID": { + "hide_name": 0, + "bits": [ 12456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29267.11-29267.25" + } + }, + "AXI_15_AWADDR": { + "hide_name": 0, + "bits": [ 12457, 12458, 12459, 12460, 12461, 12462, 12463, 12464, 12465, 12466, 12467, 12468, 12469, 12470, 12471, 12472, 12473, 12474, 12475, 12476, 12477, 12478, 12479, 12480, 12481, 12482, 12483, 12484, 12485, 12486, 12487, 12488, 12489, 12490, 12491, 12492, 12493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29268.18-29268.31" + } + }, + "AXI_15_AWBURST": { + "hide_name": 0, + "bits": [ 12494, 12495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29269.17-29269.31" + } + }, + "AXI_15_AWID": { + "hide_name": 0, + "bits": [ 12496, 12497, 12498, 12499, 12500, 12501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29270.17-29270.28" + } + }, + "AXI_15_AWLEN": { + "hide_name": 0, + "bits": [ 12502, 12503, 12504, 12505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29271.17-29271.29" + } + }, + "AXI_15_AWREADY": { + "hide_name": 0, + "bits": [ 5489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28866.12-28866.26" + } + }, + "AXI_15_AWSIZE": { + "hide_name": 0, + "bits": [ 12506, 12507, 12508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29272.17-29272.30" + } + }, + "AXI_15_AWVALID": { + "hide_name": 0, + "bits": [ 12509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29273.11-29273.25" + } + }, + "AXI_15_BID": { + "hide_name": 0, + "bits": [ 5490, 5491, 5492, 5493, 5494, 5495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28867.18-28867.28" + } + }, + "AXI_15_BREADY": { + "hide_name": 0, + "bits": [ 12510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29274.11-29274.24" + } + }, + "AXI_15_BRESP": { + "hide_name": 0, + "bits": [ 5496, 5497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28868.18-28868.30" + } + }, + "AXI_15_BVALID": { + "hide_name": 0, + "bits": [ 5498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28869.12-28869.25" + } + }, + "AXI_15_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 5499, 5500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28870.18-28870.38" + } + }, + "AXI_15_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 5501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28871.12-28871.30" + } + }, + "AXI_15_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28872.18-28872.45" + } + }, + "AXI_15_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28873.19-28873.43" + } + }, + "AXI_15_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28874.18-28874.43" + } + }, + "AXI_15_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 5539, 5540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28875.18-28875.44" + } + }, + "AXI_15_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 5541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28876.12-28876.36" + } + }, + "AXI_15_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 12511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29275.11-29275.34" + } + }, + "AXI_15_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 5542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28877.12-28877.33" + } + }, + "AXI_15_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 5543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28878.12-28878.35" + } + }, + "AXI_15_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 5544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28879.12-28879.32" + } + }, + "AXI_15_RDATA": { + "hide_name": 0, + "bits": [ 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28880.20-28880.32" + } + }, + "AXI_15_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820, 5821, 5822, 5823, 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28881.19-28881.38" + } + }, + "AXI_15_RID": { + "hide_name": 0, + "bits": [ 5833, 5834, 5835, 5836, 5837, 5838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28882.18-28882.28" + } + }, + "AXI_15_RLAST": { + "hide_name": 0, + "bits": [ 5839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28883.12-28883.24" + } + }, + "AXI_15_RREADY": { + "hide_name": 0, + "bits": [ 12512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29276.11-29276.24" + } + }, + "AXI_15_RRESP": { + "hide_name": 0, + "bits": [ 5840, 5841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28884.18-28884.30" + } + }, + "AXI_15_RVALID": { + "hide_name": 0, + "bits": [ 5842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28885.12-28885.25" + } + }, + "AXI_15_WDATA": { + "hide_name": 0, + "bits": [ 12513, 12514, 12515, 12516, 12517, 12518, 12519, 12520, 12521, 12522, 12523, 12524, 12525, 12526, 12527, 12528, 12529, 12530, 12531, 12532, 12533, 12534, 12535, 12536, 12537, 12538, 12539, 12540, 12541, 12542, 12543, 12544, 12545, 12546, 12547, 12548, 12549, 12550, 12551, 12552, 12553, 12554, 12555, 12556, 12557, 12558, 12559, 12560, 12561, 12562, 12563, 12564, 12565, 12566, 12567, 12568, 12569, 12570, 12571, 12572, 12573, 12574, 12575, 12576, 12577, 12578, 12579, 12580, 12581, 12582, 12583, 12584, 12585, 12586, 12587, 12588, 12589, 12590, 12591, 12592, 12593, 12594, 12595, 12596, 12597, 12598, 12599, 12600, 12601, 12602, 12603, 12604, 12605, 12606, 12607, 12608, 12609, 12610, 12611, 12612, 12613, 12614, 12615, 12616, 12617, 12618, 12619, 12620, 12621, 12622, 12623, 12624, 12625, 12626, 12627, 12628, 12629, 12630, 12631, 12632, 12633, 12634, 12635, 12636, 12637, 12638, 12639, 12640, 12641, 12642, 12643, 12644, 12645, 12646, 12647, 12648, 12649, 12650, 12651, 12652, 12653, 12654, 12655, 12656, 12657, 12658, 12659, 12660, 12661, 12662, 12663, 12664, 12665, 12666, 12667, 12668, 12669, 12670, 12671, 12672, 12673, 12674, 12675, 12676, 12677, 12678, 12679, 12680, 12681, 12682, 12683, 12684, 12685, 12686, 12687, 12688, 12689, 12690, 12691, 12692, 12693, 12694, 12695, 12696, 12697, 12698, 12699, 12700, 12701, 12702, 12703, 12704, 12705, 12706, 12707, 12708, 12709, 12710, 12711, 12712, 12713, 12714, 12715, 12716, 12717, 12718, 12719, 12720, 12721, 12722, 12723, 12724, 12725, 12726, 12727, 12728, 12729, 12730, 12731, 12732, 12733, 12734, 12735, 12736, 12737, 12738, 12739, 12740, 12741, 12742, 12743, 12744, 12745, 12746, 12747, 12748, 12749, 12750, 12751, 12752, 12753, 12754, 12755, 12756, 12757, 12758, 12759, 12760, 12761, 12762, 12763, 12764, 12765, 12766, 12767, 12768 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29277.19-29277.31" + } + }, + "AXI_15_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 12769, 12770, 12771, 12772, 12773, 12774, 12775, 12776, 12777, 12778, 12779, 12780, 12781, 12782, 12783, 12784, 12785, 12786, 12787, 12788, 12789, 12790, 12791, 12792, 12793, 12794, 12795, 12796, 12797, 12798, 12799, 12800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29278.18-29278.37" + } + }, + "AXI_15_WLAST": { + "hide_name": 0, + "bits": [ 12801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29279.11-29279.23" + } + }, + "AXI_15_WREADY": { + "hide_name": 0, + "bits": [ 5843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28886.12-28886.25" + } + }, + "AXI_15_WSTRB": { + "hide_name": 0, + "bits": [ 12802, 12803, 12804, 12805, 12806, 12807, 12808, 12809, 12810, 12811, 12812, 12813, 12814, 12815, 12816, 12817, 12818, 12819, 12820, 12821, 12822, 12823, 12824, 12825, 12826, 12827, 12828, 12829, 12830, 12831, 12832, 12833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29280.18-29280.30" + } + }, + "AXI_15_WVALID": { + "hide_name": 0, + "bits": [ 12834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29281.11-29281.24" + } + }, + "BSCAN_DRCK": { + "hide_name": 0, + "bits": [ 12835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29282.11-29282.21" + } + }, + "BSCAN_TCK": { + "hide_name": 0, + "bits": [ 12836 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29283.11-29283.20" + } + }, + "DRAM_0_STAT_CATTRIP": { + "hide_name": 0, + "bits": [ 5844 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28887.12-28887.31" + } + }, + "DRAM_0_STAT_TEMP": { + "hide_name": 0, + "bits": [ 5845, 5846, 5847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28888.18-28888.34" + } + }, + "HBM_REF_CLK": { + "hide_name": 0, + "bits": [ 12837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29284.11-29284.22" + } + }, + "MBIST_EN_00": { + "hide_name": 0, + "bits": [ 12838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29285.11-29285.22" + } + }, + "MBIST_EN_01": { + "hide_name": 0, + "bits": [ 12839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29286.11-29286.22" + } + }, + "MBIST_EN_02": { + "hide_name": 0, + "bits": [ 12840 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29287.11-29287.22" + } + }, + "MBIST_EN_03": { + "hide_name": 0, + "bits": [ 12841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29288.11-29288.22" + } + }, + "MBIST_EN_04": { + "hide_name": 0, + "bits": [ 12842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29289.11-29289.22" + } + }, + "MBIST_EN_05": { + "hide_name": 0, + "bits": [ 12843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29290.11-29290.22" + } + }, + "MBIST_EN_06": { + "hide_name": 0, + "bits": [ 12844 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29291.11-29291.22" + } + }, + "MBIST_EN_07": { + "hide_name": 0, + "bits": [ 12845 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29292.11-29292.22" + } + } + } + }, + "HBM_REF_CLK": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28295.1-28297.10" + }, + "ports": { + "REF_CLK": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "REF_CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28296.11-28296.18" + } + } + } + }, + "HBM_SNGLBLI_INTF_APB": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28300.1-28322.10" + }, + "parameter_default_values": { + "CLK_SEL": "FALSE", + "IS_PCLK_INVERTED": "0", + "IS_PRESET_N_INVERTED": "0", + "MC_ENABLE": "FALSE", + "PHY_ENABLE": "FALSE", + "PHY_PCLK_INVERT": "FALSE", + "SWITCH_ENABLE": "FALSE" + }, + "ports": { + "CATTRIP_PIPE": { + "direction": "output", + "bits": [ 2 ] + }, + "PRDATA_PIPE": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "PREADY_PIPE": { + "direction": "output", + "bits": [ 35 ] + }, + "PSLVERR_PIPE": { + "direction": "output", + "bits": [ 36 ] + }, + "TEMP_PIPE": { + "direction": "output", + "bits": [ 37, 38, 39 ] + }, + "PADDR": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 62 ] + }, + "PENABLE": { + "direction": "input", + "bits": [ 63 ] + }, + "PRESET_N": { + "direction": "input", + "bits": [ 64 ] + }, + "PSEL": { + "direction": "input", + "bits": [ 65 ] + }, + "PWDATA": { + "direction": "input", + "bits": [ 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ] + }, + "PWRITE": { + "direction": "input", + "bits": [ 98 ] + } + }, + "cells": { + }, + "netnames": { + "CATTRIP_PIPE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28308.12-28308.24" + } + }, + "PADDR": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28313.18-28313.23" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "invertible_pin": "IS_PCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28315.11-28315.15" + } + }, + "PENABLE": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28316.11-28316.18" + } + }, + "PRDATA_PIPE": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28309.19-28309.30" + } + }, + "PREADY_PIPE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28310.12-28310.23" + } + }, + "PRESET_N": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "invertible_pin": "IS_PRESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28318.11-28318.19" + } + }, + "PSEL": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28319.11-28319.15" + } + }, + "PSLVERR_PIPE": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28311.12-28311.24" + } + }, + "PWDATA": { + "hide_name": 0, + "bits": [ 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28320.18-28320.24" + } + }, + "PWRITE": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28321.11-28321.17" + } + }, + "TEMP_PIPE": { + "hide_name": 0, + "bits": [ 37, 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28312.18-28312.27" + } + } + } + }, + "HBM_SNGLBLI_INTF_AXI": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28325.1-28390.10" + }, + "parameter_default_values": { + "CLK_SEL": "FALSE", + "DATARATE": "00000000000000000000011100001000", + "IS_ACLK_INVERTED": "0", + "IS_ARESET_N_INVERTED": "0", + "MC_ENABLE": "FALSE", + "PAGEHIT_PERCENT": "00000000000000000000000001001011", + "PHY_ENABLE": "FALSE", + "READ_PERCENT": "00000000000000000000000000110010", + "SWITCH_ENABLE": "FALSE", + "WRITE_PERCENT": "00000000000000000000000000110010" + }, + "ports": { + "ARREADY_PIPE": { + "direction": "output", + "bits": [ 2 ] + }, + "AWREADY_PIPE": { + "direction": "output", + "bits": [ 3 ] + }, + "BID_PIPE": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9 ] + }, + "BRESP_PIPE": { + "direction": "output", + "bits": [ 10, 11 ] + }, + "BVALID_PIPE": { + "direction": "output", + "bits": [ 12 ] + }, + "DFI_AW_AERR_N_PIPE": { + "direction": "output", + "bits": [ 13, 14 ] + }, + "DFI_CLK_BUF": { + "direction": "output", + "bits": [ 15 ] + }, + "DFI_CTRLUPD_ACK_PIPE": { + "direction": "output", + "bits": [ 16 ] + }, + "DFI_DBI_BYTE_DISABLE_PIPE": { + "direction": "output", + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24 ] + }, + "DFI_DW_RDDATA_DBI_PIPE": { + "direction": "output", + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "DFI_DW_RDDATA_DERR_PIPE": { + "direction": "output", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DFI_DW_RDDATA_PAR_VALID_PIPE": { + "direction": "output", + "bits": [ 54, 55 ] + }, + "DFI_DW_RDDATA_VALID_PIPE": { + "direction": "output", + "bits": [ 56, 57 ] + }, + "DFI_INIT_COMPLETE_PIPE": { + "direction": "output", + "bits": [ 58 ] + }, + "DFI_PHYUPD_REQ_PIPE": { + "direction": "output", + "bits": [ 59 ] + }, + "DFI_PHYUPD_TYPE_PIPE": { + "direction": "output", + "bits": [ 60 ] + }, + "DFI_PHY_LP_STATE_PIPE": { + "direction": "output", + "bits": [ 61 ] + }, + "DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 62 ] + }, + "MC_STATUS": { + "direction": "output", + "bits": [ 63, 64, 65, 66, 67, 68 ] + }, + "PHY_STATUS": { + "direction": "output", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76 ] + }, + "RDATA_PARITY_PIPE": { + "direction": "output", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108 ] + }, + "RDATA_PIPE": { + "direction": "output", + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364 ] + }, + "RID_PIPE": { + "direction": "output", + "bits": [ 365, 366, 367, 368, 369, 370 ] + }, + "RLAST_PIPE": { + "direction": "output", + "bits": [ 371 ] + }, + "RRESP_PIPE": { + "direction": "output", + "bits": [ 372, 373 ] + }, + "RVALID_PIPE": { + "direction": "output", + "bits": [ 374 ] + }, + "STATUS": { + "direction": "output", + "bits": [ 375, 376, 377, 378, 379, 380 ] + }, + "WREADY_PIPE": { + "direction": "output", + "bits": [ 381 ] + }, + "ACLK": { + "direction": "input", + "bits": [ 382 ] + }, + "ARADDR": { + "direction": "input", + "bits": [ 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419 ] + }, + "ARBURST": { + "direction": "input", + "bits": [ 420, 421 ] + }, + "ARESET_N": { + "direction": "input", + "bits": [ 422 ] + }, + "ARID": { + "direction": "input", + "bits": [ 423, 424, 425, 426, 427, 428 ] + }, + "ARLEN": { + "direction": "input", + "bits": [ 429, 430, 431, 432 ] + }, + "ARSIZE": { + "direction": "input", + "bits": [ 433, 434, 435 ] + }, + "ARVALID": { + "direction": "input", + "bits": [ 436 ] + }, + "AWADDR": { + "direction": "input", + "bits": [ 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473 ] + }, + "AWBURST": { + "direction": "input", + "bits": [ 474, 475 ] + }, + "AWID": { + "direction": "input", + "bits": [ 476, 477, 478, 479, 480, 481 ] + }, + "AWLEN": { + "direction": "input", + "bits": [ 482, 483, 484, 485 ] + }, + "AWSIZE": { + "direction": "input", + "bits": [ 486, 487, 488 ] + }, + "AWVALID": { + "direction": "input", + "bits": [ 489 ] + }, + "BREADY": { + "direction": "input", + "bits": [ 490 ] + }, + "BSCAN_CK": { + "direction": "input", + "bits": [ 491 ] + }, + "DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 492 ] + }, + "MBIST_EN": { + "direction": "input", + "bits": [ 493 ] + }, + "RREADY": { + "direction": "input", + "bits": [ 494 ] + }, + "WDATA": { + "direction": "input", + "bits": [ 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750 ] + }, + "WDATA_PARITY": { + "direction": "input", + "bits": [ 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782 ] + }, + "WLAST": { + "direction": "input", + "bits": [ 783 ] + }, + "WSTRB": { + "direction": "input", + "bits": [ 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815 ] + }, + "WVALID": { + "direction": "input", + "bits": [ 816 ] + } + }, + "cells": { + }, + "netnames": { + "ACLK": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "invertible_pin": "IS_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28365.11-28365.15" + } + }, + "ARADDR": { + "hide_name": 0, + "bits": [ 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28366.18-28366.24" + } + }, + "ARBURST": { + "hide_name": 0, + "bits": [ 420, 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28367.17-28367.24" + } + }, + "ARESET_N": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "invertible_pin": "IS_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28369.11-28369.19" + } + }, + "ARID": { + "hide_name": 0, + "bits": [ 423, 424, 425, 426, 427, 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28370.17-28370.21" + } + }, + "ARLEN": { + "hide_name": 0, + "bits": [ 429, 430, 431, 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28371.17-28371.22" + } + }, + "ARREADY_PIPE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28336.12-28336.24" + } + }, + "ARSIZE": { + "hide_name": 0, + "bits": [ 433, 434, 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28372.17-28372.23" + } + }, + "ARVALID": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28373.11-28373.18" + } + }, + "AWADDR": { + "hide_name": 0, + "bits": [ 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28374.18-28374.24" + } + }, + "AWBURST": { + "hide_name": 0, + "bits": [ 474, 475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28375.17-28375.24" + } + }, + "AWID": { + "hide_name": 0, + "bits": [ 476, 477, 478, 479, 480, 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28376.17-28376.21" + } + }, + "AWLEN": { + "hide_name": 0, + "bits": [ 482, 483, 484, 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28377.17-28377.22" + } + }, + "AWREADY_PIPE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28337.12-28337.24" + } + }, + "AWSIZE": { + "hide_name": 0, + "bits": [ 486, 487, 488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28378.17-28378.23" + } + }, + "AWVALID": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28379.11-28379.18" + } + }, + "BID_PIPE": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28338.18-28338.26" + } + }, + "BREADY": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28380.11-28380.17" + } + }, + "BRESP_PIPE": { + "hide_name": 0, + "bits": [ 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28339.18-28339.28" + } + }, + "BSCAN_CK": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28381.11-28381.19" + } + }, + "BVALID_PIPE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28340.12-28340.23" + } + }, + "DFI_AW_AERR_N_PIPE": { + "hide_name": 0, + "bits": [ 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28341.18-28341.36" + } + }, + "DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28342.12-28342.23" + } + }, + "DFI_CTRLUPD_ACK_PIPE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28343.12-28343.32" + } + }, + "DFI_DBI_BYTE_DISABLE_PIPE": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28344.18-28344.43" + } + }, + "DFI_DW_RDDATA_DBI_PIPE": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28345.19-28345.41" + } + }, + "DFI_DW_RDDATA_DERR_PIPE": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28346.18-28346.41" + } + }, + "DFI_DW_RDDATA_PAR_VALID_PIPE": { + "hide_name": 0, + "bits": [ 54, 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28347.18-28347.46" + } + }, + "DFI_DW_RDDATA_VALID_PIPE": { + "hide_name": 0, + "bits": [ 56, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28348.18-28348.42" + } + }, + "DFI_INIT_COMPLETE_PIPE": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28349.12-28349.34" + } + }, + "DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28382.11-28382.27" + } + }, + "DFI_PHYUPD_REQ_PIPE": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28350.12-28350.31" + } + }, + "DFI_PHYUPD_TYPE_PIPE": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28351.12-28351.32" + } + }, + "DFI_PHY_LP_STATE_PIPE": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28352.12-28352.33" + } + }, + "DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28353.12-28353.25" + } + }, + "MBIST_EN": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28383.11-28383.19" + } + }, + "MC_STATUS": { + "hide_name": 0, + "bits": [ 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28354.18-28354.27" + } + }, + "PHY_STATUS": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28355.18-28355.28" + } + }, + "RDATA_PARITY_PIPE": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28356.19-28356.36" + } + }, + "RDATA_PIPE": { + "hide_name": 0, + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28357.20-28357.30" + } + }, + "RID_PIPE": { + "hide_name": 0, + "bits": [ 365, 366, 367, 368, 369, 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28358.18-28358.26" + } + }, + "RLAST_PIPE": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28359.12-28359.22" + } + }, + "RREADY": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28384.11-28384.17" + } + }, + "RRESP_PIPE": { + "hide_name": 0, + "bits": [ 372, 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28360.18-28360.28" + } + }, + "RVALID_PIPE": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28361.12-28361.23" + } + }, + "STATUS": { + "hide_name": 0, + "bits": [ 375, 376, 377, 378, 379, 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28362.18-28362.24" + } + }, + "WDATA": { + "hide_name": 0, + "bits": [ 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28385.19-28385.24" + } + }, + "WDATA_PARITY": { + "hide_name": 0, + "bits": [ 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28386.18-28386.30" + } + }, + "WLAST": { + "hide_name": 0, + "bits": [ 783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28387.11-28387.16" + } + }, + "WREADY_PIPE": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28363.12-28363.23" + } + }, + "WSTRB": { + "hide_name": 0, + "bits": [ 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28388.18-28388.23" + } + }, + "WVALID": { + "hide_name": 0, + "bits": [ 816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28389.11-28389.17" + } + } + } + }, + "HBM_TWO_STACK_INTF": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29296.1-31092.10" + }, + "parameter_default_values": { + "CLK_SEL_00": "FALSE", + "CLK_SEL_01": "FALSE", + "CLK_SEL_02": "FALSE", + "CLK_SEL_03": "FALSE", + "CLK_SEL_04": "FALSE", + "CLK_SEL_05": "FALSE", + "CLK_SEL_06": "FALSE", + "CLK_SEL_07": "FALSE", + "CLK_SEL_08": "FALSE", + "CLK_SEL_09": "FALSE", + "CLK_SEL_10": "FALSE", + "CLK_SEL_11": "FALSE", + "CLK_SEL_12": "FALSE", + "CLK_SEL_13": "FALSE", + "CLK_SEL_14": "FALSE", + "CLK_SEL_15": "FALSE", + "CLK_SEL_16": "FALSE", + "CLK_SEL_17": "FALSE", + "CLK_SEL_18": "FALSE", + "CLK_SEL_19": "FALSE", + "CLK_SEL_20": "FALSE", + "CLK_SEL_21": "FALSE", + "CLK_SEL_22": "FALSE", + "CLK_SEL_23": "FALSE", + "CLK_SEL_24": "FALSE", + "CLK_SEL_25": "FALSE", + "CLK_SEL_26": "FALSE", + "CLK_SEL_27": "FALSE", + "CLK_SEL_28": "FALSE", + "CLK_SEL_29": "FALSE", + "CLK_SEL_30": "FALSE", + "CLK_SEL_31": "FALSE", + "DATARATE_00": "00000000000000000000011100001000", + "DATARATE_01": "00000000000000000000011100001000", + "DATARATE_02": "00000000000000000000011100001000", + "DATARATE_03": "00000000000000000000011100001000", + "DATARATE_04": "00000000000000000000011100001000", + "DATARATE_05": "00000000000000000000011100001000", + "DATARATE_06": "00000000000000000000011100001000", + "DATARATE_07": "00000000000000000000011100001000", + "DATARATE_08": "00000000000000000000011100001000", + "DATARATE_09": "00000000000000000000011100001000", + "DATARATE_10": "00000000000000000000011100001000", + "DATARATE_11": "00000000000000000000011100001000", + "DATARATE_12": "00000000000000000000011100001000", + "DATARATE_13": "00000000000000000000011100001000", + "DATARATE_14": "00000000000000000000011100001000", + "DATARATE_15": "00000000000000000000011100001000", + "DA_LOCKOUT_0": "FALSE", + "DA_LOCKOUT_1": "FALSE", + "IS_APB_0_PCLK_INVERTED": "0", + "IS_APB_0_PRESET_N_INVERTED": "0", + "IS_APB_1_PCLK_INVERTED": "0", + "IS_APB_1_PRESET_N_INVERTED": "0", + "IS_AXI_00_ACLK_INVERTED": "0", + "IS_AXI_00_ARESET_N_INVERTED": "0", + "IS_AXI_01_ACLK_INVERTED": "0", + "IS_AXI_01_ARESET_N_INVERTED": "0", + "IS_AXI_02_ACLK_INVERTED": "0", + "IS_AXI_02_ARESET_N_INVERTED": "0", + "IS_AXI_03_ACLK_INVERTED": "0", + "IS_AXI_03_ARESET_N_INVERTED": "0", + "IS_AXI_04_ACLK_INVERTED": "0", + "IS_AXI_04_ARESET_N_INVERTED": "0", + "IS_AXI_05_ACLK_INVERTED": "0", + "IS_AXI_05_ARESET_N_INVERTED": "0", + "IS_AXI_06_ACLK_INVERTED": "0", + "IS_AXI_06_ARESET_N_INVERTED": "0", + "IS_AXI_07_ACLK_INVERTED": "0", + "IS_AXI_07_ARESET_N_INVERTED": "0", + "IS_AXI_08_ACLK_INVERTED": "0", + "IS_AXI_08_ARESET_N_INVERTED": "0", + "IS_AXI_09_ACLK_INVERTED": "0", + "IS_AXI_09_ARESET_N_INVERTED": "0", + "IS_AXI_10_ACLK_INVERTED": "0", + "IS_AXI_10_ARESET_N_INVERTED": "0", + "IS_AXI_11_ACLK_INVERTED": "0", + "IS_AXI_11_ARESET_N_INVERTED": "0", + "IS_AXI_12_ACLK_INVERTED": "0", + "IS_AXI_12_ARESET_N_INVERTED": "0", + "IS_AXI_13_ACLK_INVERTED": "0", + "IS_AXI_13_ARESET_N_INVERTED": "0", + "IS_AXI_14_ACLK_INVERTED": "0", + "IS_AXI_14_ARESET_N_INVERTED": "0", + "IS_AXI_15_ACLK_INVERTED": "0", + "IS_AXI_15_ARESET_N_INVERTED": "0", + "IS_AXI_16_ACLK_INVERTED": "0", + "IS_AXI_16_ARESET_N_INVERTED": "0", + "IS_AXI_17_ACLK_INVERTED": "0", + "IS_AXI_17_ARESET_N_INVERTED": "0", + "IS_AXI_18_ACLK_INVERTED": "0", + "IS_AXI_18_ARESET_N_INVERTED": "0", + "IS_AXI_19_ACLK_INVERTED": "0", + "IS_AXI_19_ARESET_N_INVERTED": "0", + "IS_AXI_20_ACLK_INVERTED": "0", + "IS_AXI_20_ARESET_N_INVERTED": "0", + "IS_AXI_21_ACLK_INVERTED": "0", + "IS_AXI_21_ARESET_N_INVERTED": "0", + "IS_AXI_22_ACLK_INVERTED": "0", + "IS_AXI_22_ARESET_N_INVERTED": "0", + "IS_AXI_23_ACLK_INVERTED": "0", + "IS_AXI_23_ARESET_N_INVERTED": "0", + "IS_AXI_24_ACLK_INVERTED": "0", + "IS_AXI_24_ARESET_N_INVERTED": "0", + "IS_AXI_25_ACLK_INVERTED": "0", + "IS_AXI_25_ARESET_N_INVERTED": "0", + "IS_AXI_26_ACLK_INVERTED": "0", + "IS_AXI_26_ARESET_N_INVERTED": "0", + "IS_AXI_27_ACLK_INVERTED": "0", + "IS_AXI_27_ARESET_N_INVERTED": "0", + "IS_AXI_28_ACLK_INVERTED": "0", + "IS_AXI_28_ARESET_N_INVERTED": "0", + "IS_AXI_29_ACLK_INVERTED": "0", + "IS_AXI_29_ARESET_N_INVERTED": "0", + "IS_AXI_30_ACLK_INVERTED": "0", + "IS_AXI_30_ARESET_N_INVERTED": "0", + "IS_AXI_31_ACLK_INVERTED": "0", + "IS_AXI_31_ARESET_N_INVERTED": "0", + "MC_ENABLE_00": "FALSE", + "MC_ENABLE_01": "FALSE", + "MC_ENABLE_02": "FALSE", + "MC_ENABLE_03": "FALSE", + "MC_ENABLE_04": "FALSE", + "MC_ENABLE_05": "FALSE", + "MC_ENABLE_06": "FALSE", + "MC_ENABLE_07": "FALSE", + "MC_ENABLE_08": "FALSE", + "MC_ENABLE_09": "FALSE", + "MC_ENABLE_10": "FALSE", + "MC_ENABLE_11": "FALSE", + "MC_ENABLE_12": "FALSE", + "MC_ENABLE_13": "FALSE", + "MC_ENABLE_14": "FALSE", + "MC_ENABLE_15": "FALSE", + "MC_ENABLE_APB_00": "FALSE", + "MC_ENABLE_APB_01": "FALSE", + "PAGEHIT_PERCENT_00": "00000000000000000000000001001011", + "PAGEHIT_PERCENT_01": "00000000000000000000000001001011", + "PHY_ENABLE_00": "FALSE", + "PHY_ENABLE_01": "FALSE", + "PHY_ENABLE_02": "FALSE", + "PHY_ENABLE_03": "FALSE", + "PHY_ENABLE_04": "FALSE", + "PHY_ENABLE_05": "FALSE", + "PHY_ENABLE_06": "FALSE", + "PHY_ENABLE_07": "FALSE", + "PHY_ENABLE_08": "FALSE", + "PHY_ENABLE_09": "FALSE", + "PHY_ENABLE_10": "FALSE", + "PHY_ENABLE_11": "FALSE", + "PHY_ENABLE_12": "FALSE", + "PHY_ENABLE_13": "FALSE", + "PHY_ENABLE_14": "FALSE", + "PHY_ENABLE_15": "FALSE", + "PHY_ENABLE_16": "FALSE", + "PHY_ENABLE_17": "FALSE", + "PHY_ENABLE_18": "FALSE", + "PHY_ENABLE_19": "FALSE", + "PHY_ENABLE_20": "FALSE", + "PHY_ENABLE_21": "FALSE", + "PHY_ENABLE_22": "FALSE", + "PHY_ENABLE_23": "FALSE", + "PHY_ENABLE_24": "FALSE", + "PHY_ENABLE_25": "FALSE", + "PHY_ENABLE_26": "FALSE", + "PHY_ENABLE_27": "FALSE", + "PHY_ENABLE_28": "FALSE", + "PHY_ENABLE_29": "FALSE", + "PHY_ENABLE_30": "FALSE", + "PHY_ENABLE_31": "FALSE", + "PHY_ENABLE_APB_00": "FALSE", + "PHY_ENABLE_APB_01": "FALSE", + "PHY_PCLK_INVERT_01": "FALSE", + "PHY_PCLK_INVERT_02": "FALSE", + "READ_PERCENT_00": "00000000000000000000000000110010", + "READ_PERCENT_01": "00000000000000000000000000110010", + "READ_PERCENT_02": "00000000000000000000000000110010", + "READ_PERCENT_03": "00000000000000000000000000110010", + "READ_PERCENT_04": "00000000000000000000000000110010", + "READ_PERCENT_05": "00000000000000000000000000110010", + "READ_PERCENT_06": "00000000000000000000000000110010", + "READ_PERCENT_07": "00000000000000000000000000110010", + "READ_PERCENT_08": "00000000000000000000000000110010", + "READ_PERCENT_09": "00000000000000000000000000110010", + "READ_PERCENT_10": "00000000000000000000000000110010", + "READ_PERCENT_11": "00000000000000000000000000110010", + "READ_PERCENT_12": "00000000000000000000000000110010", + "READ_PERCENT_13": "00000000000000000000000000110010", + "READ_PERCENT_14": "00000000000000000000000000110010", + "READ_PERCENT_15": "00000000000000000000000000110010", + "READ_PERCENT_16": "00000000000000000000000000110010", + "READ_PERCENT_17": "00000000000000000000000000110010", + "READ_PERCENT_18": "00000000000000000000000000110010", + "READ_PERCENT_19": "00000000000000000000000000110010", + "READ_PERCENT_20": "00000000000000000000000000110010", + "READ_PERCENT_21": "00000000000000000000000000110010", + "READ_PERCENT_22": "00000000000000000000000000110010", + "READ_PERCENT_23": "00000000000000000000000000110010", + "READ_PERCENT_24": "00000000000000000000000000110010", + "READ_PERCENT_25": "00000000000000000000000000110010", + "READ_PERCENT_26": "00000000000000000000000000110010", + "READ_PERCENT_27": "00000000000000000000000000110010", + "READ_PERCENT_28": "00000000000000000000000000110010", + "READ_PERCENT_29": "00000000000000000000000000110010", + "READ_PERCENT_30": "00000000000000000000000000110010", + "READ_PERCENT_31": "00000000000000000000000000110010", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "SWITCH_ENABLE_00": "FALSE", + "SWITCH_ENABLE_01": "FALSE", + "WRITE_PERCENT_00": "00000000000000000000000000110010", + "WRITE_PERCENT_01": "00000000000000000000000000110010", + "WRITE_PERCENT_02": "00000000000000000000000000110010", + "WRITE_PERCENT_03": "00000000000000000000000000110010", + "WRITE_PERCENT_04": "00000000000000000000000000110010", + "WRITE_PERCENT_05": "00000000000000000000000000110010", + "WRITE_PERCENT_06": "00000000000000000000000000110010", + "WRITE_PERCENT_07": "00000000000000000000000000110010", + "WRITE_PERCENT_08": "00000000000000000000000000110010", + "WRITE_PERCENT_09": "00000000000000000000000000110010", + "WRITE_PERCENT_10": "00000000000000000000000000110010", + "WRITE_PERCENT_11": "00000000000000000000000000110010", + "WRITE_PERCENT_12": "00000000000000000000000000110010", + "WRITE_PERCENT_13": "00000000000000000000000000110010", + "WRITE_PERCENT_14": "00000000000000000000000000110010", + "WRITE_PERCENT_15": "00000000000000000000000000110010", + "WRITE_PERCENT_16": "00000000000000000000000000110010", + "WRITE_PERCENT_17": "00000000000000000000000000110010", + "WRITE_PERCENT_18": "00000000000000000000000000110010", + "WRITE_PERCENT_19": "00000000000000000000000000110010", + "WRITE_PERCENT_20": "00000000000000000000000000110010", + "WRITE_PERCENT_21": "00000000000000000000000000110010", + "WRITE_PERCENT_22": "00000000000000000000000000110010", + "WRITE_PERCENT_23": "00000000000000000000000000110010", + "WRITE_PERCENT_24": "00000000000000000000000000110010", + "WRITE_PERCENT_25": "00000000000000000000000000110010", + "WRITE_PERCENT_26": "00000000000000000000000000110010", + "WRITE_PERCENT_27": "00000000000000000000000000110010", + "WRITE_PERCENT_28": "00000000000000000000000000110010", + "WRITE_PERCENT_29": "00000000000000000000000000110010", + "WRITE_PERCENT_30": "00000000000000000000000000110010", + "WRITE_PERCENT_31": "00000000000000000000000000110010" + }, + "ports": { + "APB_0_PRDATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "APB_0_PREADY": { + "direction": "output", + "bits": [ 34 ] + }, + "APB_0_PSLVERR": { + "direction": "output", + "bits": [ 35 ] + }, + "APB_1_PRDATA": { + "direction": "output", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "APB_1_PREADY": { + "direction": "output", + "bits": [ 68 ] + }, + "APB_1_PSLVERR": { + "direction": "output", + "bits": [ 69 ] + }, + "AXI_00_ARREADY": { + "direction": "output", + "bits": [ 70 ] + }, + "AXI_00_AWREADY": { + "direction": "output", + "bits": [ 71 ] + }, + "AXI_00_BID": { + "direction": "output", + "bits": [ 72, 73, 74, 75, 76, 77 ] + }, + "AXI_00_BRESP": { + "direction": "output", + "bits": [ 78, 79 ] + }, + "AXI_00_BVALID": { + "direction": "output", + "bits": [ 80 ] + }, + "AXI_00_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 81, 82 ] + }, + "AXI_00_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 83 ] + }, + "AXI_00_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91 ] + }, + "AXI_00_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112 ] + }, + "AXI_00_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 113, 114, 115, 116, 117, 118, 119, 120 ] + }, + "AXI_00_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 121, 122 ] + }, + "AXI_00_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 123 ] + }, + "AXI_00_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 124 ] + }, + "AXI_00_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 125 ] + }, + "AXI_00_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 126 ] + }, + "AXI_00_MC_STATUS": { + "direction": "output", + "bits": [ 127, 128, 129, 130, 131, 132 ] + }, + "AXI_00_PHY_STATUS": { + "direction": "output", + "bits": [ 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "AXI_00_RDATA": { + "direction": "output", + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396 ] + }, + "AXI_00_RDATA_PARITY": { + "direction": "output", + "bits": [ 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428 ] + }, + "AXI_00_RID": { + "direction": "output", + "bits": [ 429, 430, 431, 432, 433, 434 ] + }, + "AXI_00_RLAST": { + "direction": "output", + "bits": [ 435 ] + }, + "AXI_00_RRESP": { + "direction": "output", + "bits": [ 436, 437 ] + }, + "AXI_00_RVALID": { + "direction": "output", + "bits": [ 438 ] + }, + "AXI_00_WREADY": { + "direction": "output", + "bits": [ 439 ] + }, + "AXI_01_ARREADY": { + "direction": "output", + "bits": [ 440 ] + }, + "AXI_01_AWREADY": { + "direction": "output", + "bits": [ 441 ] + }, + "AXI_01_BID": { + "direction": "output", + "bits": [ 442, 443, 444, 445, 446, 447 ] + }, + "AXI_01_BRESP": { + "direction": "output", + "bits": [ 448, 449 ] + }, + "AXI_01_BVALID": { + "direction": "output", + "bits": [ 450 ] + }, + "AXI_01_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 451, 452 ] + }, + "AXI_01_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 453 ] + }, + "AXI_01_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ] + }, + "AXI_01_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482 ] + }, + "AXI_01_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 483, 484, 485, 486, 487, 488, 489, 490 ] + }, + "AXI_01_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 491, 492 ] + }, + "AXI_01_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 493 ] + }, + "AXI_01_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 494 ] + }, + "AXI_01_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 495 ] + }, + "AXI_01_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 496 ] + }, + "AXI_01_RDATA": { + "direction": "output", + "bits": [ 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752 ] + }, + "AXI_01_RDATA_PARITY": { + "direction": "output", + "bits": [ 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784 ] + }, + "AXI_01_RID": { + "direction": "output", + "bits": [ 785, 786, 787, 788, 789, 790 ] + }, + "AXI_01_RLAST": { + "direction": "output", + "bits": [ 791 ] + }, + "AXI_01_RRESP": { + "direction": "output", + "bits": [ 792, 793 ] + }, + "AXI_01_RVALID": { + "direction": "output", + "bits": [ 794 ] + }, + "AXI_01_WREADY": { + "direction": "output", + "bits": [ 795 ] + }, + "AXI_02_ARREADY": { + "direction": "output", + "bits": [ 796 ] + }, + "AXI_02_AWREADY": { + "direction": "output", + "bits": [ 797 ] + }, + "AXI_02_BID": { + "direction": "output", + "bits": [ 798, 799, 800, 801, 802, 803 ] + }, + "AXI_02_BRESP": { + "direction": "output", + "bits": [ 804, 805 ] + }, + "AXI_02_BVALID": { + "direction": "output", + "bits": [ 806 ] + }, + "AXI_02_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 807, 808 ] + }, + "AXI_02_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 809 ] + }, + "AXI_02_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 810, 811, 812, 813, 814, 815, 816, 817 ] + }, + "AXI_02_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838 ] + }, + "AXI_02_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 839, 840, 841, 842, 843, 844, 845, 846 ] + }, + "AXI_02_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 847, 848 ] + }, + "AXI_02_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 849 ] + }, + "AXI_02_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 850 ] + }, + "AXI_02_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 851 ] + }, + "AXI_02_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 852 ] + }, + "AXI_02_MC_STATUS": { + "direction": "output", + "bits": [ 853, 854, 855, 856, 857, 858 ] + }, + "AXI_02_PHY_STATUS": { + "direction": "output", + "bits": [ 859, 860, 861, 862, 863, 864, 865, 866 ] + }, + "AXI_02_RDATA": { + "direction": "output", + "bits": [ 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122 ] + }, + "AXI_02_RDATA_PARITY": { + "direction": "output", + "bits": [ 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154 ] + }, + "AXI_02_RID": { + "direction": "output", + "bits": [ 1155, 1156, 1157, 1158, 1159, 1160 ] + }, + "AXI_02_RLAST": { + "direction": "output", + "bits": [ 1161 ] + }, + "AXI_02_RRESP": { + "direction": "output", + "bits": [ 1162, 1163 ] + }, + "AXI_02_RVALID": { + "direction": "output", + "bits": [ 1164 ] + }, + "AXI_02_WREADY": { + "direction": "output", + "bits": [ 1165 ] + }, + "AXI_03_ARREADY": { + "direction": "output", + "bits": [ 1166 ] + }, + "AXI_03_AWREADY": { + "direction": "output", + "bits": [ 1167 ] + }, + "AXI_03_BID": { + "direction": "output", + "bits": [ 1168, 1169, 1170, 1171, 1172, 1173 ] + }, + "AXI_03_BRESP": { + "direction": "output", + "bits": [ 1174, 1175 ] + }, + "AXI_03_BVALID": { + "direction": "output", + "bits": [ 1176 ] + }, + "AXI_03_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 1177, 1178 ] + }, + "AXI_03_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 1179 ] + }, + "AXI_03_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187 ] + }, + "AXI_03_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208 ] + }, + "AXI_03_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216 ] + }, + "AXI_03_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 1217, 1218 ] + }, + "AXI_03_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 1219 ] + }, + "AXI_03_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 1220 ] + }, + "AXI_03_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 1221 ] + }, + "AXI_03_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 1222 ] + }, + "AXI_03_RDATA": { + "direction": "output", + "bits": [ 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478 ] + }, + "AXI_03_RDATA_PARITY": { + "direction": "output", + "bits": [ 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510 ] + }, + "AXI_03_RID": { + "direction": "output", + "bits": [ 1511, 1512, 1513, 1514, 1515, 1516 ] + }, + "AXI_03_RLAST": { + "direction": "output", + "bits": [ 1517 ] + }, + "AXI_03_RRESP": { + "direction": "output", + "bits": [ 1518, 1519 ] + }, + "AXI_03_RVALID": { + "direction": "output", + "bits": [ 1520 ] + }, + "AXI_03_WREADY": { + "direction": "output", + "bits": [ 1521 ] + }, + "AXI_04_ARREADY": { + "direction": "output", + "bits": [ 1522 ] + }, + "AXI_04_AWREADY": { + "direction": "output", + "bits": [ 1523 ] + }, + "AXI_04_BID": { + "direction": "output", + "bits": [ 1524, 1525, 1526, 1527, 1528, 1529 ] + }, + "AXI_04_BRESP": { + "direction": "output", + "bits": [ 1530, 1531 ] + }, + "AXI_04_BVALID": { + "direction": "output", + "bits": [ 1532 ] + }, + "AXI_04_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 1533, 1534 ] + }, + "AXI_04_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 1535 ] + }, + "AXI_04_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543 ] + }, + "AXI_04_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564 ] + }, + "AXI_04_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572 ] + }, + "AXI_04_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 1573, 1574 ] + }, + "AXI_04_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 1575 ] + }, + "AXI_04_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 1576 ] + }, + "AXI_04_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 1577 ] + }, + "AXI_04_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 1578 ] + }, + "AXI_04_MC_STATUS": { + "direction": "output", + "bits": [ 1579, 1580, 1581, 1582, 1583, 1584 ] + }, + "AXI_04_PHY_STATUS": { + "direction": "output", + "bits": [ 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592 ] + }, + "AXI_04_RDATA": { + "direction": "output", + "bits": [ 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848 ] + }, + "AXI_04_RDATA_PARITY": { + "direction": "output", + "bits": [ 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880 ] + }, + "AXI_04_RID": { + "direction": "output", + "bits": [ 1881, 1882, 1883, 1884, 1885, 1886 ] + }, + "AXI_04_RLAST": { + "direction": "output", + "bits": [ 1887 ] + }, + "AXI_04_RRESP": { + "direction": "output", + "bits": [ 1888, 1889 ] + }, + "AXI_04_RVALID": { + "direction": "output", + "bits": [ 1890 ] + }, + "AXI_04_WREADY": { + "direction": "output", + "bits": [ 1891 ] + }, + "AXI_05_ARREADY": { + "direction": "output", + "bits": [ 1892 ] + }, + "AXI_05_AWREADY": { + "direction": "output", + "bits": [ 1893 ] + }, + "AXI_05_BID": { + "direction": "output", + "bits": [ 1894, 1895, 1896, 1897, 1898, 1899 ] + }, + "AXI_05_BRESP": { + "direction": "output", + "bits": [ 1900, 1901 ] + }, + "AXI_05_BVALID": { + "direction": "output", + "bits": [ 1902 ] + }, + "AXI_05_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 1903, 1904 ] + }, + "AXI_05_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 1905 ] + }, + "AXI_05_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913 ] + }, + "AXI_05_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934 ] + }, + "AXI_05_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942 ] + }, + "AXI_05_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 1943, 1944 ] + }, + "AXI_05_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 1945 ] + }, + "AXI_05_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 1946 ] + }, + "AXI_05_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 1947 ] + }, + "AXI_05_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 1948 ] + }, + "AXI_05_RDATA": { + "direction": "output", + "bits": [ 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204 ] + }, + "AXI_05_RDATA_PARITY": { + "direction": "output", + "bits": [ 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236 ] + }, + "AXI_05_RID": { + "direction": "output", + "bits": [ 2237, 2238, 2239, 2240, 2241, 2242 ] + }, + "AXI_05_RLAST": { + "direction": "output", + "bits": [ 2243 ] + }, + "AXI_05_RRESP": { + "direction": "output", + "bits": [ 2244, 2245 ] + }, + "AXI_05_RVALID": { + "direction": "output", + "bits": [ 2246 ] + }, + "AXI_05_WREADY": { + "direction": "output", + "bits": [ 2247 ] + }, + "AXI_06_ARREADY": { + "direction": "output", + "bits": [ 2248 ] + }, + "AXI_06_AWREADY": { + "direction": "output", + "bits": [ 2249 ] + }, + "AXI_06_BID": { + "direction": "output", + "bits": [ 2250, 2251, 2252, 2253, 2254, 2255 ] + }, + "AXI_06_BRESP": { + "direction": "output", + "bits": [ 2256, 2257 ] + }, + "AXI_06_BVALID": { + "direction": "output", + "bits": [ 2258 ] + }, + "AXI_06_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 2259, 2260 ] + }, + "AXI_06_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 2261 ] + }, + "AXI_06_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269 ] + }, + "AXI_06_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290 ] + }, + "AXI_06_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298 ] + }, + "AXI_06_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 2299, 2300 ] + }, + "AXI_06_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 2301 ] + }, + "AXI_06_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 2302 ] + }, + "AXI_06_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 2303 ] + }, + "AXI_06_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 2304 ] + }, + "AXI_06_MC_STATUS": { + "direction": "output", + "bits": [ 2305, 2306, 2307, 2308, 2309, 2310 ] + }, + "AXI_06_PHY_STATUS": { + "direction": "output", + "bits": [ 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318 ] + }, + "AXI_06_RDATA": { + "direction": "output", + "bits": [ 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574 ] + }, + "AXI_06_RDATA_PARITY": { + "direction": "output", + "bits": [ 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606 ] + }, + "AXI_06_RID": { + "direction": "output", + "bits": [ 2607, 2608, 2609, 2610, 2611, 2612 ] + }, + "AXI_06_RLAST": { + "direction": "output", + "bits": [ 2613 ] + }, + "AXI_06_RRESP": { + "direction": "output", + "bits": [ 2614, 2615 ] + }, + "AXI_06_RVALID": { + "direction": "output", + "bits": [ 2616 ] + }, + "AXI_06_WREADY": { + "direction": "output", + "bits": [ 2617 ] + }, + "AXI_07_ARREADY": { + "direction": "output", + "bits": [ 2618 ] + }, + "AXI_07_AWREADY": { + "direction": "output", + "bits": [ 2619 ] + }, + "AXI_07_BID": { + "direction": "output", + "bits": [ 2620, 2621, 2622, 2623, 2624, 2625 ] + }, + "AXI_07_BRESP": { + "direction": "output", + "bits": [ 2626, 2627 ] + }, + "AXI_07_BVALID": { + "direction": "output", + "bits": [ 2628 ] + }, + "AXI_07_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 2629, 2630 ] + }, + "AXI_07_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 2631 ] + }, + "AXI_07_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639 ] + }, + "AXI_07_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660 ] + }, + "AXI_07_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668 ] + }, + "AXI_07_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 2669, 2670 ] + }, + "AXI_07_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 2671 ] + }, + "AXI_07_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 2672 ] + }, + "AXI_07_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 2673 ] + }, + "AXI_07_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 2674 ] + }, + "AXI_07_RDATA": { + "direction": "output", + "bits": [ 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930 ] + }, + "AXI_07_RDATA_PARITY": { + "direction": "output", + "bits": [ 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962 ] + }, + "AXI_07_RID": { + "direction": "output", + "bits": [ 2963, 2964, 2965, 2966, 2967, 2968 ] + }, + "AXI_07_RLAST": { + "direction": "output", + "bits": [ 2969 ] + }, + "AXI_07_RRESP": { + "direction": "output", + "bits": [ 2970, 2971 ] + }, + "AXI_07_RVALID": { + "direction": "output", + "bits": [ 2972 ] + }, + "AXI_07_WREADY": { + "direction": "output", + "bits": [ 2973 ] + }, + "AXI_08_ARREADY": { + "direction": "output", + "bits": [ 2974 ] + }, + "AXI_08_AWREADY": { + "direction": "output", + "bits": [ 2975 ] + }, + "AXI_08_BID": { + "direction": "output", + "bits": [ 2976, 2977, 2978, 2979, 2980, 2981 ] + }, + "AXI_08_BRESP": { + "direction": "output", + "bits": [ 2982, 2983 ] + }, + "AXI_08_BVALID": { + "direction": "output", + "bits": [ 2984 ] + }, + "AXI_08_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 2985, 2986 ] + }, + "AXI_08_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 2987 ] + }, + "AXI_08_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995 ] + }, + "AXI_08_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016 ] + }, + "AXI_08_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024 ] + }, + "AXI_08_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 3025, 3026 ] + }, + "AXI_08_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 3027 ] + }, + "AXI_08_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 3028 ] + }, + "AXI_08_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 3029 ] + }, + "AXI_08_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 3030 ] + }, + "AXI_08_MC_STATUS": { + "direction": "output", + "bits": [ 3031, 3032, 3033, 3034, 3035, 3036 ] + }, + "AXI_08_PHY_STATUS": { + "direction": "output", + "bits": [ 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044 ] + }, + "AXI_08_RDATA": { + "direction": "output", + "bits": [ 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300 ] + }, + "AXI_08_RDATA_PARITY": { + "direction": "output", + "bits": [ 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332 ] + }, + "AXI_08_RID": { + "direction": "output", + "bits": [ 3333, 3334, 3335, 3336, 3337, 3338 ] + }, + "AXI_08_RLAST": { + "direction": "output", + "bits": [ 3339 ] + }, + "AXI_08_RRESP": { + "direction": "output", + "bits": [ 3340, 3341 ] + }, + "AXI_08_RVALID": { + "direction": "output", + "bits": [ 3342 ] + }, + "AXI_08_WREADY": { + "direction": "output", + "bits": [ 3343 ] + }, + "AXI_09_ARREADY": { + "direction": "output", + "bits": [ 3344 ] + }, + "AXI_09_AWREADY": { + "direction": "output", + "bits": [ 3345 ] + }, + "AXI_09_BID": { + "direction": "output", + "bits": [ 3346, 3347, 3348, 3349, 3350, 3351 ] + }, + "AXI_09_BRESP": { + "direction": "output", + "bits": [ 3352, 3353 ] + }, + "AXI_09_BVALID": { + "direction": "output", + "bits": [ 3354 ] + }, + "AXI_09_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 3355, 3356 ] + }, + "AXI_09_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 3357 ] + }, + "AXI_09_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365 ] + }, + "AXI_09_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386 ] + }, + "AXI_09_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394 ] + }, + "AXI_09_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 3395, 3396 ] + }, + "AXI_09_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 3397 ] + }, + "AXI_09_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 3398 ] + }, + "AXI_09_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 3399 ] + }, + "AXI_09_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 3400 ] + }, + "AXI_09_RDATA": { + "direction": "output", + "bits": [ 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656 ] + }, + "AXI_09_RDATA_PARITY": { + "direction": "output", + "bits": [ 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688 ] + }, + "AXI_09_RID": { + "direction": "output", + "bits": [ 3689, 3690, 3691, 3692, 3693, 3694 ] + }, + "AXI_09_RLAST": { + "direction": "output", + "bits": [ 3695 ] + }, + "AXI_09_RRESP": { + "direction": "output", + "bits": [ 3696, 3697 ] + }, + "AXI_09_RVALID": { + "direction": "output", + "bits": [ 3698 ] + }, + "AXI_09_WREADY": { + "direction": "output", + "bits": [ 3699 ] + }, + "AXI_10_ARREADY": { + "direction": "output", + "bits": [ 3700 ] + }, + "AXI_10_AWREADY": { + "direction": "output", + "bits": [ 3701 ] + }, + "AXI_10_BID": { + "direction": "output", + "bits": [ 3702, 3703, 3704, 3705, 3706, 3707 ] + }, + "AXI_10_BRESP": { + "direction": "output", + "bits": [ 3708, 3709 ] + }, + "AXI_10_BVALID": { + "direction": "output", + "bits": [ 3710 ] + }, + "AXI_10_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 3711, 3712 ] + }, + "AXI_10_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 3713 ] + }, + "AXI_10_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721 ] + }, + "AXI_10_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742 ] + }, + "AXI_10_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750 ] + }, + "AXI_10_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 3751, 3752 ] + }, + "AXI_10_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 3753 ] + }, + "AXI_10_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 3754 ] + }, + "AXI_10_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 3755 ] + }, + "AXI_10_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 3756 ] + }, + "AXI_10_MC_STATUS": { + "direction": "output", + "bits": [ 3757, 3758, 3759, 3760, 3761, 3762 ] + }, + "AXI_10_PHY_STATUS": { + "direction": "output", + "bits": [ 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770 ] + }, + "AXI_10_RDATA": { + "direction": "output", + "bits": [ 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026 ] + }, + "AXI_10_RDATA_PARITY": { + "direction": "output", + "bits": [ 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058 ] + }, + "AXI_10_RID": { + "direction": "output", + "bits": [ 4059, 4060, 4061, 4062, 4063, 4064 ] + }, + "AXI_10_RLAST": { + "direction": "output", + "bits": [ 4065 ] + }, + "AXI_10_RRESP": { + "direction": "output", + "bits": [ 4066, 4067 ] + }, + "AXI_10_RVALID": { + "direction": "output", + "bits": [ 4068 ] + }, + "AXI_10_WREADY": { + "direction": "output", + "bits": [ 4069 ] + }, + "AXI_11_ARREADY": { + "direction": "output", + "bits": [ 4070 ] + }, + "AXI_11_AWREADY": { + "direction": "output", + "bits": [ 4071 ] + }, + "AXI_11_BID": { + "direction": "output", + "bits": [ 4072, 4073, 4074, 4075, 4076, 4077 ] + }, + "AXI_11_BRESP": { + "direction": "output", + "bits": [ 4078, 4079 ] + }, + "AXI_11_BVALID": { + "direction": "output", + "bits": [ 4080 ] + }, + "AXI_11_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 4081, 4082 ] + }, + "AXI_11_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 4083 ] + }, + "AXI_11_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091 ] + }, + "AXI_11_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112 ] + }, + "AXI_11_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120 ] + }, + "AXI_11_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 4121, 4122 ] + }, + "AXI_11_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 4123 ] + }, + "AXI_11_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 4124 ] + }, + "AXI_11_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 4125 ] + }, + "AXI_11_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 4126 ] + }, + "AXI_11_RDATA": { + "direction": "output", + "bits": [ 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382 ] + }, + "AXI_11_RDATA_PARITY": { + "direction": "output", + "bits": [ 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414 ] + }, + "AXI_11_RID": { + "direction": "output", + "bits": [ 4415, 4416, 4417, 4418, 4419, 4420 ] + }, + "AXI_11_RLAST": { + "direction": "output", + "bits": [ 4421 ] + }, + "AXI_11_RRESP": { + "direction": "output", + "bits": [ 4422, 4423 ] + }, + "AXI_11_RVALID": { + "direction": "output", + "bits": [ 4424 ] + }, + "AXI_11_WREADY": { + "direction": "output", + "bits": [ 4425 ] + }, + "AXI_12_ARREADY": { + "direction": "output", + "bits": [ 4426 ] + }, + "AXI_12_AWREADY": { + "direction": "output", + "bits": [ 4427 ] + }, + "AXI_12_BID": { + "direction": "output", + "bits": [ 4428, 4429, 4430, 4431, 4432, 4433 ] + }, + "AXI_12_BRESP": { + "direction": "output", + "bits": [ 4434, 4435 ] + }, + "AXI_12_BVALID": { + "direction": "output", + "bits": [ 4436 ] + }, + "AXI_12_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 4437, 4438 ] + }, + "AXI_12_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 4439 ] + }, + "AXI_12_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447 ] + }, + "AXI_12_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468 ] + }, + "AXI_12_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476 ] + }, + "AXI_12_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 4477, 4478 ] + }, + "AXI_12_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 4479 ] + }, + "AXI_12_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 4480 ] + }, + "AXI_12_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 4481 ] + }, + "AXI_12_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 4482 ] + }, + "AXI_12_MC_STATUS": { + "direction": "output", + "bits": [ 4483, 4484, 4485, 4486, 4487, 4488 ] + }, + "AXI_12_PHY_STATUS": { + "direction": "output", + "bits": [ 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496 ] + }, + "AXI_12_RDATA": { + "direction": "output", + "bits": [ 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752 ] + }, + "AXI_12_RDATA_PARITY": { + "direction": "output", + "bits": [ 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784 ] + }, + "AXI_12_RID": { + "direction": "output", + "bits": [ 4785, 4786, 4787, 4788, 4789, 4790 ] + }, + "AXI_12_RLAST": { + "direction": "output", + "bits": [ 4791 ] + }, + "AXI_12_RRESP": { + "direction": "output", + "bits": [ 4792, 4793 ] + }, + "AXI_12_RVALID": { + "direction": "output", + "bits": [ 4794 ] + }, + "AXI_12_WREADY": { + "direction": "output", + "bits": [ 4795 ] + }, + "AXI_13_ARREADY": { + "direction": "output", + "bits": [ 4796 ] + }, + "AXI_13_AWREADY": { + "direction": "output", + "bits": [ 4797 ] + }, + "AXI_13_BID": { + "direction": "output", + "bits": [ 4798, 4799, 4800, 4801, 4802, 4803 ] + }, + "AXI_13_BRESP": { + "direction": "output", + "bits": [ 4804, 4805 ] + }, + "AXI_13_BVALID": { + "direction": "output", + "bits": [ 4806 ] + }, + "AXI_13_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 4807, 4808 ] + }, + "AXI_13_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 4809 ] + }, + "AXI_13_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817 ] + }, + "AXI_13_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838 ] + }, + "AXI_13_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846 ] + }, + "AXI_13_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 4847, 4848 ] + }, + "AXI_13_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 4849 ] + }, + "AXI_13_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 4850 ] + }, + "AXI_13_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 4851 ] + }, + "AXI_13_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 4852 ] + }, + "AXI_13_RDATA": { + "direction": "output", + "bits": [ 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108 ] + }, + "AXI_13_RDATA_PARITY": { + "direction": "output", + "bits": [ 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140 ] + }, + "AXI_13_RID": { + "direction": "output", + "bits": [ 5141, 5142, 5143, 5144, 5145, 5146 ] + }, + "AXI_13_RLAST": { + "direction": "output", + "bits": [ 5147 ] + }, + "AXI_13_RRESP": { + "direction": "output", + "bits": [ 5148, 5149 ] + }, + "AXI_13_RVALID": { + "direction": "output", + "bits": [ 5150 ] + }, + "AXI_13_WREADY": { + "direction": "output", + "bits": [ 5151 ] + }, + "AXI_14_ARREADY": { + "direction": "output", + "bits": [ 5152 ] + }, + "AXI_14_AWREADY": { + "direction": "output", + "bits": [ 5153 ] + }, + "AXI_14_BID": { + "direction": "output", + "bits": [ 5154, 5155, 5156, 5157, 5158, 5159 ] + }, + "AXI_14_BRESP": { + "direction": "output", + "bits": [ 5160, 5161 ] + }, + "AXI_14_BVALID": { + "direction": "output", + "bits": [ 5162 ] + }, + "AXI_14_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 5163, 5164 ] + }, + "AXI_14_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 5165 ] + }, + "AXI_14_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173 ] + }, + "AXI_14_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194 ] + }, + "AXI_14_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202 ] + }, + "AXI_14_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 5203, 5204 ] + }, + "AXI_14_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 5205 ] + }, + "AXI_14_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 5206 ] + }, + "AXI_14_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 5207 ] + }, + "AXI_14_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 5208 ] + }, + "AXI_14_MC_STATUS": { + "direction": "output", + "bits": [ 5209, 5210, 5211, 5212, 5213, 5214 ] + }, + "AXI_14_PHY_STATUS": { + "direction": "output", + "bits": [ 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222 ] + }, + "AXI_14_RDATA": { + "direction": "output", + "bits": [ 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394, 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478 ] + }, + "AXI_14_RDATA_PARITY": { + "direction": "output", + "bits": [ 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510 ] + }, + "AXI_14_RID": { + "direction": "output", + "bits": [ 5511, 5512, 5513, 5514, 5515, 5516 ] + }, + "AXI_14_RLAST": { + "direction": "output", + "bits": [ 5517 ] + }, + "AXI_14_RRESP": { + "direction": "output", + "bits": [ 5518, 5519 ] + }, + "AXI_14_RVALID": { + "direction": "output", + "bits": [ 5520 ] + }, + "AXI_14_WREADY": { + "direction": "output", + "bits": [ 5521 ] + }, + "AXI_15_ARREADY": { + "direction": "output", + "bits": [ 5522 ] + }, + "AXI_15_AWREADY": { + "direction": "output", + "bits": [ 5523 ] + }, + "AXI_15_BID": { + "direction": "output", + "bits": [ 5524, 5525, 5526, 5527, 5528, 5529 ] + }, + "AXI_15_BRESP": { + "direction": "output", + "bits": [ 5530, 5531 ] + }, + "AXI_15_BVALID": { + "direction": "output", + "bits": [ 5532 ] + }, + "AXI_15_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 5533, 5534 ] + }, + "AXI_15_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 5535 ] + }, + "AXI_15_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543 ] + }, + "AXI_15_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564 ] + }, + "AXI_15_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572 ] + }, + "AXI_15_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 5573, 5574 ] + }, + "AXI_15_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 5575 ] + }, + "AXI_15_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 5576 ] + }, + "AXI_15_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 5577 ] + }, + "AXI_15_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 5578 ] + }, + "AXI_15_RDATA": { + "direction": "output", + "bits": [ 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820, 5821, 5822, 5823, 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832, 5833, 5834 ] + }, + "AXI_15_RDATA_PARITY": { + "direction": "output", + "bits": [ 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842, 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866 ] + }, + "AXI_15_RID": { + "direction": "output", + "bits": [ 5867, 5868, 5869, 5870, 5871, 5872 ] + }, + "AXI_15_RLAST": { + "direction": "output", + "bits": [ 5873 ] + }, + "AXI_15_RRESP": { + "direction": "output", + "bits": [ 5874, 5875 ] + }, + "AXI_15_RVALID": { + "direction": "output", + "bits": [ 5876 ] + }, + "AXI_15_WREADY": { + "direction": "output", + "bits": [ 5877 ] + }, + "AXI_16_ARREADY": { + "direction": "output", + "bits": [ 5878 ] + }, + "AXI_16_AWREADY": { + "direction": "output", + "bits": [ 5879 ] + }, + "AXI_16_BID": { + "direction": "output", + "bits": [ 5880, 5881, 5882, 5883, 5884, 5885 ] + }, + "AXI_16_BRESP": { + "direction": "output", + "bits": [ 5886, 5887 ] + }, + "AXI_16_BVALID": { + "direction": "output", + "bits": [ 5888 ] + }, + "AXI_16_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 5889, 5890 ] + }, + "AXI_16_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 5891 ] + }, + "AXI_16_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899 ] + }, + "AXI_16_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920 ] + }, + "AXI_16_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928 ] + }, + "AXI_16_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 5929, 5930 ] + }, + "AXI_16_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 5931 ] + }, + "AXI_16_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 5932 ] + }, + "AXI_16_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 5933 ] + }, + "AXI_16_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 5934 ] + }, + "AXI_16_MC_STATUS": { + "direction": "output", + "bits": [ 5935, 5936, 5937, 5938, 5939, 5940 ] + }, + "AXI_16_PHY_STATUS": { + "direction": "output", + "bits": [ 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948 ] + }, + "AXI_16_RDATA": { + "direction": "output", + "bits": [ 5949, 5950, 5951, 5952, 5953, 5954, 5955, 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983, 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994, 5995, 5996, 5997, 5998, 5999, 6000, 6001, 6002, 6003, 6004, 6005, 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016, 6017, 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027, 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082, 6083, 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152, 6153, 6154, 6155, 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204 ] + }, + "AXI_16_RDATA_PARITY": { + "direction": "output", + "bits": [ 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236 ] + }, + "AXI_16_RID": { + "direction": "output", + "bits": [ 6237, 6238, 6239, 6240, 6241, 6242 ] + }, + "AXI_16_RLAST": { + "direction": "output", + "bits": [ 6243 ] + }, + "AXI_16_RRESP": { + "direction": "output", + "bits": [ 6244, 6245 ] + }, + "AXI_16_RVALID": { + "direction": "output", + "bits": [ 6246 ] + }, + "AXI_16_WREADY": { + "direction": "output", + "bits": [ 6247 ] + }, + "AXI_17_ARREADY": { + "direction": "output", + "bits": [ 6248 ] + }, + "AXI_17_AWREADY": { + "direction": "output", + "bits": [ 6249 ] + }, + "AXI_17_BID": { + "direction": "output", + "bits": [ 6250, 6251, 6252, 6253, 6254, 6255 ] + }, + "AXI_17_BRESP": { + "direction": "output", + "bits": [ 6256, 6257 ] + }, + "AXI_17_BVALID": { + "direction": "output", + "bits": [ 6258 ] + }, + "AXI_17_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 6259, 6260 ] + }, + "AXI_17_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 6261 ] + }, + "AXI_17_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269 ] + }, + "AXI_17_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283, 6284, 6285, 6286, 6287, 6288, 6289, 6290 ] + }, + "AXI_17_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298 ] + }, + "AXI_17_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 6299, 6300 ] + }, + "AXI_17_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 6301 ] + }, + "AXI_17_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 6302 ] + }, + "AXI_17_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 6303 ] + }, + "AXI_17_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 6304 ] + }, + "AXI_17_RDATA": { + "direction": "output", + "bits": [ 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384, 6385, 6386, 6387, 6388, 6389, 6390, 6391, 6392, 6393, 6394, 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411, 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449, 6450, 6451, 6452, 6453, 6454, 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478, 6479, 6480, 6481, 6482, 6483, 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539, 6540, 6541, 6542, 6543, 6544, 6545, 6546, 6547, 6548, 6549, 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560 ] + }, + "AXI_17_RDATA_PARITY": { + "direction": "output", + "bits": [ 6561, 6562, 6563, 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581, 6582, 6583, 6584, 6585, 6586, 6587, 6588, 6589, 6590, 6591, 6592 ] + }, + "AXI_17_RID": { + "direction": "output", + "bits": [ 6593, 6594, 6595, 6596, 6597, 6598 ] + }, + "AXI_17_RLAST": { + "direction": "output", + "bits": [ 6599 ] + }, + "AXI_17_RRESP": { + "direction": "output", + "bits": [ 6600, 6601 ] + }, + "AXI_17_RVALID": { + "direction": "output", + "bits": [ 6602 ] + }, + "AXI_17_WREADY": { + "direction": "output", + "bits": [ 6603 ] + }, + "AXI_18_ARREADY": { + "direction": "output", + "bits": [ 6604 ] + }, + "AXI_18_AWREADY": { + "direction": "output", + "bits": [ 6605 ] + }, + "AXI_18_BID": { + "direction": "output", + "bits": [ 6606, 6607, 6608, 6609, 6610, 6611 ] + }, + "AXI_18_BRESP": { + "direction": "output", + "bits": [ 6612, 6613 ] + }, + "AXI_18_BVALID": { + "direction": "output", + "bits": [ 6614 ] + }, + "AXI_18_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 6615, 6616 ] + }, + "AXI_18_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 6617 ] + }, + "AXI_18_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 6618, 6619, 6620, 6621, 6622, 6623, 6624, 6625 ] + }, + "AXI_18_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 6626, 6627, 6628, 6629, 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638, 6639, 6640, 6641, 6642, 6643, 6644, 6645, 6646 ] + }, + "AXI_18_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 6647, 6648, 6649, 6650, 6651, 6652, 6653, 6654 ] + }, + "AXI_18_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 6655, 6656 ] + }, + "AXI_18_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 6657 ] + }, + "AXI_18_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 6658 ] + }, + "AXI_18_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 6659 ] + }, + "AXI_18_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 6660 ] + }, + "AXI_18_MC_STATUS": { + "direction": "output", + "bits": [ 6661, 6662, 6663, 6664, 6665, 6666 ] + }, + "AXI_18_PHY_STATUS": { + "direction": "output", + "bits": [ 6667, 6668, 6669, 6670, 6671, 6672, 6673, 6674 ] + }, + "AXI_18_RDATA": { + "direction": "output", + "bits": [ 6675, 6676, 6677, 6678, 6679, 6680, 6681, 6682, 6683, 6684, 6685, 6686, 6687, 6688, 6689, 6690, 6691, 6692, 6693, 6694, 6695, 6696, 6697, 6698, 6699, 6700, 6701, 6702, 6703, 6704, 6705, 6706, 6707, 6708, 6709, 6710, 6711, 6712, 6713, 6714, 6715, 6716, 6717, 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733, 6734, 6735, 6736, 6737, 6738, 6739, 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752, 6753, 6754, 6755, 6756, 6757, 6758, 6759, 6760, 6761, 6762, 6763, 6764, 6765, 6766, 6767, 6768, 6769, 6770, 6771, 6772, 6773, 6774, 6775, 6776, 6777, 6778, 6779, 6780, 6781, 6782, 6783, 6784, 6785, 6786, 6787, 6788, 6789, 6790, 6791, 6792, 6793, 6794, 6795, 6796, 6797, 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810, 6811, 6812, 6813, 6814, 6815, 6816, 6817, 6818, 6819, 6820, 6821, 6822, 6823, 6824, 6825, 6826, 6827, 6828, 6829, 6830, 6831, 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849, 6850, 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864, 6865, 6866, 6867, 6868, 6869, 6870, 6871, 6872, 6873, 6874, 6875, 6876, 6877, 6878, 6879, 6880, 6881, 6882, 6883, 6884, 6885, 6886, 6887, 6888, 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906, 6907, 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925, 6926, 6927, 6928, 6929, 6930 ] + }, + "AXI_18_RDATA_PARITY": { + "direction": "output", + "bits": [ 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942, 6943, 6944, 6945, 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962 ] + }, + "AXI_18_RID": { + "direction": "output", + "bits": [ 6963, 6964, 6965, 6966, 6967, 6968 ] + }, + "AXI_18_RLAST": { + "direction": "output", + "bits": [ 6969 ] + }, + "AXI_18_RRESP": { + "direction": "output", + "bits": [ 6970, 6971 ] + }, + "AXI_18_RVALID": { + "direction": "output", + "bits": [ 6972 ] + }, + "AXI_18_WREADY": { + "direction": "output", + "bits": [ 6973 ] + }, + "AXI_19_ARREADY": { + "direction": "output", + "bits": [ 6974 ] + }, + "AXI_19_AWREADY": { + "direction": "output", + "bits": [ 6975 ] + }, + "AXI_19_BID": { + "direction": "output", + "bits": [ 6976, 6977, 6978, 6979, 6980, 6981 ] + }, + "AXI_19_BRESP": { + "direction": "output", + "bits": [ 6982, 6983 ] + }, + "AXI_19_BVALID": { + "direction": "output", + "bits": [ 6984 ] + }, + "AXI_19_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 6985, 6986 ] + }, + "AXI_19_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 6987 ] + }, + "AXI_19_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 6988, 6989, 6990, 6991, 6992, 6993, 6994, 6995 ] + }, + "AXI_19_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 6996, 6997, 6998, 6999, 7000, 7001, 7002, 7003, 7004, 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012, 7013, 7014, 7015, 7016 ] + }, + "AXI_19_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 7017, 7018, 7019, 7020, 7021, 7022, 7023, 7024 ] + }, + "AXI_19_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 7025, 7026 ] + }, + "AXI_19_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 7027 ] + }, + "AXI_19_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 7028 ] + }, + "AXI_19_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 7029 ] + }, + "AXI_19_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 7030 ] + }, + "AXI_19_RDATA": { + "direction": "output", + "bits": [ 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052, 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060, 7061, 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080, 7081, 7082, 7083, 7084, 7085, 7086, 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094, 7095, 7096, 7097, 7098, 7099, 7100, 7101, 7102, 7103, 7104, 7105, 7106, 7107, 7108, 7109, 7110, 7111, 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139, 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171, 7172, 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204, 7205, 7206, 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239, 7240, 7241, 7242, 7243, 7244, 7245, 7246, 7247, 7248, 7249, 7250, 7251, 7252, 7253, 7254, 7255, 7256, 7257, 7258, 7259, 7260, 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286 ] + }, + "AXI_19_RDATA_PARITY": { + "direction": "output", + "bits": [ 7287, 7288, 7289, 7290, 7291, 7292, 7293, 7294, 7295, 7296, 7297, 7298, 7299, 7300, 7301, 7302, 7303, 7304, 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, 7315, 7316, 7317, 7318 ] + }, + "AXI_19_RID": { + "direction": "output", + "bits": [ 7319, 7320, 7321, 7322, 7323, 7324 ] + }, + "AXI_19_RLAST": { + "direction": "output", + "bits": [ 7325 ] + }, + "AXI_19_RRESP": { + "direction": "output", + "bits": [ 7326, 7327 ] + }, + "AXI_19_RVALID": { + "direction": "output", + "bits": [ 7328 ] + }, + "AXI_19_WREADY": { + "direction": "output", + "bits": [ 7329 ] + }, + "AXI_20_ARREADY": { + "direction": "output", + "bits": [ 7330 ] + }, + "AXI_20_AWREADY": { + "direction": "output", + "bits": [ 7331 ] + }, + "AXI_20_BID": { + "direction": "output", + "bits": [ 7332, 7333, 7334, 7335, 7336, 7337 ] + }, + "AXI_20_BRESP": { + "direction": "output", + "bits": [ 7338, 7339 ] + }, + "AXI_20_BVALID": { + "direction": "output", + "bits": [ 7340 ] + }, + "AXI_20_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 7341, 7342 ] + }, + "AXI_20_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 7343 ] + }, + "AXI_20_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351 ] + }, + "AXI_20_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359, 7360, 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372 ] + }, + "AXI_20_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380 ] + }, + "AXI_20_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 7381, 7382 ] + }, + "AXI_20_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 7383 ] + }, + "AXI_20_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 7384 ] + }, + "AXI_20_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 7385 ] + }, + "AXI_20_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 7386 ] + }, + "AXI_20_MC_STATUS": { + "direction": "output", + "bits": [ 7387, 7388, 7389, 7390, 7391, 7392 ] + }, + "AXI_20_PHY_STATUS": { + "direction": "output", + "bits": [ 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400 ] + }, + "AXI_20_RDATA": { + "direction": "output", + "bits": [ 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408, 7409, 7410, 7411, 7412, 7413, 7414, 7415, 7416, 7417, 7418, 7419, 7420, 7421, 7422, 7423, 7424, 7425, 7426, 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458, 7459, 7460, 7461, 7462, 7463, 7464, 7465, 7466, 7467, 7468, 7469, 7470, 7471, 7472, 7473, 7474, 7475, 7476, 7477, 7478, 7479, 7480, 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510, 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540, 7541, 7542, 7543, 7544, 7545, 7546, 7547, 7548, 7549, 7550, 7551, 7552, 7553, 7554, 7555, 7556, 7557, 7558, 7559, 7560, 7561, 7562, 7563, 7564, 7565, 7566, 7567, 7568, 7569, 7570, 7571, 7572, 7573, 7574, 7575, 7576, 7577, 7578, 7579, 7580, 7581, 7582, 7583, 7584, 7585, 7586, 7587, 7588, 7589, 7590, 7591, 7592, 7593, 7594, 7595, 7596, 7597, 7598, 7599, 7600, 7601, 7602, 7603, 7604, 7605, 7606, 7607, 7608, 7609, 7610, 7611, 7612, 7613, 7614, 7615, 7616, 7617, 7618, 7619, 7620, 7621, 7622, 7623, 7624, 7625, 7626, 7627, 7628, 7629, 7630, 7631, 7632, 7633, 7634, 7635, 7636, 7637, 7638, 7639, 7640, 7641, 7642, 7643, 7644, 7645, 7646, 7647, 7648, 7649, 7650, 7651, 7652, 7653, 7654, 7655, 7656 ] + }, + "AXI_20_RDATA_PARITY": { + "direction": "output", + "bits": [ 7657, 7658, 7659, 7660, 7661, 7662, 7663, 7664, 7665, 7666, 7667, 7668, 7669, 7670, 7671, 7672, 7673, 7674, 7675, 7676, 7677, 7678, 7679, 7680, 7681, 7682, 7683, 7684, 7685, 7686, 7687, 7688 ] + }, + "AXI_20_RID": { + "direction": "output", + "bits": [ 7689, 7690, 7691, 7692, 7693, 7694 ] + }, + "AXI_20_RLAST": { + "direction": "output", + "bits": [ 7695 ] + }, + "AXI_20_RRESP": { + "direction": "output", + "bits": [ 7696, 7697 ] + }, + "AXI_20_RVALID": { + "direction": "output", + "bits": [ 7698 ] + }, + "AXI_20_WREADY": { + "direction": "output", + "bits": [ 7699 ] + }, + "AXI_21_ARREADY": { + "direction": "output", + "bits": [ 7700 ] + }, + "AXI_21_AWREADY": { + "direction": "output", + "bits": [ 7701 ] + }, + "AXI_21_BID": { + "direction": "output", + "bits": [ 7702, 7703, 7704, 7705, 7706, 7707 ] + }, + "AXI_21_BRESP": { + "direction": "output", + "bits": [ 7708, 7709 ] + }, + "AXI_21_BVALID": { + "direction": "output", + "bits": [ 7710 ] + }, + "AXI_21_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 7711, 7712 ] + }, + "AXI_21_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 7713 ] + }, + "AXI_21_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 7714, 7715, 7716, 7717, 7718, 7719, 7720, 7721 ] + }, + "AXI_21_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 7722, 7723, 7724, 7725, 7726, 7727, 7728, 7729, 7730, 7731, 7732, 7733, 7734, 7735, 7736, 7737, 7738, 7739, 7740, 7741, 7742 ] + }, + "AXI_21_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 7743, 7744, 7745, 7746, 7747, 7748, 7749, 7750 ] + }, + "AXI_21_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 7751, 7752 ] + }, + "AXI_21_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 7753 ] + }, + "AXI_21_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 7754 ] + }, + "AXI_21_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 7755 ] + }, + "AXI_21_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 7756 ] + }, + "AXI_21_RDATA": { + "direction": "output", + "bits": [ 7757, 7758, 7759, 7760, 7761, 7762, 7763, 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 7828, 7829, 7830, 7831, 7832, 7833, 7834, 7835, 7836, 7837, 7838, 7839, 7840, 7841, 7842, 7843, 7844, 7845, 7846, 7847, 7848, 7849, 7850, 7851, 7852, 7853, 7854, 7855, 7856, 7857, 7858, 7859, 7860, 7861, 7862, 7863, 7864, 7865, 7866, 7867, 7868, 7869, 7870, 7871, 7872, 7873, 7874, 7875, 7876, 7877, 7878, 7879, 7880, 7881, 7882, 7883, 7884, 7885, 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 7898, 7899, 7900, 7901, 7902, 7903, 7904, 7905, 7906, 7907, 7908, 7909, 7910, 7911, 7912, 7913, 7914, 7915, 7916, 7917, 7918, 7919, 7920, 7921, 7922, 7923, 7924, 7925, 7926, 7927, 7928, 7929, 7930, 7931, 7932, 7933, 7934, 7935, 7936, 7937, 7938, 7939, 7940, 7941, 7942, 7943, 7944, 7945, 7946, 7947, 7948, 7949, 7950, 7951, 7952, 7953, 7954, 7955, 7956, 7957, 7958, 7959, 7960, 7961, 7962, 7963, 7964, 7965, 7966, 7967, 7968, 7969, 7970, 7971, 7972, 7973, 7974, 7975, 7976, 7977, 7978, 7979, 7980, 7981, 7982, 7983, 7984, 7985, 7986, 7987, 7988, 7989, 7990, 7991, 7992, 7993, 7994, 7995, 7996, 7997, 7998, 7999, 8000, 8001, 8002, 8003, 8004, 8005, 8006, 8007, 8008, 8009, 8010, 8011, 8012 ] + }, + "AXI_21_RDATA_PARITY": { + "direction": "output", + "bits": [ 8013, 8014, 8015, 8016, 8017, 8018, 8019, 8020, 8021, 8022, 8023, 8024, 8025, 8026, 8027, 8028, 8029, 8030, 8031, 8032, 8033, 8034, 8035, 8036, 8037, 8038, 8039, 8040, 8041, 8042, 8043, 8044 ] + }, + "AXI_21_RID": { + "direction": "output", + "bits": [ 8045, 8046, 8047, 8048, 8049, 8050 ] + }, + "AXI_21_RLAST": { + "direction": "output", + "bits": [ 8051 ] + }, + "AXI_21_RRESP": { + "direction": "output", + "bits": [ 8052, 8053 ] + }, + "AXI_21_RVALID": { + "direction": "output", + "bits": [ 8054 ] + }, + "AXI_21_WREADY": { + "direction": "output", + "bits": [ 8055 ] + }, + "AXI_22_ARREADY": { + "direction": "output", + "bits": [ 8056 ] + }, + "AXI_22_AWREADY": { + "direction": "output", + "bits": [ 8057 ] + }, + "AXI_22_BID": { + "direction": "output", + "bits": [ 8058, 8059, 8060, 8061, 8062, 8063 ] + }, + "AXI_22_BRESP": { + "direction": "output", + "bits": [ 8064, 8065 ] + }, + "AXI_22_BVALID": { + "direction": "output", + "bits": [ 8066 ] + }, + "AXI_22_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 8067, 8068 ] + }, + "AXI_22_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 8069 ] + }, + "AXI_22_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 8070, 8071, 8072, 8073, 8074, 8075, 8076, 8077 ] + }, + "AXI_22_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 8078, 8079, 8080, 8081, 8082, 8083, 8084, 8085, 8086, 8087, 8088, 8089, 8090, 8091, 8092, 8093, 8094, 8095, 8096, 8097, 8098 ] + }, + "AXI_22_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 8099, 8100, 8101, 8102, 8103, 8104, 8105, 8106 ] + }, + "AXI_22_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 8107, 8108 ] + }, + "AXI_22_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 8109 ] + }, + "AXI_22_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 8110 ] + }, + "AXI_22_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 8111 ] + }, + "AXI_22_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 8112 ] + }, + "AXI_22_MC_STATUS": { + "direction": "output", + "bits": [ 8113, 8114, 8115, 8116, 8117, 8118 ] + }, + "AXI_22_PHY_STATUS": { + "direction": "output", + "bits": [ 8119, 8120, 8121, 8122, 8123, 8124, 8125, 8126 ] + }, + "AXI_22_RDATA": { + "direction": "output", + "bits": [ 8127, 8128, 8129, 8130, 8131, 8132, 8133, 8134, 8135, 8136, 8137, 8138, 8139, 8140, 8141, 8142, 8143, 8144, 8145, 8146, 8147, 8148, 8149, 8150, 8151, 8152, 8153, 8154, 8155, 8156, 8157, 8158, 8159, 8160, 8161, 8162, 8163, 8164, 8165, 8166, 8167, 8168, 8169, 8170, 8171, 8172, 8173, 8174, 8175, 8176, 8177, 8178, 8179, 8180, 8181, 8182, 8183, 8184, 8185, 8186, 8187, 8188, 8189, 8190, 8191, 8192, 8193, 8194, 8195, 8196, 8197, 8198, 8199, 8200, 8201, 8202, 8203, 8204, 8205, 8206, 8207, 8208, 8209, 8210, 8211, 8212, 8213, 8214, 8215, 8216, 8217, 8218, 8219, 8220, 8221, 8222, 8223, 8224, 8225, 8226, 8227, 8228, 8229, 8230, 8231, 8232, 8233, 8234, 8235, 8236, 8237, 8238, 8239, 8240, 8241, 8242, 8243, 8244, 8245, 8246, 8247, 8248, 8249, 8250, 8251, 8252, 8253, 8254, 8255, 8256, 8257, 8258, 8259, 8260, 8261, 8262, 8263, 8264, 8265, 8266, 8267, 8268, 8269, 8270, 8271, 8272, 8273, 8274, 8275, 8276, 8277, 8278, 8279, 8280, 8281, 8282, 8283, 8284, 8285, 8286, 8287, 8288, 8289, 8290, 8291, 8292, 8293, 8294, 8295, 8296, 8297, 8298, 8299, 8300, 8301, 8302, 8303, 8304, 8305, 8306, 8307, 8308, 8309, 8310, 8311, 8312, 8313, 8314, 8315, 8316, 8317, 8318, 8319, 8320, 8321, 8322, 8323, 8324, 8325, 8326, 8327, 8328, 8329, 8330, 8331, 8332, 8333, 8334, 8335, 8336, 8337, 8338, 8339, 8340, 8341, 8342, 8343, 8344, 8345, 8346, 8347, 8348, 8349, 8350, 8351, 8352, 8353, 8354, 8355, 8356, 8357, 8358, 8359, 8360, 8361, 8362, 8363, 8364, 8365, 8366, 8367, 8368, 8369, 8370, 8371, 8372, 8373, 8374, 8375, 8376, 8377, 8378, 8379, 8380, 8381, 8382 ] + }, + "AXI_22_RDATA_PARITY": { + "direction": "output", + "bits": [ 8383, 8384, 8385, 8386, 8387, 8388, 8389, 8390, 8391, 8392, 8393, 8394, 8395, 8396, 8397, 8398, 8399, 8400, 8401, 8402, 8403, 8404, 8405, 8406, 8407, 8408, 8409, 8410, 8411, 8412, 8413, 8414 ] + }, + "AXI_22_RID": { + "direction": "output", + "bits": [ 8415, 8416, 8417, 8418, 8419, 8420 ] + }, + "AXI_22_RLAST": { + "direction": "output", + "bits": [ 8421 ] + }, + "AXI_22_RRESP": { + "direction": "output", + "bits": [ 8422, 8423 ] + }, + "AXI_22_RVALID": { + "direction": "output", + "bits": [ 8424 ] + }, + "AXI_22_WREADY": { + "direction": "output", + "bits": [ 8425 ] + }, + "AXI_23_ARREADY": { + "direction": "output", + "bits": [ 8426 ] + }, + "AXI_23_AWREADY": { + "direction": "output", + "bits": [ 8427 ] + }, + "AXI_23_BID": { + "direction": "output", + "bits": [ 8428, 8429, 8430, 8431, 8432, 8433 ] + }, + "AXI_23_BRESP": { + "direction": "output", + "bits": [ 8434, 8435 ] + }, + "AXI_23_BVALID": { + "direction": "output", + "bits": [ 8436 ] + }, + "AXI_23_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 8437, 8438 ] + }, + "AXI_23_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 8439 ] + }, + "AXI_23_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 8440, 8441, 8442, 8443, 8444, 8445, 8446, 8447 ] + }, + "AXI_23_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 8448, 8449, 8450, 8451, 8452, 8453, 8454, 8455, 8456, 8457, 8458, 8459, 8460, 8461, 8462, 8463, 8464, 8465, 8466, 8467, 8468 ] + }, + "AXI_23_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 8469, 8470, 8471, 8472, 8473, 8474, 8475, 8476 ] + }, + "AXI_23_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 8477, 8478 ] + }, + "AXI_23_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 8479 ] + }, + "AXI_23_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 8480 ] + }, + "AXI_23_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 8481 ] + }, + "AXI_23_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 8482 ] + }, + "AXI_23_RDATA": { + "direction": "output", + "bits": [ 8483, 8484, 8485, 8486, 8487, 8488, 8489, 8490, 8491, 8492, 8493, 8494, 8495, 8496, 8497, 8498, 8499, 8500, 8501, 8502, 8503, 8504, 8505, 8506, 8507, 8508, 8509, 8510, 8511, 8512, 8513, 8514, 8515, 8516, 8517, 8518, 8519, 8520, 8521, 8522, 8523, 8524, 8525, 8526, 8527, 8528, 8529, 8530, 8531, 8532, 8533, 8534, 8535, 8536, 8537, 8538, 8539, 8540, 8541, 8542, 8543, 8544, 8545, 8546, 8547, 8548, 8549, 8550, 8551, 8552, 8553, 8554, 8555, 8556, 8557, 8558, 8559, 8560, 8561, 8562, 8563, 8564, 8565, 8566, 8567, 8568, 8569, 8570, 8571, 8572, 8573, 8574, 8575, 8576, 8577, 8578, 8579, 8580, 8581, 8582, 8583, 8584, 8585, 8586, 8587, 8588, 8589, 8590, 8591, 8592, 8593, 8594, 8595, 8596, 8597, 8598, 8599, 8600, 8601, 8602, 8603, 8604, 8605, 8606, 8607, 8608, 8609, 8610, 8611, 8612, 8613, 8614, 8615, 8616, 8617, 8618, 8619, 8620, 8621, 8622, 8623, 8624, 8625, 8626, 8627, 8628, 8629, 8630, 8631, 8632, 8633, 8634, 8635, 8636, 8637, 8638, 8639, 8640, 8641, 8642, 8643, 8644, 8645, 8646, 8647, 8648, 8649, 8650, 8651, 8652, 8653, 8654, 8655, 8656, 8657, 8658, 8659, 8660, 8661, 8662, 8663, 8664, 8665, 8666, 8667, 8668, 8669, 8670, 8671, 8672, 8673, 8674, 8675, 8676, 8677, 8678, 8679, 8680, 8681, 8682, 8683, 8684, 8685, 8686, 8687, 8688, 8689, 8690, 8691, 8692, 8693, 8694, 8695, 8696, 8697, 8698, 8699, 8700, 8701, 8702, 8703, 8704, 8705, 8706, 8707, 8708, 8709, 8710, 8711, 8712, 8713, 8714, 8715, 8716, 8717, 8718, 8719, 8720, 8721, 8722, 8723, 8724, 8725, 8726, 8727, 8728, 8729, 8730, 8731, 8732, 8733, 8734, 8735, 8736, 8737, 8738 ] + }, + "AXI_23_RDATA_PARITY": { + "direction": "output", + "bits": [ 8739, 8740, 8741, 8742, 8743, 8744, 8745, 8746, 8747, 8748, 8749, 8750, 8751, 8752, 8753, 8754, 8755, 8756, 8757, 8758, 8759, 8760, 8761, 8762, 8763, 8764, 8765, 8766, 8767, 8768, 8769, 8770 ] + }, + "AXI_23_RID": { + "direction": "output", + "bits": [ 8771, 8772, 8773, 8774, 8775, 8776 ] + }, + "AXI_23_RLAST": { + "direction": "output", + "bits": [ 8777 ] + }, + "AXI_23_RRESP": { + "direction": "output", + "bits": [ 8778, 8779 ] + }, + "AXI_23_RVALID": { + "direction": "output", + "bits": [ 8780 ] + }, + "AXI_23_WREADY": { + "direction": "output", + "bits": [ 8781 ] + }, + "AXI_24_ARREADY": { + "direction": "output", + "bits": [ 8782 ] + }, + "AXI_24_AWREADY": { + "direction": "output", + "bits": [ 8783 ] + }, + "AXI_24_BID": { + "direction": "output", + "bits": [ 8784, 8785, 8786, 8787, 8788, 8789 ] + }, + "AXI_24_BRESP": { + "direction": "output", + "bits": [ 8790, 8791 ] + }, + "AXI_24_BVALID": { + "direction": "output", + "bits": [ 8792 ] + }, + "AXI_24_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 8793, 8794 ] + }, + "AXI_24_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 8795 ] + }, + "AXI_24_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 8796, 8797, 8798, 8799, 8800, 8801, 8802, 8803 ] + }, + "AXI_24_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 8804, 8805, 8806, 8807, 8808, 8809, 8810, 8811, 8812, 8813, 8814, 8815, 8816, 8817, 8818, 8819, 8820, 8821, 8822, 8823, 8824 ] + }, + "AXI_24_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 8825, 8826, 8827, 8828, 8829, 8830, 8831, 8832 ] + }, + "AXI_24_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 8833, 8834 ] + }, + "AXI_24_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 8835 ] + }, + "AXI_24_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 8836 ] + }, + "AXI_24_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 8837 ] + }, + "AXI_24_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 8838 ] + }, + "AXI_24_MC_STATUS": { + "direction": "output", + "bits": [ 8839, 8840, 8841, 8842, 8843, 8844 ] + }, + "AXI_24_PHY_STATUS": { + "direction": "output", + "bits": [ 8845, 8846, 8847, 8848, 8849, 8850, 8851, 8852 ] + }, + "AXI_24_RDATA": { + "direction": "output", + "bits": [ 8853, 8854, 8855, 8856, 8857, 8858, 8859, 8860, 8861, 8862, 8863, 8864, 8865, 8866, 8867, 8868, 8869, 8870, 8871, 8872, 8873, 8874, 8875, 8876, 8877, 8878, 8879, 8880, 8881, 8882, 8883, 8884, 8885, 8886, 8887, 8888, 8889, 8890, 8891, 8892, 8893, 8894, 8895, 8896, 8897, 8898, 8899, 8900, 8901, 8902, 8903, 8904, 8905, 8906, 8907, 8908, 8909, 8910, 8911, 8912, 8913, 8914, 8915, 8916, 8917, 8918, 8919, 8920, 8921, 8922, 8923, 8924, 8925, 8926, 8927, 8928, 8929, 8930, 8931, 8932, 8933, 8934, 8935, 8936, 8937, 8938, 8939, 8940, 8941, 8942, 8943, 8944, 8945, 8946, 8947, 8948, 8949, 8950, 8951, 8952, 8953, 8954, 8955, 8956, 8957, 8958, 8959, 8960, 8961, 8962, 8963, 8964, 8965, 8966, 8967, 8968, 8969, 8970, 8971, 8972, 8973, 8974, 8975, 8976, 8977, 8978, 8979, 8980, 8981, 8982, 8983, 8984, 8985, 8986, 8987, 8988, 8989, 8990, 8991, 8992, 8993, 8994, 8995, 8996, 8997, 8998, 8999, 9000, 9001, 9002, 9003, 9004, 9005, 9006, 9007, 9008, 9009, 9010, 9011, 9012, 9013, 9014, 9015, 9016, 9017, 9018, 9019, 9020, 9021, 9022, 9023, 9024, 9025, 9026, 9027, 9028, 9029, 9030, 9031, 9032, 9033, 9034, 9035, 9036, 9037, 9038, 9039, 9040, 9041, 9042, 9043, 9044, 9045, 9046, 9047, 9048, 9049, 9050, 9051, 9052, 9053, 9054, 9055, 9056, 9057, 9058, 9059, 9060, 9061, 9062, 9063, 9064, 9065, 9066, 9067, 9068, 9069, 9070, 9071, 9072, 9073, 9074, 9075, 9076, 9077, 9078, 9079, 9080, 9081, 9082, 9083, 9084, 9085, 9086, 9087, 9088, 9089, 9090, 9091, 9092, 9093, 9094, 9095, 9096, 9097, 9098, 9099, 9100, 9101, 9102, 9103, 9104, 9105, 9106, 9107, 9108 ] + }, + "AXI_24_RDATA_PARITY": { + "direction": "output", + "bits": [ 9109, 9110, 9111, 9112, 9113, 9114, 9115, 9116, 9117, 9118, 9119, 9120, 9121, 9122, 9123, 9124, 9125, 9126, 9127, 9128, 9129, 9130, 9131, 9132, 9133, 9134, 9135, 9136, 9137, 9138, 9139, 9140 ] + }, + "AXI_24_RID": { + "direction": "output", + "bits": [ 9141, 9142, 9143, 9144, 9145, 9146 ] + }, + "AXI_24_RLAST": { + "direction": "output", + "bits": [ 9147 ] + }, + "AXI_24_RRESP": { + "direction": "output", + "bits": [ 9148, 9149 ] + }, + "AXI_24_RVALID": { + "direction": "output", + "bits": [ 9150 ] + }, + "AXI_24_WREADY": { + "direction": "output", + "bits": [ 9151 ] + }, + "AXI_25_ARREADY": { + "direction": "output", + "bits": [ 9152 ] + }, + "AXI_25_AWREADY": { + "direction": "output", + "bits": [ 9153 ] + }, + "AXI_25_BID": { + "direction": "output", + "bits": [ 9154, 9155, 9156, 9157, 9158, 9159 ] + }, + "AXI_25_BRESP": { + "direction": "output", + "bits": [ 9160, 9161 ] + }, + "AXI_25_BVALID": { + "direction": "output", + "bits": [ 9162 ] + }, + "AXI_25_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 9163, 9164 ] + }, + "AXI_25_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 9165 ] + }, + "AXI_25_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 9166, 9167, 9168, 9169, 9170, 9171, 9172, 9173 ] + }, + "AXI_25_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 9174, 9175, 9176, 9177, 9178, 9179, 9180, 9181, 9182, 9183, 9184, 9185, 9186, 9187, 9188, 9189, 9190, 9191, 9192, 9193, 9194 ] + }, + "AXI_25_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 9195, 9196, 9197, 9198, 9199, 9200, 9201, 9202 ] + }, + "AXI_25_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 9203, 9204 ] + }, + "AXI_25_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 9205 ] + }, + "AXI_25_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 9206 ] + }, + "AXI_25_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 9207 ] + }, + "AXI_25_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 9208 ] + }, + "AXI_25_RDATA": { + "direction": "output", + "bits": [ 9209, 9210, 9211, 9212, 9213, 9214, 9215, 9216, 9217, 9218, 9219, 9220, 9221, 9222, 9223, 9224, 9225, 9226, 9227, 9228, 9229, 9230, 9231, 9232, 9233, 9234, 9235, 9236, 9237, 9238, 9239, 9240, 9241, 9242, 9243, 9244, 9245, 9246, 9247, 9248, 9249, 9250, 9251, 9252, 9253, 9254, 9255, 9256, 9257, 9258, 9259, 9260, 9261, 9262, 9263, 9264, 9265, 9266, 9267, 9268, 9269, 9270, 9271, 9272, 9273, 9274, 9275, 9276, 9277, 9278, 9279, 9280, 9281, 9282, 9283, 9284, 9285, 9286, 9287, 9288, 9289, 9290, 9291, 9292, 9293, 9294, 9295, 9296, 9297, 9298, 9299, 9300, 9301, 9302, 9303, 9304, 9305, 9306, 9307, 9308, 9309, 9310, 9311, 9312, 9313, 9314, 9315, 9316, 9317, 9318, 9319, 9320, 9321, 9322, 9323, 9324, 9325, 9326, 9327, 9328, 9329, 9330, 9331, 9332, 9333, 9334, 9335, 9336, 9337, 9338, 9339, 9340, 9341, 9342, 9343, 9344, 9345, 9346, 9347, 9348, 9349, 9350, 9351, 9352, 9353, 9354, 9355, 9356, 9357, 9358, 9359, 9360, 9361, 9362, 9363, 9364, 9365, 9366, 9367, 9368, 9369, 9370, 9371, 9372, 9373, 9374, 9375, 9376, 9377, 9378, 9379, 9380, 9381, 9382, 9383, 9384, 9385, 9386, 9387, 9388, 9389, 9390, 9391, 9392, 9393, 9394, 9395, 9396, 9397, 9398, 9399, 9400, 9401, 9402, 9403, 9404, 9405, 9406, 9407, 9408, 9409, 9410, 9411, 9412, 9413, 9414, 9415, 9416, 9417, 9418, 9419, 9420, 9421, 9422, 9423, 9424, 9425, 9426, 9427, 9428, 9429, 9430, 9431, 9432, 9433, 9434, 9435, 9436, 9437, 9438, 9439, 9440, 9441, 9442, 9443, 9444, 9445, 9446, 9447, 9448, 9449, 9450, 9451, 9452, 9453, 9454, 9455, 9456, 9457, 9458, 9459, 9460, 9461, 9462, 9463, 9464 ] + }, + "AXI_25_RDATA_PARITY": { + "direction": "output", + "bits": [ 9465, 9466, 9467, 9468, 9469, 9470, 9471, 9472, 9473, 9474, 9475, 9476, 9477, 9478, 9479, 9480, 9481, 9482, 9483, 9484, 9485, 9486, 9487, 9488, 9489, 9490, 9491, 9492, 9493, 9494, 9495, 9496 ] + }, + "AXI_25_RID": { + "direction": "output", + "bits": [ 9497, 9498, 9499, 9500, 9501, 9502 ] + }, + "AXI_25_RLAST": { + "direction": "output", + "bits": [ 9503 ] + }, + "AXI_25_RRESP": { + "direction": "output", + "bits": [ 9504, 9505 ] + }, + "AXI_25_RVALID": { + "direction": "output", + "bits": [ 9506 ] + }, + "AXI_25_WREADY": { + "direction": "output", + "bits": [ 9507 ] + }, + "AXI_26_ARREADY": { + "direction": "output", + "bits": [ 9508 ] + }, + "AXI_26_AWREADY": { + "direction": "output", + "bits": [ 9509 ] + }, + "AXI_26_BID": { + "direction": "output", + "bits": [ 9510, 9511, 9512, 9513, 9514, 9515 ] + }, + "AXI_26_BRESP": { + "direction": "output", + "bits": [ 9516, 9517 ] + }, + "AXI_26_BVALID": { + "direction": "output", + "bits": [ 9518 ] + }, + "AXI_26_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 9519, 9520 ] + }, + "AXI_26_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 9521 ] + }, + "AXI_26_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 9522, 9523, 9524, 9525, 9526, 9527, 9528, 9529 ] + }, + "AXI_26_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 9530, 9531, 9532, 9533, 9534, 9535, 9536, 9537, 9538, 9539, 9540, 9541, 9542, 9543, 9544, 9545, 9546, 9547, 9548, 9549, 9550 ] + }, + "AXI_26_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 9551, 9552, 9553, 9554, 9555, 9556, 9557, 9558 ] + }, + "AXI_26_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 9559, 9560 ] + }, + "AXI_26_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 9561 ] + }, + "AXI_26_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 9562 ] + }, + "AXI_26_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 9563 ] + }, + "AXI_26_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 9564 ] + }, + "AXI_26_MC_STATUS": { + "direction": "output", + "bits": [ 9565, 9566, 9567, 9568, 9569, 9570 ] + }, + "AXI_26_PHY_STATUS": { + "direction": "output", + "bits": [ 9571, 9572, 9573, 9574, 9575, 9576, 9577, 9578 ] + }, + "AXI_26_RDATA": { + "direction": "output", + "bits": [ 9579, 9580, 9581, 9582, 9583, 9584, 9585, 9586, 9587, 9588, 9589, 9590, 9591, 9592, 9593, 9594, 9595, 9596, 9597, 9598, 9599, 9600, 9601, 9602, 9603, 9604, 9605, 9606, 9607, 9608, 9609, 9610, 9611, 9612, 9613, 9614, 9615, 9616, 9617, 9618, 9619, 9620, 9621, 9622, 9623, 9624, 9625, 9626, 9627, 9628, 9629, 9630, 9631, 9632, 9633, 9634, 9635, 9636, 9637, 9638, 9639, 9640, 9641, 9642, 9643, 9644, 9645, 9646, 9647, 9648, 9649, 9650, 9651, 9652, 9653, 9654, 9655, 9656, 9657, 9658, 9659, 9660, 9661, 9662, 9663, 9664, 9665, 9666, 9667, 9668, 9669, 9670, 9671, 9672, 9673, 9674, 9675, 9676, 9677, 9678, 9679, 9680, 9681, 9682, 9683, 9684, 9685, 9686, 9687, 9688, 9689, 9690, 9691, 9692, 9693, 9694, 9695, 9696, 9697, 9698, 9699, 9700, 9701, 9702, 9703, 9704, 9705, 9706, 9707, 9708, 9709, 9710, 9711, 9712, 9713, 9714, 9715, 9716, 9717, 9718, 9719, 9720, 9721, 9722, 9723, 9724, 9725, 9726, 9727, 9728, 9729, 9730, 9731, 9732, 9733, 9734, 9735, 9736, 9737, 9738, 9739, 9740, 9741, 9742, 9743, 9744, 9745, 9746, 9747, 9748, 9749, 9750, 9751, 9752, 9753, 9754, 9755, 9756, 9757, 9758, 9759, 9760, 9761, 9762, 9763, 9764, 9765, 9766, 9767, 9768, 9769, 9770, 9771, 9772, 9773, 9774, 9775, 9776, 9777, 9778, 9779, 9780, 9781, 9782, 9783, 9784, 9785, 9786, 9787, 9788, 9789, 9790, 9791, 9792, 9793, 9794, 9795, 9796, 9797, 9798, 9799, 9800, 9801, 9802, 9803, 9804, 9805, 9806, 9807, 9808, 9809, 9810, 9811, 9812, 9813, 9814, 9815, 9816, 9817, 9818, 9819, 9820, 9821, 9822, 9823, 9824, 9825, 9826, 9827, 9828, 9829, 9830, 9831, 9832, 9833, 9834 ] + }, + "AXI_26_RDATA_PARITY": { + "direction": "output", + "bits": [ 9835, 9836, 9837, 9838, 9839, 9840, 9841, 9842, 9843, 9844, 9845, 9846, 9847, 9848, 9849, 9850, 9851, 9852, 9853, 9854, 9855, 9856, 9857, 9858, 9859, 9860, 9861, 9862, 9863, 9864, 9865, 9866 ] + }, + "AXI_26_RID": { + "direction": "output", + "bits": [ 9867, 9868, 9869, 9870, 9871, 9872 ] + }, + "AXI_26_RLAST": { + "direction": "output", + "bits": [ 9873 ] + }, + "AXI_26_RRESP": { + "direction": "output", + "bits": [ 9874, 9875 ] + }, + "AXI_26_RVALID": { + "direction": "output", + "bits": [ 9876 ] + }, + "AXI_26_WREADY": { + "direction": "output", + "bits": [ 9877 ] + }, + "AXI_27_ARREADY": { + "direction": "output", + "bits": [ 9878 ] + }, + "AXI_27_AWREADY": { + "direction": "output", + "bits": [ 9879 ] + }, + "AXI_27_BID": { + "direction": "output", + "bits": [ 9880, 9881, 9882, 9883, 9884, 9885 ] + }, + "AXI_27_BRESP": { + "direction": "output", + "bits": [ 9886, 9887 ] + }, + "AXI_27_BVALID": { + "direction": "output", + "bits": [ 9888 ] + }, + "AXI_27_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 9889, 9890 ] + }, + "AXI_27_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 9891 ] + }, + "AXI_27_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 9892, 9893, 9894, 9895, 9896, 9897, 9898, 9899 ] + }, + "AXI_27_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 9900, 9901, 9902, 9903, 9904, 9905, 9906, 9907, 9908, 9909, 9910, 9911, 9912, 9913, 9914, 9915, 9916, 9917, 9918, 9919, 9920 ] + }, + "AXI_27_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 9921, 9922, 9923, 9924, 9925, 9926, 9927, 9928 ] + }, + "AXI_27_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 9929, 9930 ] + }, + "AXI_27_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 9931 ] + }, + "AXI_27_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 9932 ] + }, + "AXI_27_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 9933 ] + }, + "AXI_27_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 9934 ] + }, + "AXI_27_RDATA": { + "direction": "output", + "bits": [ 9935, 9936, 9937, 9938, 9939, 9940, 9941, 9942, 9943, 9944, 9945, 9946, 9947, 9948, 9949, 9950, 9951, 9952, 9953, 9954, 9955, 9956, 9957, 9958, 9959, 9960, 9961, 9962, 9963, 9964, 9965, 9966, 9967, 9968, 9969, 9970, 9971, 9972, 9973, 9974, 9975, 9976, 9977, 9978, 9979, 9980, 9981, 9982, 9983, 9984, 9985, 9986, 9987, 9988, 9989, 9990, 9991, 9992, 9993, 9994, 9995, 9996, 9997, 9998, 9999, 10000, 10001, 10002, 10003, 10004, 10005, 10006, 10007, 10008, 10009, 10010, 10011, 10012, 10013, 10014, 10015, 10016, 10017, 10018, 10019, 10020, 10021, 10022, 10023, 10024, 10025, 10026, 10027, 10028, 10029, 10030, 10031, 10032, 10033, 10034, 10035, 10036, 10037, 10038, 10039, 10040, 10041, 10042, 10043, 10044, 10045, 10046, 10047, 10048, 10049, 10050, 10051, 10052, 10053, 10054, 10055, 10056, 10057, 10058, 10059, 10060, 10061, 10062, 10063, 10064, 10065, 10066, 10067, 10068, 10069, 10070, 10071, 10072, 10073, 10074, 10075, 10076, 10077, 10078, 10079, 10080, 10081, 10082, 10083, 10084, 10085, 10086, 10087, 10088, 10089, 10090, 10091, 10092, 10093, 10094, 10095, 10096, 10097, 10098, 10099, 10100, 10101, 10102, 10103, 10104, 10105, 10106, 10107, 10108, 10109, 10110, 10111, 10112, 10113, 10114, 10115, 10116, 10117, 10118, 10119, 10120, 10121, 10122, 10123, 10124, 10125, 10126, 10127, 10128, 10129, 10130, 10131, 10132, 10133, 10134, 10135, 10136, 10137, 10138, 10139, 10140, 10141, 10142, 10143, 10144, 10145, 10146, 10147, 10148, 10149, 10150, 10151, 10152, 10153, 10154, 10155, 10156, 10157, 10158, 10159, 10160, 10161, 10162, 10163, 10164, 10165, 10166, 10167, 10168, 10169, 10170, 10171, 10172, 10173, 10174, 10175, 10176, 10177, 10178, 10179, 10180, 10181, 10182, 10183, 10184, 10185, 10186, 10187, 10188, 10189, 10190 ] + }, + "AXI_27_RDATA_PARITY": { + "direction": "output", + "bits": [ 10191, 10192, 10193, 10194, 10195, 10196, 10197, 10198, 10199, 10200, 10201, 10202, 10203, 10204, 10205, 10206, 10207, 10208, 10209, 10210, 10211, 10212, 10213, 10214, 10215, 10216, 10217, 10218, 10219, 10220, 10221, 10222 ] + }, + "AXI_27_RID": { + "direction": "output", + "bits": [ 10223, 10224, 10225, 10226, 10227, 10228 ] + }, + "AXI_27_RLAST": { + "direction": "output", + "bits": [ 10229 ] + }, + "AXI_27_RRESP": { + "direction": "output", + "bits": [ 10230, 10231 ] + }, + "AXI_27_RVALID": { + "direction": "output", + "bits": [ 10232 ] + }, + "AXI_27_WREADY": { + "direction": "output", + "bits": [ 10233 ] + }, + "AXI_28_ARREADY": { + "direction": "output", + "bits": [ 10234 ] + }, + "AXI_28_AWREADY": { + "direction": "output", + "bits": [ 10235 ] + }, + "AXI_28_BID": { + "direction": "output", + "bits": [ 10236, 10237, 10238, 10239, 10240, 10241 ] + }, + "AXI_28_BRESP": { + "direction": "output", + "bits": [ 10242, 10243 ] + }, + "AXI_28_BVALID": { + "direction": "output", + "bits": [ 10244 ] + }, + "AXI_28_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 10245, 10246 ] + }, + "AXI_28_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 10247 ] + }, + "AXI_28_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 10248, 10249, 10250, 10251, 10252, 10253, 10254, 10255 ] + }, + "AXI_28_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 10256, 10257, 10258, 10259, 10260, 10261, 10262, 10263, 10264, 10265, 10266, 10267, 10268, 10269, 10270, 10271, 10272, 10273, 10274, 10275, 10276 ] + }, + "AXI_28_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 10277, 10278, 10279, 10280, 10281, 10282, 10283, 10284 ] + }, + "AXI_28_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 10285, 10286 ] + }, + "AXI_28_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 10287 ] + }, + "AXI_28_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 10288 ] + }, + "AXI_28_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 10289 ] + }, + "AXI_28_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 10290 ] + }, + "AXI_28_MC_STATUS": { + "direction": "output", + "bits": [ 10291, 10292, 10293, 10294, 10295, 10296 ] + }, + "AXI_28_PHY_STATUS": { + "direction": "output", + "bits": [ 10297, 10298, 10299, 10300, 10301, 10302, 10303, 10304 ] + }, + "AXI_28_RDATA": { + "direction": "output", + "bits": [ 10305, 10306, 10307, 10308, 10309, 10310, 10311, 10312, 10313, 10314, 10315, 10316, 10317, 10318, 10319, 10320, 10321, 10322, 10323, 10324, 10325, 10326, 10327, 10328, 10329, 10330, 10331, 10332, 10333, 10334, 10335, 10336, 10337, 10338, 10339, 10340, 10341, 10342, 10343, 10344, 10345, 10346, 10347, 10348, 10349, 10350, 10351, 10352, 10353, 10354, 10355, 10356, 10357, 10358, 10359, 10360, 10361, 10362, 10363, 10364, 10365, 10366, 10367, 10368, 10369, 10370, 10371, 10372, 10373, 10374, 10375, 10376, 10377, 10378, 10379, 10380, 10381, 10382, 10383, 10384, 10385, 10386, 10387, 10388, 10389, 10390, 10391, 10392, 10393, 10394, 10395, 10396, 10397, 10398, 10399, 10400, 10401, 10402, 10403, 10404, 10405, 10406, 10407, 10408, 10409, 10410, 10411, 10412, 10413, 10414, 10415, 10416, 10417, 10418, 10419, 10420, 10421, 10422, 10423, 10424, 10425, 10426, 10427, 10428, 10429, 10430, 10431, 10432, 10433, 10434, 10435, 10436, 10437, 10438, 10439, 10440, 10441, 10442, 10443, 10444, 10445, 10446, 10447, 10448, 10449, 10450, 10451, 10452, 10453, 10454, 10455, 10456, 10457, 10458, 10459, 10460, 10461, 10462, 10463, 10464, 10465, 10466, 10467, 10468, 10469, 10470, 10471, 10472, 10473, 10474, 10475, 10476, 10477, 10478, 10479, 10480, 10481, 10482, 10483, 10484, 10485, 10486, 10487, 10488, 10489, 10490, 10491, 10492, 10493, 10494, 10495, 10496, 10497, 10498, 10499, 10500, 10501, 10502, 10503, 10504, 10505, 10506, 10507, 10508, 10509, 10510, 10511, 10512, 10513, 10514, 10515, 10516, 10517, 10518, 10519, 10520, 10521, 10522, 10523, 10524, 10525, 10526, 10527, 10528, 10529, 10530, 10531, 10532, 10533, 10534, 10535, 10536, 10537, 10538, 10539, 10540, 10541, 10542, 10543, 10544, 10545, 10546, 10547, 10548, 10549, 10550, 10551, 10552, 10553, 10554, 10555, 10556, 10557, 10558, 10559, 10560 ] + }, + "AXI_28_RDATA_PARITY": { + "direction": "output", + "bits": [ 10561, 10562, 10563, 10564, 10565, 10566, 10567, 10568, 10569, 10570, 10571, 10572, 10573, 10574, 10575, 10576, 10577, 10578, 10579, 10580, 10581, 10582, 10583, 10584, 10585, 10586, 10587, 10588, 10589, 10590, 10591, 10592 ] + }, + "AXI_28_RID": { + "direction": "output", + "bits": [ 10593, 10594, 10595, 10596, 10597, 10598 ] + }, + "AXI_28_RLAST": { + "direction": "output", + "bits": [ 10599 ] + }, + "AXI_28_RRESP": { + "direction": "output", + "bits": [ 10600, 10601 ] + }, + "AXI_28_RVALID": { + "direction": "output", + "bits": [ 10602 ] + }, + "AXI_28_WREADY": { + "direction": "output", + "bits": [ 10603 ] + }, + "AXI_29_ARREADY": { + "direction": "output", + "bits": [ 10604 ] + }, + "AXI_29_AWREADY": { + "direction": "output", + "bits": [ 10605 ] + }, + "AXI_29_BID": { + "direction": "output", + "bits": [ 10606, 10607, 10608, 10609, 10610, 10611 ] + }, + "AXI_29_BRESP": { + "direction": "output", + "bits": [ 10612, 10613 ] + }, + "AXI_29_BVALID": { + "direction": "output", + "bits": [ 10614 ] + }, + "AXI_29_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 10615, 10616 ] + }, + "AXI_29_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 10617 ] + }, + "AXI_29_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 10618, 10619, 10620, 10621, 10622, 10623, 10624, 10625 ] + }, + "AXI_29_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 10626, 10627, 10628, 10629, 10630, 10631, 10632, 10633, 10634, 10635, 10636, 10637, 10638, 10639, 10640, 10641, 10642, 10643, 10644, 10645, 10646 ] + }, + "AXI_29_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 10647, 10648, 10649, 10650, 10651, 10652, 10653, 10654 ] + }, + "AXI_29_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 10655, 10656 ] + }, + "AXI_29_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 10657 ] + }, + "AXI_29_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 10658 ] + }, + "AXI_29_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 10659 ] + }, + "AXI_29_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 10660 ] + }, + "AXI_29_RDATA": { + "direction": "output", + "bits": [ 10661, 10662, 10663, 10664, 10665, 10666, 10667, 10668, 10669, 10670, 10671, 10672, 10673, 10674, 10675, 10676, 10677, 10678, 10679, 10680, 10681, 10682, 10683, 10684, 10685, 10686, 10687, 10688, 10689, 10690, 10691, 10692, 10693, 10694, 10695, 10696, 10697, 10698, 10699, 10700, 10701, 10702, 10703, 10704, 10705, 10706, 10707, 10708, 10709, 10710, 10711, 10712, 10713, 10714, 10715, 10716, 10717, 10718, 10719, 10720, 10721, 10722, 10723, 10724, 10725, 10726, 10727, 10728, 10729, 10730, 10731, 10732, 10733, 10734, 10735, 10736, 10737, 10738, 10739, 10740, 10741, 10742, 10743, 10744, 10745, 10746, 10747, 10748, 10749, 10750, 10751, 10752, 10753, 10754, 10755, 10756, 10757, 10758, 10759, 10760, 10761, 10762, 10763, 10764, 10765, 10766, 10767, 10768, 10769, 10770, 10771, 10772, 10773, 10774, 10775, 10776, 10777, 10778, 10779, 10780, 10781, 10782, 10783, 10784, 10785, 10786, 10787, 10788, 10789, 10790, 10791, 10792, 10793, 10794, 10795, 10796, 10797, 10798, 10799, 10800, 10801, 10802, 10803, 10804, 10805, 10806, 10807, 10808, 10809, 10810, 10811, 10812, 10813, 10814, 10815, 10816, 10817, 10818, 10819, 10820, 10821, 10822, 10823, 10824, 10825, 10826, 10827, 10828, 10829, 10830, 10831, 10832, 10833, 10834, 10835, 10836, 10837, 10838, 10839, 10840, 10841, 10842, 10843, 10844, 10845, 10846, 10847, 10848, 10849, 10850, 10851, 10852, 10853, 10854, 10855, 10856, 10857, 10858, 10859, 10860, 10861, 10862, 10863, 10864, 10865, 10866, 10867, 10868, 10869, 10870, 10871, 10872, 10873, 10874, 10875, 10876, 10877, 10878, 10879, 10880, 10881, 10882, 10883, 10884, 10885, 10886, 10887, 10888, 10889, 10890, 10891, 10892, 10893, 10894, 10895, 10896, 10897, 10898, 10899, 10900, 10901, 10902, 10903, 10904, 10905, 10906, 10907, 10908, 10909, 10910, 10911, 10912, 10913, 10914, 10915, 10916 ] + }, + "AXI_29_RDATA_PARITY": { + "direction": "output", + "bits": [ 10917, 10918, 10919, 10920, 10921, 10922, 10923, 10924, 10925, 10926, 10927, 10928, 10929, 10930, 10931, 10932, 10933, 10934, 10935, 10936, 10937, 10938, 10939, 10940, 10941, 10942, 10943, 10944, 10945, 10946, 10947, 10948 ] + }, + "AXI_29_RID": { + "direction": "output", + "bits": [ 10949, 10950, 10951, 10952, 10953, 10954 ] + }, + "AXI_29_RLAST": { + "direction": "output", + "bits": [ 10955 ] + }, + "AXI_29_RRESP": { + "direction": "output", + "bits": [ 10956, 10957 ] + }, + "AXI_29_RVALID": { + "direction": "output", + "bits": [ 10958 ] + }, + "AXI_29_WREADY": { + "direction": "output", + "bits": [ 10959 ] + }, + "AXI_30_ARREADY": { + "direction": "output", + "bits": [ 10960 ] + }, + "AXI_30_AWREADY": { + "direction": "output", + "bits": [ 10961 ] + }, + "AXI_30_BID": { + "direction": "output", + "bits": [ 10962, 10963, 10964, 10965, 10966, 10967 ] + }, + "AXI_30_BRESP": { + "direction": "output", + "bits": [ 10968, 10969 ] + }, + "AXI_30_BVALID": { + "direction": "output", + "bits": [ 10970 ] + }, + "AXI_30_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 10971, 10972 ] + }, + "AXI_30_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 10973 ] + }, + "AXI_30_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 10974, 10975, 10976, 10977, 10978, 10979, 10980, 10981 ] + }, + "AXI_30_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 10982, 10983, 10984, 10985, 10986, 10987, 10988, 10989, 10990, 10991, 10992, 10993, 10994, 10995, 10996, 10997, 10998, 10999, 11000, 11001, 11002 ] + }, + "AXI_30_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 11003, 11004, 11005, 11006, 11007, 11008, 11009, 11010 ] + }, + "AXI_30_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 11011, 11012 ] + }, + "AXI_30_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 11013 ] + }, + "AXI_30_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 11014 ] + }, + "AXI_30_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 11015 ] + }, + "AXI_30_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 11016 ] + }, + "AXI_30_MC_STATUS": { + "direction": "output", + "bits": [ 11017, 11018, 11019, 11020, 11021, 11022 ] + }, + "AXI_30_PHY_STATUS": { + "direction": "output", + "bits": [ 11023, 11024, 11025, 11026, 11027, 11028, 11029, 11030 ] + }, + "AXI_30_RDATA": { + "direction": "output", + "bits": [ 11031, 11032, 11033, 11034, 11035, 11036, 11037, 11038, 11039, 11040, 11041, 11042, 11043, 11044, 11045, 11046, 11047, 11048, 11049, 11050, 11051, 11052, 11053, 11054, 11055, 11056, 11057, 11058, 11059, 11060, 11061, 11062, 11063, 11064, 11065, 11066, 11067, 11068, 11069, 11070, 11071, 11072, 11073, 11074, 11075, 11076, 11077, 11078, 11079, 11080, 11081, 11082, 11083, 11084, 11085, 11086, 11087, 11088, 11089, 11090, 11091, 11092, 11093, 11094, 11095, 11096, 11097, 11098, 11099, 11100, 11101, 11102, 11103, 11104, 11105, 11106, 11107, 11108, 11109, 11110, 11111, 11112, 11113, 11114, 11115, 11116, 11117, 11118, 11119, 11120, 11121, 11122, 11123, 11124, 11125, 11126, 11127, 11128, 11129, 11130, 11131, 11132, 11133, 11134, 11135, 11136, 11137, 11138, 11139, 11140, 11141, 11142, 11143, 11144, 11145, 11146, 11147, 11148, 11149, 11150, 11151, 11152, 11153, 11154, 11155, 11156, 11157, 11158, 11159, 11160, 11161, 11162, 11163, 11164, 11165, 11166, 11167, 11168, 11169, 11170, 11171, 11172, 11173, 11174, 11175, 11176, 11177, 11178, 11179, 11180, 11181, 11182, 11183, 11184, 11185, 11186, 11187, 11188, 11189, 11190, 11191, 11192, 11193, 11194, 11195, 11196, 11197, 11198, 11199, 11200, 11201, 11202, 11203, 11204, 11205, 11206, 11207, 11208, 11209, 11210, 11211, 11212, 11213, 11214, 11215, 11216, 11217, 11218, 11219, 11220, 11221, 11222, 11223, 11224, 11225, 11226, 11227, 11228, 11229, 11230, 11231, 11232, 11233, 11234, 11235, 11236, 11237, 11238, 11239, 11240, 11241, 11242, 11243, 11244, 11245, 11246, 11247, 11248, 11249, 11250, 11251, 11252, 11253, 11254, 11255, 11256, 11257, 11258, 11259, 11260, 11261, 11262, 11263, 11264, 11265, 11266, 11267, 11268, 11269, 11270, 11271, 11272, 11273, 11274, 11275, 11276, 11277, 11278, 11279, 11280, 11281, 11282, 11283, 11284, 11285, 11286 ] + }, + "AXI_30_RDATA_PARITY": { + "direction": "output", + "bits": [ 11287, 11288, 11289, 11290, 11291, 11292, 11293, 11294, 11295, 11296, 11297, 11298, 11299, 11300, 11301, 11302, 11303, 11304, 11305, 11306, 11307, 11308, 11309, 11310, 11311, 11312, 11313, 11314, 11315, 11316, 11317, 11318 ] + }, + "AXI_30_RID": { + "direction": "output", + "bits": [ 11319, 11320, 11321, 11322, 11323, 11324 ] + }, + "AXI_30_RLAST": { + "direction": "output", + "bits": [ 11325 ] + }, + "AXI_30_RRESP": { + "direction": "output", + "bits": [ 11326, 11327 ] + }, + "AXI_30_RVALID": { + "direction": "output", + "bits": [ 11328 ] + }, + "AXI_30_WREADY": { + "direction": "output", + "bits": [ 11329 ] + }, + "AXI_31_ARREADY": { + "direction": "output", + "bits": [ 11330 ] + }, + "AXI_31_AWREADY": { + "direction": "output", + "bits": [ 11331 ] + }, + "AXI_31_BID": { + "direction": "output", + "bits": [ 11332, 11333, 11334, 11335, 11336, 11337 ] + }, + "AXI_31_BRESP": { + "direction": "output", + "bits": [ 11338, 11339 ] + }, + "AXI_31_BVALID": { + "direction": "output", + "bits": [ 11340 ] + }, + "AXI_31_DFI_AW_AERR_N": { + "direction": "output", + "bits": [ 11341, 11342 ] + }, + "AXI_31_DFI_CLK_BUF": { + "direction": "output", + "bits": [ 11343 ] + }, + "AXI_31_DFI_DBI_BYTE_DISABLE": { + "direction": "output", + "bits": [ 11344, 11345, 11346, 11347, 11348, 11349, 11350, 11351 ] + }, + "AXI_31_DFI_DW_RDDATA_DBI": { + "direction": "output", + "bits": [ 11352, 11353, 11354, 11355, 11356, 11357, 11358, 11359, 11360, 11361, 11362, 11363, 11364, 11365, 11366, 11367, 11368, 11369, 11370, 11371, 11372 ] + }, + "AXI_31_DFI_DW_RDDATA_DERR": { + "direction": "output", + "bits": [ 11373, 11374, 11375, 11376, 11377, 11378, 11379, 11380 ] + }, + "AXI_31_DFI_DW_RDDATA_VALID": { + "direction": "output", + "bits": [ 11381, 11382 ] + }, + "AXI_31_DFI_INIT_COMPLETE": { + "direction": "output", + "bits": [ 11383 ] + }, + "AXI_31_DFI_PHYUPD_REQ": { + "direction": "output", + "bits": [ 11384 ] + }, + "AXI_31_DFI_PHY_LP_STATE": { + "direction": "output", + "bits": [ 11385 ] + }, + "AXI_31_DFI_RST_N_BUF": { + "direction": "output", + "bits": [ 11386 ] + }, + "AXI_31_RDATA": { + "direction": "output", + "bits": [ 11387, 11388, 11389, 11390, 11391, 11392, 11393, 11394, 11395, 11396, 11397, 11398, 11399, 11400, 11401, 11402, 11403, 11404, 11405, 11406, 11407, 11408, 11409, 11410, 11411, 11412, 11413, 11414, 11415, 11416, 11417, 11418, 11419, 11420, 11421, 11422, 11423, 11424, 11425, 11426, 11427, 11428, 11429, 11430, 11431, 11432, 11433, 11434, 11435, 11436, 11437, 11438, 11439, 11440, 11441, 11442, 11443, 11444, 11445, 11446, 11447, 11448, 11449, 11450, 11451, 11452, 11453, 11454, 11455, 11456, 11457, 11458, 11459, 11460, 11461, 11462, 11463, 11464, 11465, 11466, 11467, 11468, 11469, 11470, 11471, 11472, 11473, 11474, 11475, 11476, 11477, 11478, 11479, 11480, 11481, 11482, 11483, 11484, 11485, 11486, 11487, 11488, 11489, 11490, 11491, 11492, 11493, 11494, 11495, 11496, 11497, 11498, 11499, 11500, 11501, 11502, 11503, 11504, 11505, 11506, 11507, 11508, 11509, 11510, 11511, 11512, 11513, 11514, 11515, 11516, 11517, 11518, 11519, 11520, 11521, 11522, 11523, 11524, 11525, 11526, 11527, 11528, 11529, 11530, 11531, 11532, 11533, 11534, 11535, 11536, 11537, 11538, 11539, 11540, 11541, 11542, 11543, 11544, 11545, 11546, 11547, 11548, 11549, 11550, 11551, 11552, 11553, 11554, 11555, 11556, 11557, 11558, 11559, 11560, 11561, 11562, 11563, 11564, 11565, 11566, 11567, 11568, 11569, 11570, 11571, 11572, 11573, 11574, 11575, 11576, 11577, 11578, 11579, 11580, 11581, 11582, 11583, 11584, 11585, 11586, 11587, 11588, 11589, 11590, 11591, 11592, 11593, 11594, 11595, 11596, 11597, 11598, 11599, 11600, 11601, 11602, 11603, 11604, 11605, 11606, 11607, 11608, 11609, 11610, 11611, 11612, 11613, 11614, 11615, 11616, 11617, 11618, 11619, 11620, 11621, 11622, 11623, 11624, 11625, 11626, 11627, 11628, 11629, 11630, 11631, 11632, 11633, 11634, 11635, 11636, 11637, 11638, 11639, 11640, 11641, 11642 ] + }, + "AXI_31_RDATA_PARITY": { + "direction": "output", + "bits": [ 11643, 11644, 11645, 11646, 11647, 11648, 11649, 11650, 11651, 11652, 11653, 11654, 11655, 11656, 11657, 11658, 11659, 11660, 11661, 11662, 11663, 11664, 11665, 11666, 11667, 11668, 11669, 11670, 11671, 11672, 11673, 11674 ] + }, + "AXI_31_RID": { + "direction": "output", + "bits": [ 11675, 11676, 11677, 11678, 11679, 11680 ] + }, + "AXI_31_RLAST": { + "direction": "output", + "bits": [ 11681 ] + }, + "AXI_31_RRESP": { + "direction": "output", + "bits": [ 11682, 11683 ] + }, + "AXI_31_RVALID": { + "direction": "output", + "bits": [ 11684 ] + }, + "AXI_31_WREADY": { + "direction": "output", + "bits": [ 11685 ] + }, + "DRAM_0_STAT_CATTRIP": { + "direction": "output", + "bits": [ 11686 ] + }, + "DRAM_0_STAT_TEMP": { + "direction": "output", + "bits": [ 11687, 11688, 11689 ] + }, + "DRAM_1_STAT_CATTRIP": { + "direction": "output", + "bits": [ 11690 ] + }, + "DRAM_1_STAT_TEMP": { + "direction": "output", + "bits": [ 11691, 11692, 11693 ] + }, + "APB_0_PADDR": { + "direction": "input", + "bits": [ 11694, 11695, 11696, 11697, 11698, 11699, 11700, 11701, 11702, 11703, 11704, 11705, 11706, 11707, 11708, 11709, 11710, 11711, 11712, 11713, 11714, 11715 ] + }, + "APB_0_PCLK": { + "direction": "input", + "bits": [ 11716 ] + }, + "APB_0_PENABLE": { + "direction": "input", + "bits": [ 11717 ] + }, + "APB_0_PRESET_N": { + "direction": "input", + "bits": [ 11718 ] + }, + "APB_0_PSEL": { + "direction": "input", + "bits": [ 11719 ] + }, + "APB_0_PWDATA": { + "direction": "input", + "bits": [ 11720, 11721, 11722, 11723, 11724, 11725, 11726, 11727, 11728, 11729, 11730, 11731, 11732, 11733, 11734, 11735, 11736, 11737, 11738, 11739, 11740, 11741, 11742, 11743, 11744, 11745, 11746, 11747, 11748, 11749, 11750, 11751 ] + }, + "APB_0_PWRITE": { + "direction": "input", + "bits": [ 11752 ] + }, + "APB_1_PADDR": { + "direction": "input", + "bits": [ 11753, 11754, 11755, 11756, 11757, 11758, 11759, 11760, 11761, 11762, 11763, 11764, 11765, 11766, 11767, 11768, 11769, 11770, 11771, 11772, 11773, 11774 ] + }, + "APB_1_PCLK": { + "direction": "input", + "bits": [ 11775 ] + }, + "APB_1_PENABLE": { + "direction": "input", + "bits": [ 11776 ] + }, + "APB_1_PRESET_N": { + "direction": "input", + "bits": [ 11777 ] + }, + "APB_1_PSEL": { + "direction": "input", + "bits": [ 11778 ] + }, + "APB_1_PWDATA": { + "direction": "input", + "bits": [ 11779, 11780, 11781, 11782, 11783, 11784, 11785, 11786, 11787, 11788, 11789, 11790, 11791, 11792, 11793, 11794, 11795, 11796, 11797, 11798, 11799, 11800, 11801, 11802, 11803, 11804, 11805, 11806, 11807, 11808, 11809, 11810 ] + }, + "APB_1_PWRITE": { + "direction": "input", + "bits": [ 11811 ] + }, + "AXI_00_ACLK": { + "direction": "input", + "bits": [ 11812 ] + }, + "AXI_00_ARADDR": { + "direction": "input", + "bits": [ 11813, 11814, 11815, 11816, 11817, 11818, 11819, 11820, 11821, 11822, 11823, 11824, 11825, 11826, 11827, 11828, 11829, 11830, 11831, 11832, 11833, 11834, 11835, 11836, 11837, 11838, 11839, 11840, 11841, 11842, 11843, 11844, 11845, 11846, 11847, 11848, 11849 ] + }, + "AXI_00_ARBURST": { + "direction": "input", + "bits": [ 11850, 11851 ] + }, + "AXI_00_ARESET_N": { + "direction": "input", + "bits": [ 11852 ] + }, + "AXI_00_ARID": { + "direction": "input", + "bits": [ 11853, 11854, 11855, 11856, 11857, 11858 ] + }, + "AXI_00_ARLEN": { + "direction": "input", + "bits": [ 11859, 11860, 11861, 11862 ] + }, + "AXI_00_ARSIZE": { + "direction": "input", + "bits": [ 11863, 11864, 11865 ] + }, + "AXI_00_ARVALID": { + "direction": "input", + "bits": [ 11866 ] + }, + "AXI_00_AWADDR": { + "direction": "input", + "bits": [ 11867, 11868, 11869, 11870, 11871, 11872, 11873, 11874, 11875, 11876, 11877, 11878, 11879, 11880, 11881, 11882, 11883, 11884, 11885, 11886, 11887, 11888, 11889, 11890, 11891, 11892, 11893, 11894, 11895, 11896, 11897, 11898, 11899, 11900, 11901, 11902, 11903 ] + }, + "AXI_00_AWBURST": { + "direction": "input", + "bits": [ 11904, 11905 ] + }, + "AXI_00_AWID": { + "direction": "input", + "bits": [ 11906, 11907, 11908, 11909, 11910, 11911 ] + }, + "AXI_00_AWLEN": { + "direction": "input", + "bits": [ 11912, 11913, 11914, 11915 ] + }, + "AXI_00_AWSIZE": { + "direction": "input", + "bits": [ 11916, 11917, 11918 ] + }, + "AXI_00_AWVALID": { + "direction": "input", + "bits": [ 11919 ] + }, + "AXI_00_BREADY": { + "direction": "input", + "bits": [ 11920 ] + }, + "AXI_00_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 11921 ] + }, + "AXI_00_RREADY": { + "direction": "input", + "bits": [ 11922 ] + }, + "AXI_00_WDATA": { + "direction": "input", + "bits": [ 11923, 11924, 11925, 11926, 11927, 11928, 11929, 11930, 11931, 11932, 11933, 11934, 11935, 11936, 11937, 11938, 11939, 11940, 11941, 11942, 11943, 11944, 11945, 11946, 11947, 11948, 11949, 11950, 11951, 11952, 11953, 11954, 11955, 11956, 11957, 11958, 11959, 11960, 11961, 11962, 11963, 11964, 11965, 11966, 11967, 11968, 11969, 11970, 11971, 11972, 11973, 11974, 11975, 11976, 11977, 11978, 11979, 11980, 11981, 11982, 11983, 11984, 11985, 11986, 11987, 11988, 11989, 11990, 11991, 11992, 11993, 11994, 11995, 11996, 11997, 11998, 11999, 12000, 12001, 12002, 12003, 12004, 12005, 12006, 12007, 12008, 12009, 12010, 12011, 12012, 12013, 12014, 12015, 12016, 12017, 12018, 12019, 12020, 12021, 12022, 12023, 12024, 12025, 12026, 12027, 12028, 12029, 12030, 12031, 12032, 12033, 12034, 12035, 12036, 12037, 12038, 12039, 12040, 12041, 12042, 12043, 12044, 12045, 12046, 12047, 12048, 12049, 12050, 12051, 12052, 12053, 12054, 12055, 12056, 12057, 12058, 12059, 12060, 12061, 12062, 12063, 12064, 12065, 12066, 12067, 12068, 12069, 12070, 12071, 12072, 12073, 12074, 12075, 12076, 12077, 12078, 12079, 12080, 12081, 12082, 12083, 12084, 12085, 12086, 12087, 12088, 12089, 12090, 12091, 12092, 12093, 12094, 12095, 12096, 12097, 12098, 12099, 12100, 12101, 12102, 12103, 12104, 12105, 12106, 12107, 12108, 12109, 12110, 12111, 12112, 12113, 12114, 12115, 12116, 12117, 12118, 12119, 12120, 12121, 12122, 12123, 12124, 12125, 12126, 12127, 12128, 12129, 12130, 12131, 12132, 12133, 12134, 12135, 12136, 12137, 12138, 12139, 12140, 12141, 12142, 12143, 12144, 12145, 12146, 12147, 12148, 12149, 12150, 12151, 12152, 12153, 12154, 12155, 12156, 12157, 12158, 12159, 12160, 12161, 12162, 12163, 12164, 12165, 12166, 12167, 12168, 12169, 12170, 12171, 12172, 12173, 12174, 12175, 12176, 12177, 12178 ] + }, + "AXI_00_WDATA_PARITY": { + "direction": "input", + "bits": [ 12179, 12180, 12181, 12182, 12183, 12184, 12185, 12186, 12187, 12188, 12189, 12190, 12191, 12192, 12193, 12194, 12195, 12196, 12197, 12198, 12199, 12200, 12201, 12202, 12203, 12204, 12205, 12206, 12207, 12208, 12209, 12210 ] + }, + "AXI_00_WLAST": { + "direction": "input", + "bits": [ 12211 ] + }, + "AXI_00_WSTRB": { + "direction": "input", + "bits": [ 12212, 12213, 12214, 12215, 12216, 12217, 12218, 12219, 12220, 12221, 12222, 12223, 12224, 12225, 12226, 12227, 12228, 12229, 12230, 12231, 12232, 12233, 12234, 12235, 12236, 12237, 12238, 12239, 12240, 12241, 12242, 12243 ] + }, + "AXI_00_WVALID": { + "direction": "input", + "bits": [ 12244 ] + }, + "AXI_01_ACLK": { + "direction": "input", + "bits": [ 12245 ] + }, + "AXI_01_ARADDR": { + "direction": "input", + "bits": [ 12246, 12247, 12248, 12249, 12250, 12251, 12252, 12253, 12254, 12255, 12256, 12257, 12258, 12259, 12260, 12261, 12262, 12263, 12264, 12265, 12266, 12267, 12268, 12269, 12270, 12271, 12272, 12273, 12274, 12275, 12276, 12277, 12278, 12279, 12280, 12281, 12282 ] + }, + "AXI_01_ARBURST": { + "direction": "input", + "bits": [ 12283, 12284 ] + }, + "AXI_01_ARESET_N": { + "direction": "input", + "bits": [ 12285 ] + }, + "AXI_01_ARID": { + "direction": "input", + "bits": [ 12286, 12287, 12288, 12289, 12290, 12291 ] + }, + "AXI_01_ARLEN": { + "direction": "input", + "bits": [ 12292, 12293, 12294, 12295 ] + }, + "AXI_01_ARSIZE": { + "direction": "input", + "bits": [ 12296, 12297, 12298 ] + }, + "AXI_01_ARVALID": { + "direction": "input", + "bits": [ 12299 ] + }, + "AXI_01_AWADDR": { + "direction": "input", + "bits": [ 12300, 12301, 12302, 12303, 12304, 12305, 12306, 12307, 12308, 12309, 12310, 12311, 12312, 12313, 12314, 12315, 12316, 12317, 12318, 12319, 12320, 12321, 12322, 12323, 12324, 12325, 12326, 12327, 12328, 12329, 12330, 12331, 12332, 12333, 12334, 12335, 12336 ] + }, + "AXI_01_AWBURST": { + "direction": "input", + "bits": [ 12337, 12338 ] + }, + "AXI_01_AWID": { + "direction": "input", + "bits": [ 12339, 12340, 12341, 12342, 12343, 12344 ] + }, + "AXI_01_AWLEN": { + "direction": "input", + "bits": [ 12345, 12346, 12347, 12348 ] + }, + "AXI_01_AWSIZE": { + "direction": "input", + "bits": [ 12349, 12350, 12351 ] + }, + "AXI_01_AWVALID": { + "direction": "input", + "bits": [ 12352 ] + }, + "AXI_01_BREADY": { + "direction": "input", + "bits": [ 12353 ] + }, + "AXI_01_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 12354 ] + }, + "AXI_01_RREADY": { + "direction": "input", + "bits": [ 12355 ] + }, + "AXI_01_WDATA": { + "direction": "input", + "bits": [ 12356, 12357, 12358, 12359, 12360, 12361, 12362, 12363, 12364, 12365, 12366, 12367, 12368, 12369, 12370, 12371, 12372, 12373, 12374, 12375, 12376, 12377, 12378, 12379, 12380, 12381, 12382, 12383, 12384, 12385, 12386, 12387, 12388, 12389, 12390, 12391, 12392, 12393, 12394, 12395, 12396, 12397, 12398, 12399, 12400, 12401, 12402, 12403, 12404, 12405, 12406, 12407, 12408, 12409, 12410, 12411, 12412, 12413, 12414, 12415, 12416, 12417, 12418, 12419, 12420, 12421, 12422, 12423, 12424, 12425, 12426, 12427, 12428, 12429, 12430, 12431, 12432, 12433, 12434, 12435, 12436, 12437, 12438, 12439, 12440, 12441, 12442, 12443, 12444, 12445, 12446, 12447, 12448, 12449, 12450, 12451, 12452, 12453, 12454, 12455, 12456, 12457, 12458, 12459, 12460, 12461, 12462, 12463, 12464, 12465, 12466, 12467, 12468, 12469, 12470, 12471, 12472, 12473, 12474, 12475, 12476, 12477, 12478, 12479, 12480, 12481, 12482, 12483, 12484, 12485, 12486, 12487, 12488, 12489, 12490, 12491, 12492, 12493, 12494, 12495, 12496, 12497, 12498, 12499, 12500, 12501, 12502, 12503, 12504, 12505, 12506, 12507, 12508, 12509, 12510, 12511, 12512, 12513, 12514, 12515, 12516, 12517, 12518, 12519, 12520, 12521, 12522, 12523, 12524, 12525, 12526, 12527, 12528, 12529, 12530, 12531, 12532, 12533, 12534, 12535, 12536, 12537, 12538, 12539, 12540, 12541, 12542, 12543, 12544, 12545, 12546, 12547, 12548, 12549, 12550, 12551, 12552, 12553, 12554, 12555, 12556, 12557, 12558, 12559, 12560, 12561, 12562, 12563, 12564, 12565, 12566, 12567, 12568, 12569, 12570, 12571, 12572, 12573, 12574, 12575, 12576, 12577, 12578, 12579, 12580, 12581, 12582, 12583, 12584, 12585, 12586, 12587, 12588, 12589, 12590, 12591, 12592, 12593, 12594, 12595, 12596, 12597, 12598, 12599, 12600, 12601, 12602, 12603, 12604, 12605, 12606, 12607, 12608, 12609, 12610, 12611 ] + }, + "AXI_01_WDATA_PARITY": { + "direction": "input", + "bits": [ 12612, 12613, 12614, 12615, 12616, 12617, 12618, 12619, 12620, 12621, 12622, 12623, 12624, 12625, 12626, 12627, 12628, 12629, 12630, 12631, 12632, 12633, 12634, 12635, 12636, 12637, 12638, 12639, 12640, 12641, 12642, 12643 ] + }, + "AXI_01_WLAST": { + "direction": "input", + "bits": [ 12644 ] + }, + "AXI_01_WSTRB": { + "direction": "input", + "bits": [ 12645, 12646, 12647, 12648, 12649, 12650, 12651, 12652, 12653, 12654, 12655, 12656, 12657, 12658, 12659, 12660, 12661, 12662, 12663, 12664, 12665, 12666, 12667, 12668, 12669, 12670, 12671, 12672, 12673, 12674, 12675, 12676 ] + }, + "AXI_01_WVALID": { + "direction": "input", + "bits": [ 12677 ] + }, + "AXI_02_ACLK": { + "direction": "input", + "bits": [ 12678 ] + }, + "AXI_02_ARADDR": { + "direction": "input", + "bits": [ 12679, 12680, 12681, 12682, 12683, 12684, 12685, 12686, 12687, 12688, 12689, 12690, 12691, 12692, 12693, 12694, 12695, 12696, 12697, 12698, 12699, 12700, 12701, 12702, 12703, 12704, 12705, 12706, 12707, 12708, 12709, 12710, 12711, 12712, 12713, 12714, 12715 ] + }, + "AXI_02_ARBURST": { + "direction": "input", + "bits": [ 12716, 12717 ] + }, + "AXI_02_ARESET_N": { + "direction": "input", + "bits": [ 12718 ] + }, + "AXI_02_ARID": { + "direction": "input", + "bits": [ 12719, 12720, 12721, 12722, 12723, 12724 ] + }, + "AXI_02_ARLEN": { + "direction": "input", + "bits": [ 12725, 12726, 12727, 12728 ] + }, + "AXI_02_ARSIZE": { + "direction": "input", + "bits": [ 12729, 12730, 12731 ] + }, + "AXI_02_ARVALID": { + "direction": "input", + "bits": [ 12732 ] + }, + "AXI_02_AWADDR": { + "direction": "input", + "bits": [ 12733, 12734, 12735, 12736, 12737, 12738, 12739, 12740, 12741, 12742, 12743, 12744, 12745, 12746, 12747, 12748, 12749, 12750, 12751, 12752, 12753, 12754, 12755, 12756, 12757, 12758, 12759, 12760, 12761, 12762, 12763, 12764, 12765, 12766, 12767, 12768, 12769 ] + }, + "AXI_02_AWBURST": { + "direction": "input", + "bits": [ 12770, 12771 ] + }, + "AXI_02_AWID": { + "direction": "input", + "bits": [ 12772, 12773, 12774, 12775, 12776, 12777 ] + }, + "AXI_02_AWLEN": { + "direction": "input", + "bits": [ 12778, 12779, 12780, 12781 ] + }, + "AXI_02_AWSIZE": { + "direction": "input", + "bits": [ 12782, 12783, 12784 ] + }, + "AXI_02_AWVALID": { + "direction": "input", + "bits": [ 12785 ] + }, + "AXI_02_BREADY": { + "direction": "input", + "bits": [ 12786 ] + }, + "AXI_02_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 12787 ] + }, + "AXI_02_RREADY": { + "direction": "input", + "bits": [ 12788 ] + }, + "AXI_02_WDATA": { + "direction": "input", + "bits": [ 12789, 12790, 12791, 12792, 12793, 12794, 12795, 12796, 12797, 12798, 12799, 12800, 12801, 12802, 12803, 12804, 12805, 12806, 12807, 12808, 12809, 12810, 12811, 12812, 12813, 12814, 12815, 12816, 12817, 12818, 12819, 12820, 12821, 12822, 12823, 12824, 12825, 12826, 12827, 12828, 12829, 12830, 12831, 12832, 12833, 12834, 12835, 12836, 12837, 12838, 12839, 12840, 12841, 12842, 12843, 12844, 12845, 12846, 12847, 12848, 12849, 12850, 12851, 12852, 12853, 12854, 12855, 12856, 12857, 12858, 12859, 12860, 12861, 12862, 12863, 12864, 12865, 12866, 12867, 12868, 12869, 12870, 12871, 12872, 12873, 12874, 12875, 12876, 12877, 12878, 12879, 12880, 12881, 12882, 12883, 12884, 12885, 12886, 12887, 12888, 12889, 12890, 12891, 12892, 12893, 12894, 12895, 12896, 12897, 12898, 12899, 12900, 12901, 12902, 12903, 12904, 12905, 12906, 12907, 12908, 12909, 12910, 12911, 12912, 12913, 12914, 12915, 12916, 12917, 12918, 12919, 12920, 12921, 12922, 12923, 12924, 12925, 12926, 12927, 12928, 12929, 12930, 12931, 12932, 12933, 12934, 12935, 12936, 12937, 12938, 12939, 12940, 12941, 12942, 12943, 12944, 12945, 12946, 12947, 12948, 12949, 12950, 12951, 12952, 12953, 12954, 12955, 12956, 12957, 12958, 12959, 12960, 12961, 12962, 12963, 12964, 12965, 12966, 12967, 12968, 12969, 12970, 12971, 12972, 12973, 12974, 12975, 12976, 12977, 12978, 12979, 12980, 12981, 12982, 12983, 12984, 12985, 12986, 12987, 12988, 12989, 12990, 12991, 12992, 12993, 12994, 12995, 12996, 12997, 12998, 12999, 13000, 13001, 13002, 13003, 13004, 13005, 13006, 13007, 13008, 13009, 13010, 13011, 13012, 13013, 13014, 13015, 13016, 13017, 13018, 13019, 13020, 13021, 13022, 13023, 13024, 13025, 13026, 13027, 13028, 13029, 13030, 13031, 13032, 13033, 13034, 13035, 13036, 13037, 13038, 13039, 13040, 13041, 13042, 13043, 13044 ] + }, + "AXI_02_WDATA_PARITY": { + "direction": "input", + "bits": [ 13045, 13046, 13047, 13048, 13049, 13050, 13051, 13052, 13053, 13054, 13055, 13056, 13057, 13058, 13059, 13060, 13061, 13062, 13063, 13064, 13065, 13066, 13067, 13068, 13069, 13070, 13071, 13072, 13073, 13074, 13075, 13076 ] + }, + "AXI_02_WLAST": { + "direction": "input", + "bits": [ 13077 ] + }, + "AXI_02_WSTRB": { + "direction": "input", + "bits": [ 13078, 13079, 13080, 13081, 13082, 13083, 13084, 13085, 13086, 13087, 13088, 13089, 13090, 13091, 13092, 13093, 13094, 13095, 13096, 13097, 13098, 13099, 13100, 13101, 13102, 13103, 13104, 13105, 13106, 13107, 13108, 13109 ] + }, + "AXI_02_WVALID": { + "direction": "input", + "bits": [ 13110 ] + }, + "AXI_03_ACLK": { + "direction": "input", + "bits": [ 13111 ] + }, + "AXI_03_ARADDR": { + "direction": "input", + "bits": [ 13112, 13113, 13114, 13115, 13116, 13117, 13118, 13119, 13120, 13121, 13122, 13123, 13124, 13125, 13126, 13127, 13128, 13129, 13130, 13131, 13132, 13133, 13134, 13135, 13136, 13137, 13138, 13139, 13140, 13141, 13142, 13143, 13144, 13145, 13146, 13147, 13148 ] + }, + "AXI_03_ARBURST": { + "direction": "input", + "bits": [ 13149, 13150 ] + }, + "AXI_03_ARESET_N": { + "direction": "input", + "bits": [ 13151 ] + }, + "AXI_03_ARID": { + "direction": "input", + "bits": [ 13152, 13153, 13154, 13155, 13156, 13157 ] + }, + "AXI_03_ARLEN": { + "direction": "input", + "bits": [ 13158, 13159, 13160, 13161 ] + }, + "AXI_03_ARSIZE": { + "direction": "input", + "bits": [ 13162, 13163, 13164 ] + }, + "AXI_03_ARVALID": { + "direction": "input", + "bits": [ 13165 ] + }, + "AXI_03_AWADDR": { + "direction": "input", + "bits": [ 13166, 13167, 13168, 13169, 13170, 13171, 13172, 13173, 13174, 13175, 13176, 13177, 13178, 13179, 13180, 13181, 13182, 13183, 13184, 13185, 13186, 13187, 13188, 13189, 13190, 13191, 13192, 13193, 13194, 13195, 13196, 13197, 13198, 13199, 13200, 13201, 13202 ] + }, + "AXI_03_AWBURST": { + "direction": "input", + "bits": [ 13203, 13204 ] + }, + "AXI_03_AWID": { + "direction": "input", + "bits": [ 13205, 13206, 13207, 13208, 13209, 13210 ] + }, + "AXI_03_AWLEN": { + "direction": "input", + "bits": [ 13211, 13212, 13213, 13214 ] + }, + "AXI_03_AWSIZE": { + "direction": "input", + "bits": [ 13215, 13216, 13217 ] + }, + "AXI_03_AWVALID": { + "direction": "input", + "bits": [ 13218 ] + }, + "AXI_03_BREADY": { + "direction": "input", + "bits": [ 13219 ] + }, + "AXI_03_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 13220 ] + }, + "AXI_03_RREADY": { + "direction": "input", + "bits": [ 13221 ] + }, + "AXI_03_WDATA": { + "direction": "input", + "bits": [ 13222, 13223, 13224, 13225, 13226, 13227, 13228, 13229, 13230, 13231, 13232, 13233, 13234, 13235, 13236, 13237, 13238, 13239, 13240, 13241, 13242, 13243, 13244, 13245, 13246, 13247, 13248, 13249, 13250, 13251, 13252, 13253, 13254, 13255, 13256, 13257, 13258, 13259, 13260, 13261, 13262, 13263, 13264, 13265, 13266, 13267, 13268, 13269, 13270, 13271, 13272, 13273, 13274, 13275, 13276, 13277, 13278, 13279, 13280, 13281, 13282, 13283, 13284, 13285, 13286, 13287, 13288, 13289, 13290, 13291, 13292, 13293, 13294, 13295, 13296, 13297, 13298, 13299, 13300, 13301, 13302, 13303, 13304, 13305, 13306, 13307, 13308, 13309, 13310, 13311, 13312, 13313, 13314, 13315, 13316, 13317, 13318, 13319, 13320, 13321, 13322, 13323, 13324, 13325, 13326, 13327, 13328, 13329, 13330, 13331, 13332, 13333, 13334, 13335, 13336, 13337, 13338, 13339, 13340, 13341, 13342, 13343, 13344, 13345, 13346, 13347, 13348, 13349, 13350, 13351, 13352, 13353, 13354, 13355, 13356, 13357, 13358, 13359, 13360, 13361, 13362, 13363, 13364, 13365, 13366, 13367, 13368, 13369, 13370, 13371, 13372, 13373, 13374, 13375, 13376, 13377, 13378, 13379, 13380, 13381, 13382, 13383, 13384, 13385, 13386, 13387, 13388, 13389, 13390, 13391, 13392, 13393, 13394, 13395, 13396, 13397, 13398, 13399, 13400, 13401, 13402, 13403, 13404, 13405, 13406, 13407, 13408, 13409, 13410, 13411, 13412, 13413, 13414, 13415, 13416, 13417, 13418, 13419, 13420, 13421, 13422, 13423, 13424, 13425, 13426, 13427, 13428, 13429, 13430, 13431, 13432, 13433, 13434, 13435, 13436, 13437, 13438, 13439, 13440, 13441, 13442, 13443, 13444, 13445, 13446, 13447, 13448, 13449, 13450, 13451, 13452, 13453, 13454, 13455, 13456, 13457, 13458, 13459, 13460, 13461, 13462, 13463, 13464, 13465, 13466, 13467, 13468, 13469, 13470, 13471, 13472, 13473, 13474, 13475, 13476, 13477 ] + }, + "AXI_03_WDATA_PARITY": { + "direction": "input", + "bits": [ 13478, 13479, 13480, 13481, 13482, 13483, 13484, 13485, 13486, 13487, 13488, 13489, 13490, 13491, 13492, 13493, 13494, 13495, 13496, 13497, 13498, 13499, 13500, 13501, 13502, 13503, 13504, 13505, 13506, 13507, 13508, 13509 ] + }, + "AXI_03_WLAST": { + "direction": "input", + "bits": [ 13510 ] + }, + "AXI_03_WSTRB": { + "direction": "input", + "bits": [ 13511, 13512, 13513, 13514, 13515, 13516, 13517, 13518, 13519, 13520, 13521, 13522, 13523, 13524, 13525, 13526, 13527, 13528, 13529, 13530, 13531, 13532, 13533, 13534, 13535, 13536, 13537, 13538, 13539, 13540, 13541, 13542 ] + }, + "AXI_03_WVALID": { + "direction": "input", + "bits": [ 13543 ] + }, + "AXI_04_ACLK": { + "direction": "input", + "bits": [ 13544 ] + }, + "AXI_04_ARADDR": { + "direction": "input", + "bits": [ 13545, 13546, 13547, 13548, 13549, 13550, 13551, 13552, 13553, 13554, 13555, 13556, 13557, 13558, 13559, 13560, 13561, 13562, 13563, 13564, 13565, 13566, 13567, 13568, 13569, 13570, 13571, 13572, 13573, 13574, 13575, 13576, 13577, 13578, 13579, 13580, 13581 ] + }, + "AXI_04_ARBURST": { + "direction": "input", + "bits": [ 13582, 13583 ] + }, + "AXI_04_ARESET_N": { + "direction": "input", + "bits": [ 13584 ] + }, + "AXI_04_ARID": { + "direction": "input", + "bits": [ 13585, 13586, 13587, 13588, 13589, 13590 ] + }, + "AXI_04_ARLEN": { + "direction": "input", + "bits": [ 13591, 13592, 13593, 13594 ] + }, + "AXI_04_ARSIZE": { + "direction": "input", + "bits": [ 13595, 13596, 13597 ] + }, + "AXI_04_ARVALID": { + "direction": "input", + "bits": [ 13598 ] + }, + "AXI_04_AWADDR": { + "direction": "input", + "bits": [ 13599, 13600, 13601, 13602, 13603, 13604, 13605, 13606, 13607, 13608, 13609, 13610, 13611, 13612, 13613, 13614, 13615, 13616, 13617, 13618, 13619, 13620, 13621, 13622, 13623, 13624, 13625, 13626, 13627, 13628, 13629, 13630, 13631, 13632, 13633, 13634, 13635 ] + }, + "AXI_04_AWBURST": { + "direction": "input", + "bits": [ 13636, 13637 ] + }, + "AXI_04_AWID": { + "direction": "input", + "bits": [ 13638, 13639, 13640, 13641, 13642, 13643 ] + }, + "AXI_04_AWLEN": { + "direction": "input", + "bits": [ 13644, 13645, 13646, 13647 ] + }, + "AXI_04_AWSIZE": { + "direction": "input", + "bits": [ 13648, 13649, 13650 ] + }, + "AXI_04_AWVALID": { + "direction": "input", + "bits": [ 13651 ] + }, + "AXI_04_BREADY": { + "direction": "input", + "bits": [ 13652 ] + }, + "AXI_04_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 13653 ] + }, + "AXI_04_RREADY": { + "direction": "input", + "bits": [ 13654 ] + }, + "AXI_04_WDATA": { + "direction": "input", + "bits": [ 13655, 13656, 13657, 13658, 13659, 13660, 13661, 13662, 13663, 13664, 13665, 13666, 13667, 13668, 13669, 13670, 13671, 13672, 13673, 13674, 13675, 13676, 13677, 13678, 13679, 13680, 13681, 13682, 13683, 13684, 13685, 13686, 13687, 13688, 13689, 13690, 13691, 13692, 13693, 13694, 13695, 13696, 13697, 13698, 13699, 13700, 13701, 13702, 13703, 13704, 13705, 13706, 13707, 13708, 13709, 13710, 13711, 13712, 13713, 13714, 13715, 13716, 13717, 13718, 13719, 13720, 13721, 13722, 13723, 13724, 13725, 13726, 13727, 13728, 13729, 13730, 13731, 13732, 13733, 13734, 13735, 13736, 13737, 13738, 13739, 13740, 13741, 13742, 13743, 13744, 13745, 13746, 13747, 13748, 13749, 13750, 13751, 13752, 13753, 13754, 13755, 13756, 13757, 13758, 13759, 13760, 13761, 13762, 13763, 13764, 13765, 13766, 13767, 13768, 13769, 13770, 13771, 13772, 13773, 13774, 13775, 13776, 13777, 13778, 13779, 13780, 13781, 13782, 13783, 13784, 13785, 13786, 13787, 13788, 13789, 13790, 13791, 13792, 13793, 13794, 13795, 13796, 13797, 13798, 13799, 13800, 13801, 13802, 13803, 13804, 13805, 13806, 13807, 13808, 13809, 13810, 13811, 13812, 13813, 13814, 13815, 13816, 13817, 13818, 13819, 13820, 13821, 13822, 13823, 13824, 13825, 13826, 13827, 13828, 13829, 13830, 13831, 13832, 13833, 13834, 13835, 13836, 13837, 13838, 13839, 13840, 13841, 13842, 13843, 13844, 13845, 13846, 13847, 13848, 13849, 13850, 13851, 13852, 13853, 13854, 13855, 13856, 13857, 13858, 13859, 13860, 13861, 13862, 13863, 13864, 13865, 13866, 13867, 13868, 13869, 13870, 13871, 13872, 13873, 13874, 13875, 13876, 13877, 13878, 13879, 13880, 13881, 13882, 13883, 13884, 13885, 13886, 13887, 13888, 13889, 13890, 13891, 13892, 13893, 13894, 13895, 13896, 13897, 13898, 13899, 13900, 13901, 13902, 13903, 13904, 13905, 13906, 13907, 13908, 13909, 13910 ] + }, + "AXI_04_WDATA_PARITY": { + "direction": "input", + "bits": [ 13911, 13912, 13913, 13914, 13915, 13916, 13917, 13918, 13919, 13920, 13921, 13922, 13923, 13924, 13925, 13926, 13927, 13928, 13929, 13930, 13931, 13932, 13933, 13934, 13935, 13936, 13937, 13938, 13939, 13940, 13941, 13942 ] + }, + "AXI_04_WLAST": { + "direction": "input", + "bits": [ 13943 ] + }, + "AXI_04_WSTRB": { + "direction": "input", + "bits": [ 13944, 13945, 13946, 13947, 13948, 13949, 13950, 13951, 13952, 13953, 13954, 13955, 13956, 13957, 13958, 13959, 13960, 13961, 13962, 13963, 13964, 13965, 13966, 13967, 13968, 13969, 13970, 13971, 13972, 13973, 13974, 13975 ] + }, + "AXI_04_WVALID": { + "direction": "input", + "bits": [ 13976 ] + }, + "AXI_05_ACLK": { + "direction": "input", + "bits": [ 13977 ] + }, + "AXI_05_ARADDR": { + "direction": "input", + "bits": [ 13978, 13979, 13980, 13981, 13982, 13983, 13984, 13985, 13986, 13987, 13988, 13989, 13990, 13991, 13992, 13993, 13994, 13995, 13996, 13997, 13998, 13999, 14000, 14001, 14002, 14003, 14004, 14005, 14006, 14007, 14008, 14009, 14010, 14011, 14012, 14013, 14014 ] + }, + "AXI_05_ARBURST": { + "direction": "input", + "bits": [ 14015, 14016 ] + }, + "AXI_05_ARESET_N": { + "direction": "input", + "bits": [ 14017 ] + }, + "AXI_05_ARID": { + "direction": "input", + "bits": [ 14018, 14019, 14020, 14021, 14022, 14023 ] + }, + "AXI_05_ARLEN": { + "direction": "input", + "bits": [ 14024, 14025, 14026, 14027 ] + }, + "AXI_05_ARSIZE": { + "direction": "input", + "bits": [ 14028, 14029, 14030 ] + }, + "AXI_05_ARVALID": { + "direction": "input", + "bits": [ 14031 ] + }, + "AXI_05_AWADDR": { + "direction": "input", + "bits": [ 14032, 14033, 14034, 14035, 14036, 14037, 14038, 14039, 14040, 14041, 14042, 14043, 14044, 14045, 14046, 14047, 14048, 14049, 14050, 14051, 14052, 14053, 14054, 14055, 14056, 14057, 14058, 14059, 14060, 14061, 14062, 14063, 14064, 14065, 14066, 14067, 14068 ] + }, + "AXI_05_AWBURST": { + "direction": "input", + "bits": [ 14069, 14070 ] + }, + "AXI_05_AWID": { + "direction": "input", + "bits": [ 14071, 14072, 14073, 14074, 14075, 14076 ] + }, + "AXI_05_AWLEN": { + "direction": "input", + "bits": [ 14077, 14078, 14079, 14080 ] + }, + "AXI_05_AWSIZE": { + "direction": "input", + "bits": [ 14081, 14082, 14083 ] + }, + "AXI_05_AWVALID": { + "direction": "input", + "bits": [ 14084 ] + }, + "AXI_05_BREADY": { + "direction": "input", + "bits": [ 14085 ] + }, + "AXI_05_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 14086 ] + }, + "AXI_05_RREADY": { + "direction": "input", + "bits": [ 14087 ] + }, + "AXI_05_WDATA": { + "direction": "input", + "bits": [ 14088, 14089, 14090, 14091, 14092, 14093, 14094, 14095, 14096, 14097, 14098, 14099, 14100, 14101, 14102, 14103, 14104, 14105, 14106, 14107, 14108, 14109, 14110, 14111, 14112, 14113, 14114, 14115, 14116, 14117, 14118, 14119, 14120, 14121, 14122, 14123, 14124, 14125, 14126, 14127, 14128, 14129, 14130, 14131, 14132, 14133, 14134, 14135, 14136, 14137, 14138, 14139, 14140, 14141, 14142, 14143, 14144, 14145, 14146, 14147, 14148, 14149, 14150, 14151, 14152, 14153, 14154, 14155, 14156, 14157, 14158, 14159, 14160, 14161, 14162, 14163, 14164, 14165, 14166, 14167, 14168, 14169, 14170, 14171, 14172, 14173, 14174, 14175, 14176, 14177, 14178, 14179, 14180, 14181, 14182, 14183, 14184, 14185, 14186, 14187, 14188, 14189, 14190, 14191, 14192, 14193, 14194, 14195, 14196, 14197, 14198, 14199, 14200, 14201, 14202, 14203, 14204, 14205, 14206, 14207, 14208, 14209, 14210, 14211, 14212, 14213, 14214, 14215, 14216, 14217, 14218, 14219, 14220, 14221, 14222, 14223, 14224, 14225, 14226, 14227, 14228, 14229, 14230, 14231, 14232, 14233, 14234, 14235, 14236, 14237, 14238, 14239, 14240, 14241, 14242, 14243, 14244, 14245, 14246, 14247, 14248, 14249, 14250, 14251, 14252, 14253, 14254, 14255, 14256, 14257, 14258, 14259, 14260, 14261, 14262, 14263, 14264, 14265, 14266, 14267, 14268, 14269, 14270, 14271, 14272, 14273, 14274, 14275, 14276, 14277, 14278, 14279, 14280, 14281, 14282, 14283, 14284, 14285, 14286, 14287, 14288, 14289, 14290, 14291, 14292, 14293, 14294, 14295, 14296, 14297, 14298, 14299, 14300, 14301, 14302, 14303, 14304, 14305, 14306, 14307, 14308, 14309, 14310, 14311, 14312, 14313, 14314, 14315, 14316, 14317, 14318, 14319, 14320, 14321, 14322, 14323, 14324, 14325, 14326, 14327, 14328, 14329, 14330, 14331, 14332, 14333, 14334, 14335, 14336, 14337, 14338, 14339, 14340, 14341, 14342, 14343 ] + }, + "AXI_05_WDATA_PARITY": { + "direction": "input", + "bits": [ 14344, 14345, 14346, 14347, 14348, 14349, 14350, 14351, 14352, 14353, 14354, 14355, 14356, 14357, 14358, 14359, 14360, 14361, 14362, 14363, 14364, 14365, 14366, 14367, 14368, 14369, 14370, 14371, 14372, 14373, 14374, 14375 ] + }, + "AXI_05_WLAST": { + "direction": "input", + "bits": [ 14376 ] + }, + "AXI_05_WSTRB": { + "direction": "input", + "bits": [ 14377, 14378, 14379, 14380, 14381, 14382, 14383, 14384, 14385, 14386, 14387, 14388, 14389, 14390, 14391, 14392, 14393, 14394, 14395, 14396, 14397, 14398, 14399, 14400, 14401, 14402, 14403, 14404, 14405, 14406, 14407, 14408 ] + }, + "AXI_05_WVALID": { + "direction": "input", + "bits": [ 14409 ] + }, + "AXI_06_ACLK": { + "direction": "input", + "bits": [ 14410 ] + }, + "AXI_06_ARADDR": { + "direction": "input", + "bits": [ 14411, 14412, 14413, 14414, 14415, 14416, 14417, 14418, 14419, 14420, 14421, 14422, 14423, 14424, 14425, 14426, 14427, 14428, 14429, 14430, 14431, 14432, 14433, 14434, 14435, 14436, 14437, 14438, 14439, 14440, 14441, 14442, 14443, 14444, 14445, 14446, 14447 ] + }, + "AXI_06_ARBURST": { + "direction": "input", + "bits": [ 14448, 14449 ] + }, + "AXI_06_ARESET_N": { + "direction": "input", + "bits": [ 14450 ] + }, + "AXI_06_ARID": { + "direction": "input", + "bits": [ 14451, 14452, 14453, 14454, 14455, 14456 ] + }, + "AXI_06_ARLEN": { + "direction": "input", + "bits": [ 14457, 14458, 14459, 14460 ] + }, + "AXI_06_ARSIZE": { + "direction": "input", + "bits": [ 14461, 14462, 14463 ] + }, + "AXI_06_ARVALID": { + "direction": "input", + "bits": [ 14464 ] + }, + "AXI_06_AWADDR": { + "direction": "input", + "bits": [ 14465, 14466, 14467, 14468, 14469, 14470, 14471, 14472, 14473, 14474, 14475, 14476, 14477, 14478, 14479, 14480, 14481, 14482, 14483, 14484, 14485, 14486, 14487, 14488, 14489, 14490, 14491, 14492, 14493, 14494, 14495, 14496, 14497, 14498, 14499, 14500, 14501 ] + }, + "AXI_06_AWBURST": { + "direction": "input", + "bits": [ 14502, 14503 ] + }, + "AXI_06_AWID": { + "direction": "input", + "bits": [ 14504, 14505, 14506, 14507, 14508, 14509 ] + }, + "AXI_06_AWLEN": { + "direction": "input", + "bits": [ 14510, 14511, 14512, 14513 ] + }, + "AXI_06_AWSIZE": { + "direction": "input", + "bits": [ 14514, 14515, 14516 ] + }, + "AXI_06_AWVALID": { + "direction": "input", + "bits": [ 14517 ] + }, + "AXI_06_BREADY": { + "direction": "input", + "bits": [ 14518 ] + }, + "AXI_06_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 14519 ] + }, + "AXI_06_RREADY": { + "direction": "input", + "bits": [ 14520 ] + }, + "AXI_06_WDATA": { + "direction": "input", + "bits": [ 14521, 14522, 14523, 14524, 14525, 14526, 14527, 14528, 14529, 14530, 14531, 14532, 14533, 14534, 14535, 14536, 14537, 14538, 14539, 14540, 14541, 14542, 14543, 14544, 14545, 14546, 14547, 14548, 14549, 14550, 14551, 14552, 14553, 14554, 14555, 14556, 14557, 14558, 14559, 14560, 14561, 14562, 14563, 14564, 14565, 14566, 14567, 14568, 14569, 14570, 14571, 14572, 14573, 14574, 14575, 14576, 14577, 14578, 14579, 14580, 14581, 14582, 14583, 14584, 14585, 14586, 14587, 14588, 14589, 14590, 14591, 14592, 14593, 14594, 14595, 14596, 14597, 14598, 14599, 14600, 14601, 14602, 14603, 14604, 14605, 14606, 14607, 14608, 14609, 14610, 14611, 14612, 14613, 14614, 14615, 14616, 14617, 14618, 14619, 14620, 14621, 14622, 14623, 14624, 14625, 14626, 14627, 14628, 14629, 14630, 14631, 14632, 14633, 14634, 14635, 14636, 14637, 14638, 14639, 14640, 14641, 14642, 14643, 14644, 14645, 14646, 14647, 14648, 14649, 14650, 14651, 14652, 14653, 14654, 14655, 14656, 14657, 14658, 14659, 14660, 14661, 14662, 14663, 14664, 14665, 14666, 14667, 14668, 14669, 14670, 14671, 14672, 14673, 14674, 14675, 14676, 14677, 14678, 14679, 14680, 14681, 14682, 14683, 14684, 14685, 14686, 14687, 14688, 14689, 14690, 14691, 14692, 14693, 14694, 14695, 14696, 14697, 14698, 14699, 14700, 14701, 14702, 14703, 14704, 14705, 14706, 14707, 14708, 14709, 14710, 14711, 14712, 14713, 14714, 14715, 14716, 14717, 14718, 14719, 14720, 14721, 14722, 14723, 14724, 14725, 14726, 14727, 14728, 14729, 14730, 14731, 14732, 14733, 14734, 14735, 14736, 14737, 14738, 14739, 14740, 14741, 14742, 14743, 14744, 14745, 14746, 14747, 14748, 14749, 14750, 14751, 14752, 14753, 14754, 14755, 14756, 14757, 14758, 14759, 14760, 14761, 14762, 14763, 14764, 14765, 14766, 14767, 14768, 14769, 14770, 14771, 14772, 14773, 14774, 14775, 14776 ] + }, + "AXI_06_WDATA_PARITY": { + "direction": "input", + "bits": [ 14777, 14778, 14779, 14780, 14781, 14782, 14783, 14784, 14785, 14786, 14787, 14788, 14789, 14790, 14791, 14792, 14793, 14794, 14795, 14796, 14797, 14798, 14799, 14800, 14801, 14802, 14803, 14804, 14805, 14806, 14807, 14808 ] + }, + "AXI_06_WLAST": { + "direction": "input", + "bits": [ 14809 ] + }, + "AXI_06_WSTRB": { + "direction": "input", + "bits": [ 14810, 14811, 14812, 14813, 14814, 14815, 14816, 14817, 14818, 14819, 14820, 14821, 14822, 14823, 14824, 14825, 14826, 14827, 14828, 14829, 14830, 14831, 14832, 14833, 14834, 14835, 14836, 14837, 14838, 14839, 14840, 14841 ] + }, + "AXI_06_WVALID": { + "direction": "input", + "bits": [ 14842 ] + }, + "AXI_07_ACLK": { + "direction": "input", + "bits": [ 14843 ] + }, + "AXI_07_ARADDR": { + "direction": "input", + "bits": [ 14844, 14845, 14846, 14847, 14848, 14849, 14850, 14851, 14852, 14853, 14854, 14855, 14856, 14857, 14858, 14859, 14860, 14861, 14862, 14863, 14864, 14865, 14866, 14867, 14868, 14869, 14870, 14871, 14872, 14873, 14874, 14875, 14876, 14877, 14878, 14879, 14880 ] + }, + "AXI_07_ARBURST": { + "direction": "input", + "bits": [ 14881, 14882 ] + }, + "AXI_07_ARESET_N": { + "direction": "input", + "bits": [ 14883 ] + }, + "AXI_07_ARID": { + "direction": "input", + "bits": [ 14884, 14885, 14886, 14887, 14888, 14889 ] + }, + "AXI_07_ARLEN": { + "direction": "input", + "bits": [ 14890, 14891, 14892, 14893 ] + }, + "AXI_07_ARSIZE": { + "direction": "input", + "bits": [ 14894, 14895, 14896 ] + }, + "AXI_07_ARVALID": { + "direction": "input", + "bits": [ 14897 ] + }, + "AXI_07_AWADDR": { + "direction": "input", + "bits": [ 14898, 14899, 14900, 14901, 14902, 14903, 14904, 14905, 14906, 14907, 14908, 14909, 14910, 14911, 14912, 14913, 14914, 14915, 14916, 14917, 14918, 14919, 14920, 14921, 14922, 14923, 14924, 14925, 14926, 14927, 14928, 14929, 14930, 14931, 14932, 14933, 14934 ] + }, + "AXI_07_AWBURST": { + "direction": "input", + "bits": [ 14935, 14936 ] + }, + "AXI_07_AWID": { + "direction": "input", + "bits": [ 14937, 14938, 14939, 14940, 14941, 14942 ] + }, + "AXI_07_AWLEN": { + "direction": "input", + "bits": [ 14943, 14944, 14945, 14946 ] + }, + "AXI_07_AWSIZE": { + "direction": "input", + "bits": [ 14947, 14948, 14949 ] + }, + "AXI_07_AWVALID": { + "direction": "input", + "bits": [ 14950 ] + }, + "AXI_07_BREADY": { + "direction": "input", + "bits": [ 14951 ] + }, + "AXI_07_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 14952 ] + }, + "AXI_07_RREADY": { + "direction": "input", + "bits": [ 14953 ] + }, + "AXI_07_WDATA": { + "direction": "input", + "bits": [ 14954, 14955, 14956, 14957, 14958, 14959, 14960, 14961, 14962, 14963, 14964, 14965, 14966, 14967, 14968, 14969, 14970, 14971, 14972, 14973, 14974, 14975, 14976, 14977, 14978, 14979, 14980, 14981, 14982, 14983, 14984, 14985, 14986, 14987, 14988, 14989, 14990, 14991, 14992, 14993, 14994, 14995, 14996, 14997, 14998, 14999, 15000, 15001, 15002, 15003, 15004, 15005, 15006, 15007, 15008, 15009, 15010, 15011, 15012, 15013, 15014, 15015, 15016, 15017, 15018, 15019, 15020, 15021, 15022, 15023, 15024, 15025, 15026, 15027, 15028, 15029, 15030, 15031, 15032, 15033, 15034, 15035, 15036, 15037, 15038, 15039, 15040, 15041, 15042, 15043, 15044, 15045, 15046, 15047, 15048, 15049, 15050, 15051, 15052, 15053, 15054, 15055, 15056, 15057, 15058, 15059, 15060, 15061, 15062, 15063, 15064, 15065, 15066, 15067, 15068, 15069, 15070, 15071, 15072, 15073, 15074, 15075, 15076, 15077, 15078, 15079, 15080, 15081, 15082, 15083, 15084, 15085, 15086, 15087, 15088, 15089, 15090, 15091, 15092, 15093, 15094, 15095, 15096, 15097, 15098, 15099, 15100, 15101, 15102, 15103, 15104, 15105, 15106, 15107, 15108, 15109, 15110, 15111, 15112, 15113, 15114, 15115, 15116, 15117, 15118, 15119, 15120, 15121, 15122, 15123, 15124, 15125, 15126, 15127, 15128, 15129, 15130, 15131, 15132, 15133, 15134, 15135, 15136, 15137, 15138, 15139, 15140, 15141, 15142, 15143, 15144, 15145, 15146, 15147, 15148, 15149, 15150, 15151, 15152, 15153, 15154, 15155, 15156, 15157, 15158, 15159, 15160, 15161, 15162, 15163, 15164, 15165, 15166, 15167, 15168, 15169, 15170, 15171, 15172, 15173, 15174, 15175, 15176, 15177, 15178, 15179, 15180, 15181, 15182, 15183, 15184, 15185, 15186, 15187, 15188, 15189, 15190, 15191, 15192, 15193, 15194, 15195, 15196, 15197, 15198, 15199, 15200, 15201, 15202, 15203, 15204, 15205, 15206, 15207, 15208, 15209 ] + }, + "AXI_07_WDATA_PARITY": { + "direction": "input", + "bits": [ 15210, 15211, 15212, 15213, 15214, 15215, 15216, 15217, 15218, 15219, 15220, 15221, 15222, 15223, 15224, 15225, 15226, 15227, 15228, 15229, 15230, 15231, 15232, 15233, 15234, 15235, 15236, 15237, 15238, 15239, 15240, 15241 ] + }, + "AXI_07_WLAST": { + "direction": "input", + "bits": [ 15242 ] + }, + "AXI_07_WSTRB": { + "direction": "input", + "bits": [ 15243, 15244, 15245, 15246, 15247, 15248, 15249, 15250, 15251, 15252, 15253, 15254, 15255, 15256, 15257, 15258, 15259, 15260, 15261, 15262, 15263, 15264, 15265, 15266, 15267, 15268, 15269, 15270, 15271, 15272, 15273, 15274 ] + }, + "AXI_07_WVALID": { + "direction": "input", + "bits": [ 15275 ] + }, + "AXI_08_ACLK": { + "direction": "input", + "bits": [ 15276 ] + }, + "AXI_08_ARADDR": { + "direction": "input", + "bits": [ 15277, 15278, 15279, 15280, 15281, 15282, 15283, 15284, 15285, 15286, 15287, 15288, 15289, 15290, 15291, 15292, 15293, 15294, 15295, 15296, 15297, 15298, 15299, 15300, 15301, 15302, 15303, 15304, 15305, 15306, 15307, 15308, 15309, 15310, 15311, 15312, 15313 ] + }, + "AXI_08_ARBURST": { + "direction": "input", + "bits": [ 15314, 15315 ] + }, + "AXI_08_ARESET_N": { + "direction": "input", + "bits": [ 15316 ] + }, + "AXI_08_ARID": { + "direction": "input", + "bits": [ 15317, 15318, 15319, 15320, 15321, 15322 ] + }, + "AXI_08_ARLEN": { + "direction": "input", + "bits": [ 15323, 15324, 15325, 15326 ] + }, + "AXI_08_ARSIZE": { + "direction": "input", + "bits": [ 15327, 15328, 15329 ] + }, + "AXI_08_ARVALID": { + "direction": "input", + "bits": [ 15330 ] + }, + "AXI_08_AWADDR": { + "direction": "input", + "bits": [ 15331, 15332, 15333, 15334, 15335, 15336, 15337, 15338, 15339, 15340, 15341, 15342, 15343, 15344, 15345, 15346, 15347, 15348, 15349, 15350, 15351, 15352, 15353, 15354, 15355, 15356, 15357, 15358, 15359, 15360, 15361, 15362, 15363, 15364, 15365, 15366, 15367 ] + }, + "AXI_08_AWBURST": { + "direction": "input", + "bits": [ 15368, 15369 ] + }, + "AXI_08_AWID": { + "direction": "input", + "bits": [ 15370, 15371, 15372, 15373, 15374, 15375 ] + }, + "AXI_08_AWLEN": { + "direction": "input", + "bits": [ 15376, 15377, 15378, 15379 ] + }, + "AXI_08_AWSIZE": { + "direction": "input", + "bits": [ 15380, 15381, 15382 ] + }, + "AXI_08_AWVALID": { + "direction": "input", + "bits": [ 15383 ] + }, + "AXI_08_BREADY": { + "direction": "input", + "bits": [ 15384 ] + }, + "AXI_08_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 15385 ] + }, + "AXI_08_RREADY": { + "direction": "input", + "bits": [ 15386 ] + }, + "AXI_08_WDATA": { + "direction": "input", + "bits": [ 15387, 15388, 15389, 15390, 15391, 15392, 15393, 15394, 15395, 15396, 15397, 15398, 15399, 15400, 15401, 15402, 15403, 15404, 15405, 15406, 15407, 15408, 15409, 15410, 15411, 15412, 15413, 15414, 15415, 15416, 15417, 15418, 15419, 15420, 15421, 15422, 15423, 15424, 15425, 15426, 15427, 15428, 15429, 15430, 15431, 15432, 15433, 15434, 15435, 15436, 15437, 15438, 15439, 15440, 15441, 15442, 15443, 15444, 15445, 15446, 15447, 15448, 15449, 15450, 15451, 15452, 15453, 15454, 15455, 15456, 15457, 15458, 15459, 15460, 15461, 15462, 15463, 15464, 15465, 15466, 15467, 15468, 15469, 15470, 15471, 15472, 15473, 15474, 15475, 15476, 15477, 15478, 15479, 15480, 15481, 15482, 15483, 15484, 15485, 15486, 15487, 15488, 15489, 15490, 15491, 15492, 15493, 15494, 15495, 15496, 15497, 15498, 15499, 15500, 15501, 15502, 15503, 15504, 15505, 15506, 15507, 15508, 15509, 15510, 15511, 15512, 15513, 15514, 15515, 15516, 15517, 15518, 15519, 15520, 15521, 15522, 15523, 15524, 15525, 15526, 15527, 15528, 15529, 15530, 15531, 15532, 15533, 15534, 15535, 15536, 15537, 15538, 15539, 15540, 15541, 15542, 15543, 15544, 15545, 15546, 15547, 15548, 15549, 15550, 15551, 15552, 15553, 15554, 15555, 15556, 15557, 15558, 15559, 15560, 15561, 15562, 15563, 15564, 15565, 15566, 15567, 15568, 15569, 15570, 15571, 15572, 15573, 15574, 15575, 15576, 15577, 15578, 15579, 15580, 15581, 15582, 15583, 15584, 15585, 15586, 15587, 15588, 15589, 15590, 15591, 15592, 15593, 15594, 15595, 15596, 15597, 15598, 15599, 15600, 15601, 15602, 15603, 15604, 15605, 15606, 15607, 15608, 15609, 15610, 15611, 15612, 15613, 15614, 15615, 15616, 15617, 15618, 15619, 15620, 15621, 15622, 15623, 15624, 15625, 15626, 15627, 15628, 15629, 15630, 15631, 15632, 15633, 15634, 15635, 15636, 15637, 15638, 15639, 15640, 15641, 15642 ] + }, + "AXI_08_WDATA_PARITY": { + "direction": "input", + "bits": [ 15643, 15644, 15645, 15646, 15647, 15648, 15649, 15650, 15651, 15652, 15653, 15654, 15655, 15656, 15657, 15658, 15659, 15660, 15661, 15662, 15663, 15664, 15665, 15666, 15667, 15668, 15669, 15670, 15671, 15672, 15673, 15674 ] + }, + "AXI_08_WLAST": { + "direction": "input", + "bits": [ 15675 ] + }, + "AXI_08_WSTRB": { + "direction": "input", + "bits": [ 15676, 15677, 15678, 15679, 15680, 15681, 15682, 15683, 15684, 15685, 15686, 15687, 15688, 15689, 15690, 15691, 15692, 15693, 15694, 15695, 15696, 15697, 15698, 15699, 15700, 15701, 15702, 15703, 15704, 15705, 15706, 15707 ] + }, + "AXI_08_WVALID": { + "direction": "input", + "bits": [ 15708 ] + }, + "AXI_09_ACLK": { + "direction": "input", + "bits": [ 15709 ] + }, + "AXI_09_ARADDR": { + "direction": "input", + "bits": [ 15710, 15711, 15712, 15713, 15714, 15715, 15716, 15717, 15718, 15719, 15720, 15721, 15722, 15723, 15724, 15725, 15726, 15727, 15728, 15729, 15730, 15731, 15732, 15733, 15734, 15735, 15736, 15737, 15738, 15739, 15740, 15741, 15742, 15743, 15744, 15745, 15746 ] + }, + "AXI_09_ARBURST": { + "direction": "input", + "bits": [ 15747, 15748 ] + }, + "AXI_09_ARESET_N": { + "direction": "input", + "bits": [ 15749 ] + }, + "AXI_09_ARID": { + "direction": "input", + "bits": [ 15750, 15751, 15752, 15753, 15754, 15755 ] + }, + "AXI_09_ARLEN": { + "direction": "input", + "bits": [ 15756, 15757, 15758, 15759 ] + }, + "AXI_09_ARSIZE": { + "direction": "input", + "bits": [ 15760, 15761, 15762 ] + }, + "AXI_09_ARVALID": { + "direction": "input", + "bits": [ 15763 ] + }, + "AXI_09_AWADDR": { + "direction": "input", + "bits": [ 15764, 15765, 15766, 15767, 15768, 15769, 15770, 15771, 15772, 15773, 15774, 15775, 15776, 15777, 15778, 15779, 15780, 15781, 15782, 15783, 15784, 15785, 15786, 15787, 15788, 15789, 15790, 15791, 15792, 15793, 15794, 15795, 15796, 15797, 15798, 15799, 15800 ] + }, + "AXI_09_AWBURST": { + "direction": "input", + "bits": [ 15801, 15802 ] + }, + "AXI_09_AWID": { + "direction": "input", + "bits": [ 15803, 15804, 15805, 15806, 15807, 15808 ] + }, + "AXI_09_AWLEN": { + "direction": "input", + "bits": [ 15809, 15810, 15811, 15812 ] + }, + "AXI_09_AWSIZE": { + "direction": "input", + "bits": [ 15813, 15814, 15815 ] + }, + "AXI_09_AWVALID": { + "direction": "input", + "bits": [ 15816 ] + }, + "AXI_09_BREADY": { + "direction": "input", + "bits": [ 15817 ] + }, + "AXI_09_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 15818 ] + }, + "AXI_09_RREADY": { + "direction": "input", + "bits": [ 15819 ] + }, + "AXI_09_WDATA": { + "direction": "input", + "bits": [ 15820, 15821, 15822, 15823, 15824, 15825, 15826, 15827, 15828, 15829, 15830, 15831, 15832, 15833, 15834, 15835, 15836, 15837, 15838, 15839, 15840, 15841, 15842, 15843, 15844, 15845, 15846, 15847, 15848, 15849, 15850, 15851, 15852, 15853, 15854, 15855, 15856, 15857, 15858, 15859, 15860, 15861, 15862, 15863, 15864, 15865, 15866, 15867, 15868, 15869, 15870, 15871, 15872, 15873, 15874, 15875, 15876, 15877, 15878, 15879, 15880, 15881, 15882, 15883, 15884, 15885, 15886, 15887, 15888, 15889, 15890, 15891, 15892, 15893, 15894, 15895, 15896, 15897, 15898, 15899, 15900, 15901, 15902, 15903, 15904, 15905, 15906, 15907, 15908, 15909, 15910, 15911, 15912, 15913, 15914, 15915, 15916, 15917, 15918, 15919, 15920, 15921, 15922, 15923, 15924, 15925, 15926, 15927, 15928, 15929, 15930, 15931, 15932, 15933, 15934, 15935, 15936, 15937, 15938, 15939, 15940, 15941, 15942, 15943, 15944, 15945, 15946, 15947, 15948, 15949, 15950, 15951, 15952, 15953, 15954, 15955, 15956, 15957, 15958, 15959, 15960, 15961, 15962, 15963, 15964, 15965, 15966, 15967, 15968, 15969, 15970, 15971, 15972, 15973, 15974, 15975, 15976, 15977, 15978, 15979, 15980, 15981, 15982, 15983, 15984, 15985, 15986, 15987, 15988, 15989, 15990, 15991, 15992, 15993, 15994, 15995, 15996, 15997, 15998, 15999, 16000, 16001, 16002, 16003, 16004, 16005, 16006, 16007, 16008, 16009, 16010, 16011, 16012, 16013, 16014, 16015, 16016, 16017, 16018, 16019, 16020, 16021, 16022, 16023, 16024, 16025, 16026, 16027, 16028, 16029, 16030, 16031, 16032, 16033, 16034, 16035, 16036, 16037, 16038, 16039, 16040, 16041, 16042, 16043, 16044, 16045, 16046, 16047, 16048, 16049, 16050, 16051, 16052, 16053, 16054, 16055, 16056, 16057, 16058, 16059, 16060, 16061, 16062, 16063, 16064, 16065, 16066, 16067, 16068, 16069, 16070, 16071, 16072, 16073, 16074, 16075 ] + }, + "AXI_09_WDATA_PARITY": { + "direction": "input", + "bits": [ 16076, 16077, 16078, 16079, 16080, 16081, 16082, 16083, 16084, 16085, 16086, 16087, 16088, 16089, 16090, 16091, 16092, 16093, 16094, 16095, 16096, 16097, 16098, 16099, 16100, 16101, 16102, 16103, 16104, 16105, 16106, 16107 ] + }, + "AXI_09_WLAST": { + "direction": "input", + "bits": [ 16108 ] + }, + "AXI_09_WSTRB": { + "direction": "input", + "bits": [ 16109, 16110, 16111, 16112, 16113, 16114, 16115, 16116, 16117, 16118, 16119, 16120, 16121, 16122, 16123, 16124, 16125, 16126, 16127, 16128, 16129, 16130, 16131, 16132, 16133, 16134, 16135, 16136, 16137, 16138, 16139, 16140 ] + }, + "AXI_09_WVALID": { + "direction": "input", + "bits": [ 16141 ] + }, + "AXI_10_ACLK": { + "direction": "input", + "bits": [ 16142 ] + }, + "AXI_10_ARADDR": { + "direction": "input", + "bits": [ 16143, 16144, 16145, 16146, 16147, 16148, 16149, 16150, 16151, 16152, 16153, 16154, 16155, 16156, 16157, 16158, 16159, 16160, 16161, 16162, 16163, 16164, 16165, 16166, 16167, 16168, 16169, 16170, 16171, 16172, 16173, 16174, 16175, 16176, 16177, 16178, 16179 ] + }, + "AXI_10_ARBURST": { + "direction": "input", + "bits": [ 16180, 16181 ] + }, + "AXI_10_ARESET_N": { + "direction": "input", + "bits": [ 16182 ] + }, + "AXI_10_ARID": { + "direction": "input", + "bits": [ 16183, 16184, 16185, 16186, 16187, 16188 ] + }, + "AXI_10_ARLEN": { + "direction": "input", + "bits": [ 16189, 16190, 16191, 16192 ] + }, + "AXI_10_ARSIZE": { + "direction": "input", + "bits": [ 16193, 16194, 16195 ] + }, + "AXI_10_ARVALID": { + "direction": "input", + "bits": [ 16196 ] + }, + "AXI_10_AWADDR": { + "direction": "input", + "bits": [ 16197, 16198, 16199, 16200, 16201, 16202, 16203, 16204, 16205, 16206, 16207, 16208, 16209, 16210, 16211, 16212, 16213, 16214, 16215, 16216, 16217, 16218, 16219, 16220, 16221, 16222, 16223, 16224, 16225, 16226, 16227, 16228, 16229, 16230, 16231, 16232, 16233 ] + }, + "AXI_10_AWBURST": { + "direction": "input", + "bits": [ 16234, 16235 ] + }, + "AXI_10_AWID": { + "direction": "input", + "bits": [ 16236, 16237, 16238, 16239, 16240, 16241 ] + }, + "AXI_10_AWLEN": { + "direction": "input", + "bits": [ 16242, 16243, 16244, 16245 ] + }, + "AXI_10_AWSIZE": { + "direction": "input", + "bits": [ 16246, 16247, 16248 ] + }, + "AXI_10_AWVALID": { + "direction": "input", + "bits": [ 16249 ] + }, + "AXI_10_BREADY": { + "direction": "input", + "bits": [ 16250 ] + }, + "AXI_10_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 16251 ] + }, + "AXI_10_RREADY": { + "direction": "input", + "bits": [ 16252 ] + }, + "AXI_10_WDATA": { + "direction": "input", + "bits": [ 16253, 16254, 16255, 16256, 16257, 16258, 16259, 16260, 16261, 16262, 16263, 16264, 16265, 16266, 16267, 16268, 16269, 16270, 16271, 16272, 16273, 16274, 16275, 16276, 16277, 16278, 16279, 16280, 16281, 16282, 16283, 16284, 16285, 16286, 16287, 16288, 16289, 16290, 16291, 16292, 16293, 16294, 16295, 16296, 16297, 16298, 16299, 16300, 16301, 16302, 16303, 16304, 16305, 16306, 16307, 16308, 16309, 16310, 16311, 16312, 16313, 16314, 16315, 16316, 16317, 16318, 16319, 16320, 16321, 16322, 16323, 16324, 16325, 16326, 16327, 16328, 16329, 16330, 16331, 16332, 16333, 16334, 16335, 16336, 16337, 16338, 16339, 16340, 16341, 16342, 16343, 16344, 16345, 16346, 16347, 16348, 16349, 16350, 16351, 16352, 16353, 16354, 16355, 16356, 16357, 16358, 16359, 16360, 16361, 16362, 16363, 16364, 16365, 16366, 16367, 16368, 16369, 16370, 16371, 16372, 16373, 16374, 16375, 16376, 16377, 16378, 16379, 16380, 16381, 16382, 16383, 16384, 16385, 16386, 16387, 16388, 16389, 16390, 16391, 16392, 16393, 16394, 16395, 16396, 16397, 16398, 16399, 16400, 16401, 16402, 16403, 16404, 16405, 16406, 16407, 16408, 16409, 16410, 16411, 16412, 16413, 16414, 16415, 16416, 16417, 16418, 16419, 16420, 16421, 16422, 16423, 16424, 16425, 16426, 16427, 16428, 16429, 16430, 16431, 16432, 16433, 16434, 16435, 16436, 16437, 16438, 16439, 16440, 16441, 16442, 16443, 16444, 16445, 16446, 16447, 16448, 16449, 16450, 16451, 16452, 16453, 16454, 16455, 16456, 16457, 16458, 16459, 16460, 16461, 16462, 16463, 16464, 16465, 16466, 16467, 16468, 16469, 16470, 16471, 16472, 16473, 16474, 16475, 16476, 16477, 16478, 16479, 16480, 16481, 16482, 16483, 16484, 16485, 16486, 16487, 16488, 16489, 16490, 16491, 16492, 16493, 16494, 16495, 16496, 16497, 16498, 16499, 16500, 16501, 16502, 16503, 16504, 16505, 16506, 16507, 16508 ] + }, + "AXI_10_WDATA_PARITY": { + "direction": "input", + "bits": [ 16509, 16510, 16511, 16512, 16513, 16514, 16515, 16516, 16517, 16518, 16519, 16520, 16521, 16522, 16523, 16524, 16525, 16526, 16527, 16528, 16529, 16530, 16531, 16532, 16533, 16534, 16535, 16536, 16537, 16538, 16539, 16540 ] + }, + "AXI_10_WLAST": { + "direction": "input", + "bits": [ 16541 ] + }, + "AXI_10_WSTRB": { + "direction": "input", + "bits": [ 16542, 16543, 16544, 16545, 16546, 16547, 16548, 16549, 16550, 16551, 16552, 16553, 16554, 16555, 16556, 16557, 16558, 16559, 16560, 16561, 16562, 16563, 16564, 16565, 16566, 16567, 16568, 16569, 16570, 16571, 16572, 16573 ] + }, + "AXI_10_WVALID": { + "direction": "input", + "bits": [ 16574 ] + }, + "AXI_11_ACLK": { + "direction": "input", + "bits": [ 16575 ] + }, + "AXI_11_ARADDR": { + "direction": "input", + "bits": [ 16576, 16577, 16578, 16579, 16580, 16581, 16582, 16583, 16584, 16585, 16586, 16587, 16588, 16589, 16590, 16591, 16592, 16593, 16594, 16595, 16596, 16597, 16598, 16599, 16600, 16601, 16602, 16603, 16604, 16605, 16606, 16607, 16608, 16609, 16610, 16611, 16612 ] + }, + "AXI_11_ARBURST": { + "direction": "input", + "bits": [ 16613, 16614 ] + }, + "AXI_11_ARESET_N": { + "direction": "input", + "bits": [ 16615 ] + }, + "AXI_11_ARID": { + "direction": "input", + "bits": [ 16616, 16617, 16618, 16619, 16620, 16621 ] + }, + "AXI_11_ARLEN": { + "direction": "input", + "bits": [ 16622, 16623, 16624, 16625 ] + }, + "AXI_11_ARSIZE": { + "direction": "input", + "bits": [ 16626, 16627, 16628 ] + }, + "AXI_11_ARVALID": { + "direction": "input", + "bits": [ 16629 ] + }, + "AXI_11_AWADDR": { + "direction": "input", + "bits": [ 16630, 16631, 16632, 16633, 16634, 16635, 16636, 16637, 16638, 16639, 16640, 16641, 16642, 16643, 16644, 16645, 16646, 16647, 16648, 16649, 16650, 16651, 16652, 16653, 16654, 16655, 16656, 16657, 16658, 16659, 16660, 16661, 16662, 16663, 16664, 16665, 16666 ] + }, + "AXI_11_AWBURST": { + "direction": "input", + "bits": [ 16667, 16668 ] + }, + "AXI_11_AWID": { + "direction": "input", + "bits": [ 16669, 16670, 16671, 16672, 16673, 16674 ] + }, + "AXI_11_AWLEN": { + "direction": "input", + "bits": [ 16675, 16676, 16677, 16678 ] + }, + "AXI_11_AWSIZE": { + "direction": "input", + "bits": [ 16679, 16680, 16681 ] + }, + "AXI_11_AWVALID": { + "direction": "input", + "bits": [ 16682 ] + }, + "AXI_11_BREADY": { + "direction": "input", + "bits": [ 16683 ] + }, + "AXI_11_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 16684 ] + }, + "AXI_11_RREADY": { + "direction": "input", + "bits": [ 16685 ] + }, + "AXI_11_WDATA": { + "direction": "input", + "bits": [ 16686, 16687, 16688, 16689, 16690, 16691, 16692, 16693, 16694, 16695, 16696, 16697, 16698, 16699, 16700, 16701, 16702, 16703, 16704, 16705, 16706, 16707, 16708, 16709, 16710, 16711, 16712, 16713, 16714, 16715, 16716, 16717, 16718, 16719, 16720, 16721, 16722, 16723, 16724, 16725, 16726, 16727, 16728, 16729, 16730, 16731, 16732, 16733, 16734, 16735, 16736, 16737, 16738, 16739, 16740, 16741, 16742, 16743, 16744, 16745, 16746, 16747, 16748, 16749, 16750, 16751, 16752, 16753, 16754, 16755, 16756, 16757, 16758, 16759, 16760, 16761, 16762, 16763, 16764, 16765, 16766, 16767, 16768, 16769, 16770, 16771, 16772, 16773, 16774, 16775, 16776, 16777, 16778, 16779, 16780, 16781, 16782, 16783, 16784, 16785, 16786, 16787, 16788, 16789, 16790, 16791, 16792, 16793, 16794, 16795, 16796, 16797, 16798, 16799, 16800, 16801, 16802, 16803, 16804, 16805, 16806, 16807, 16808, 16809, 16810, 16811, 16812, 16813, 16814, 16815, 16816, 16817, 16818, 16819, 16820, 16821, 16822, 16823, 16824, 16825, 16826, 16827, 16828, 16829, 16830, 16831, 16832, 16833, 16834, 16835, 16836, 16837, 16838, 16839, 16840, 16841, 16842, 16843, 16844, 16845, 16846, 16847, 16848, 16849, 16850, 16851, 16852, 16853, 16854, 16855, 16856, 16857, 16858, 16859, 16860, 16861, 16862, 16863, 16864, 16865, 16866, 16867, 16868, 16869, 16870, 16871, 16872, 16873, 16874, 16875, 16876, 16877, 16878, 16879, 16880, 16881, 16882, 16883, 16884, 16885, 16886, 16887, 16888, 16889, 16890, 16891, 16892, 16893, 16894, 16895, 16896, 16897, 16898, 16899, 16900, 16901, 16902, 16903, 16904, 16905, 16906, 16907, 16908, 16909, 16910, 16911, 16912, 16913, 16914, 16915, 16916, 16917, 16918, 16919, 16920, 16921, 16922, 16923, 16924, 16925, 16926, 16927, 16928, 16929, 16930, 16931, 16932, 16933, 16934, 16935, 16936, 16937, 16938, 16939, 16940, 16941 ] + }, + "AXI_11_WDATA_PARITY": { + "direction": "input", + "bits": [ 16942, 16943, 16944, 16945, 16946, 16947, 16948, 16949, 16950, 16951, 16952, 16953, 16954, 16955, 16956, 16957, 16958, 16959, 16960, 16961, 16962, 16963, 16964, 16965, 16966, 16967, 16968, 16969, 16970, 16971, 16972, 16973 ] + }, + "AXI_11_WLAST": { + "direction": "input", + "bits": [ 16974 ] + }, + "AXI_11_WSTRB": { + "direction": "input", + "bits": [ 16975, 16976, 16977, 16978, 16979, 16980, 16981, 16982, 16983, 16984, 16985, 16986, 16987, 16988, 16989, 16990, 16991, 16992, 16993, 16994, 16995, 16996, 16997, 16998, 16999, 17000, 17001, 17002, 17003, 17004, 17005, 17006 ] + }, + "AXI_11_WVALID": { + "direction": "input", + "bits": [ 17007 ] + }, + "AXI_12_ACLK": { + "direction": "input", + "bits": [ 17008 ] + }, + "AXI_12_ARADDR": { + "direction": "input", + "bits": [ 17009, 17010, 17011, 17012, 17013, 17014, 17015, 17016, 17017, 17018, 17019, 17020, 17021, 17022, 17023, 17024, 17025, 17026, 17027, 17028, 17029, 17030, 17031, 17032, 17033, 17034, 17035, 17036, 17037, 17038, 17039, 17040, 17041, 17042, 17043, 17044, 17045 ] + }, + "AXI_12_ARBURST": { + "direction": "input", + "bits": [ 17046, 17047 ] + }, + "AXI_12_ARESET_N": { + "direction": "input", + "bits": [ 17048 ] + }, + "AXI_12_ARID": { + "direction": "input", + "bits": [ 17049, 17050, 17051, 17052, 17053, 17054 ] + }, + "AXI_12_ARLEN": { + "direction": "input", + "bits": [ 17055, 17056, 17057, 17058 ] + }, + "AXI_12_ARSIZE": { + "direction": "input", + "bits": [ 17059, 17060, 17061 ] + }, + "AXI_12_ARVALID": { + "direction": "input", + "bits": [ 17062 ] + }, + "AXI_12_AWADDR": { + "direction": "input", + "bits": [ 17063, 17064, 17065, 17066, 17067, 17068, 17069, 17070, 17071, 17072, 17073, 17074, 17075, 17076, 17077, 17078, 17079, 17080, 17081, 17082, 17083, 17084, 17085, 17086, 17087, 17088, 17089, 17090, 17091, 17092, 17093, 17094, 17095, 17096, 17097, 17098, 17099 ] + }, + "AXI_12_AWBURST": { + "direction": "input", + "bits": [ 17100, 17101 ] + }, + "AXI_12_AWID": { + "direction": "input", + "bits": [ 17102, 17103, 17104, 17105, 17106, 17107 ] + }, + "AXI_12_AWLEN": { + "direction": "input", + "bits": [ 17108, 17109, 17110, 17111 ] + }, + "AXI_12_AWSIZE": { + "direction": "input", + "bits": [ 17112, 17113, 17114 ] + }, + "AXI_12_AWVALID": { + "direction": "input", + "bits": [ 17115 ] + }, + "AXI_12_BREADY": { + "direction": "input", + "bits": [ 17116 ] + }, + "AXI_12_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 17117 ] + }, + "AXI_12_RREADY": { + "direction": "input", + "bits": [ 17118 ] + }, + "AXI_12_WDATA": { + "direction": "input", + "bits": [ 17119, 17120, 17121, 17122, 17123, 17124, 17125, 17126, 17127, 17128, 17129, 17130, 17131, 17132, 17133, 17134, 17135, 17136, 17137, 17138, 17139, 17140, 17141, 17142, 17143, 17144, 17145, 17146, 17147, 17148, 17149, 17150, 17151, 17152, 17153, 17154, 17155, 17156, 17157, 17158, 17159, 17160, 17161, 17162, 17163, 17164, 17165, 17166, 17167, 17168, 17169, 17170, 17171, 17172, 17173, 17174, 17175, 17176, 17177, 17178, 17179, 17180, 17181, 17182, 17183, 17184, 17185, 17186, 17187, 17188, 17189, 17190, 17191, 17192, 17193, 17194, 17195, 17196, 17197, 17198, 17199, 17200, 17201, 17202, 17203, 17204, 17205, 17206, 17207, 17208, 17209, 17210, 17211, 17212, 17213, 17214, 17215, 17216, 17217, 17218, 17219, 17220, 17221, 17222, 17223, 17224, 17225, 17226, 17227, 17228, 17229, 17230, 17231, 17232, 17233, 17234, 17235, 17236, 17237, 17238, 17239, 17240, 17241, 17242, 17243, 17244, 17245, 17246, 17247, 17248, 17249, 17250, 17251, 17252, 17253, 17254, 17255, 17256, 17257, 17258, 17259, 17260, 17261, 17262, 17263, 17264, 17265, 17266, 17267, 17268, 17269, 17270, 17271, 17272, 17273, 17274, 17275, 17276, 17277, 17278, 17279, 17280, 17281, 17282, 17283, 17284, 17285, 17286, 17287, 17288, 17289, 17290, 17291, 17292, 17293, 17294, 17295, 17296, 17297, 17298, 17299, 17300, 17301, 17302, 17303, 17304, 17305, 17306, 17307, 17308, 17309, 17310, 17311, 17312, 17313, 17314, 17315, 17316, 17317, 17318, 17319, 17320, 17321, 17322, 17323, 17324, 17325, 17326, 17327, 17328, 17329, 17330, 17331, 17332, 17333, 17334, 17335, 17336, 17337, 17338, 17339, 17340, 17341, 17342, 17343, 17344, 17345, 17346, 17347, 17348, 17349, 17350, 17351, 17352, 17353, 17354, 17355, 17356, 17357, 17358, 17359, 17360, 17361, 17362, 17363, 17364, 17365, 17366, 17367, 17368, 17369, 17370, 17371, 17372, 17373, 17374 ] + }, + "AXI_12_WDATA_PARITY": { + "direction": "input", + "bits": [ 17375, 17376, 17377, 17378, 17379, 17380, 17381, 17382, 17383, 17384, 17385, 17386, 17387, 17388, 17389, 17390, 17391, 17392, 17393, 17394, 17395, 17396, 17397, 17398, 17399, 17400, 17401, 17402, 17403, 17404, 17405, 17406 ] + }, + "AXI_12_WLAST": { + "direction": "input", + "bits": [ 17407 ] + }, + "AXI_12_WSTRB": { + "direction": "input", + "bits": [ 17408, 17409, 17410, 17411, 17412, 17413, 17414, 17415, 17416, 17417, 17418, 17419, 17420, 17421, 17422, 17423, 17424, 17425, 17426, 17427, 17428, 17429, 17430, 17431, 17432, 17433, 17434, 17435, 17436, 17437, 17438, 17439 ] + }, + "AXI_12_WVALID": { + "direction": "input", + "bits": [ 17440 ] + }, + "AXI_13_ACLK": { + "direction": "input", + "bits": [ 17441 ] + }, + "AXI_13_ARADDR": { + "direction": "input", + "bits": [ 17442, 17443, 17444, 17445, 17446, 17447, 17448, 17449, 17450, 17451, 17452, 17453, 17454, 17455, 17456, 17457, 17458, 17459, 17460, 17461, 17462, 17463, 17464, 17465, 17466, 17467, 17468, 17469, 17470, 17471, 17472, 17473, 17474, 17475, 17476, 17477, 17478 ] + }, + "AXI_13_ARBURST": { + "direction": "input", + "bits": [ 17479, 17480 ] + }, + "AXI_13_ARESET_N": { + "direction": "input", + "bits": [ 17481 ] + }, + "AXI_13_ARID": { + "direction": "input", + "bits": [ 17482, 17483, 17484, 17485, 17486, 17487 ] + }, + "AXI_13_ARLEN": { + "direction": "input", + "bits": [ 17488, 17489, 17490, 17491 ] + }, + "AXI_13_ARSIZE": { + "direction": "input", + "bits": [ 17492, 17493, 17494 ] + }, + "AXI_13_ARVALID": { + "direction": "input", + "bits": [ 17495 ] + }, + "AXI_13_AWADDR": { + "direction": "input", + "bits": [ 17496, 17497, 17498, 17499, 17500, 17501, 17502, 17503, 17504, 17505, 17506, 17507, 17508, 17509, 17510, 17511, 17512, 17513, 17514, 17515, 17516, 17517, 17518, 17519, 17520, 17521, 17522, 17523, 17524, 17525, 17526, 17527, 17528, 17529, 17530, 17531, 17532 ] + }, + "AXI_13_AWBURST": { + "direction": "input", + "bits": [ 17533, 17534 ] + }, + "AXI_13_AWID": { + "direction": "input", + "bits": [ 17535, 17536, 17537, 17538, 17539, 17540 ] + }, + "AXI_13_AWLEN": { + "direction": "input", + "bits": [ 17541, 17542, 17543, 17544 ] + }, + "AXI_13_AWSIZE": { + "direction": "input", + "bits": [ 17545, 17546, 17547 ] + }, + "AXI_13_AWVALID": { + "direction": "input", + "bits": [ 17548 ] + }, + "AXI_13_BREADY": { + "direction": "input", + "bits": [ 17549 ] + }, + "AXI_13_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 17550 ] + }, + "AXI_13_RREADY": { + "direction": "input", + "bits": [ 17551 ] + }, + "AXI_13_WDATA": { + "direction": "input", + "bits": [ 17552, 17553, 17554, 17555, 17556, 17557, 17558, 17559, 17560, 17561, 17562, 17563, 17564, 17565, 17566, 17567, 17568, 17569, 17570, 17571, 17572, 17573, 17574, 17575, 17576, 17577, 17578, 17579, 17580, 17581, 17582, 17583, 17584, 17585, 17586, 17587, 17588, 17589, 17590, 17591, 17592, 17593, 17594, 17595, 17596, 17597, 17598, 17599, 17600, 17601, 17602, 17603, 17604, 17605, 17606, 17607, 17608, 17609, 17610, 17611, 17612, 17613, 17614, 17615, 17616, 17617, 17618, 17619, 17620, 17621, 17622, 17623, 17624, 17625, 17626, 17627, 17628, 17629, 17630, 17631, 17632, 17633, 17634, 17635, 17636, 17637, 17638, 17639, 17640, 17641, 17642, 17643, 17644, 17645, 17646, 17647, 17648, 17649, 17650, 17651, 17652, 17653, 17654, 17655, 17656, 17657, 17658, 17659, 17660, 17661, 17662, 17663, 17664, 17665, 17666, 17667, 17668, 17669, 17670, 17671, 17672, 17673, 17674, 17675, 17676, 17677, 17678, 17679, 17680, 17681, 17682, 17683, 17684, 17685, 17686, 17687, 17688, 17689, 17690, 17691, 17692, 17693, 17694, 17695, 17696, 17697, 17698, 17699, 17700, 17701, 17702, 17703, 17704, 17705, 17706, 17707, 17708, 17709, 17710, 17711, 17712, 17713, 17714, 17715, 17716, 17717, 17718, 17719, 17720, 17721, 17722, 17723, 17724, 17725, 17726, 17727, 17728, 17729, 17730, 17731, 17732, 17733, 17734, 17735, 17736, 17737, 17738, 17739, 17740, 17741, 17742, 17743, 17744, 17745, 17746, 17747, 17748, 17749, 17750, 17751, 17752, 17753, 17754, 17755, 17756, 17757, 17758, 17759, 17760, 17761, 17762, 17763, 17764, 17765, 17766, 17767, 17768, 17769, 17770, 17771, 17772, 17773, 17774, 17775, 17776, 17777, 17778, 17779, 17780, 17781, 17782, 17783, 17784, 17785, 17786, 17787, 17788, 17789, 17790, 17791, 17792, 17793, 17794, 17795, 17796, 17797, 17798, 17799, 17800, 17801, 17802, 17803, 17804, 17805, 17806, 17807 ] + }, + "AXI_13_WDATA_PARITY": { + "direction": "input", + "bits": [ 17808, 17809, 17810, 17811, 17812, 17813, 17814, 17815, 17816, 17817, 17818, 17819, 17820, 17821, 17822, 17823, 17824, 17825, 17826, 17827, 17828, 17829, 17830, 17831, 17832, 17833, 17834, 17835, 17836, 17837, 17838, 17839 ] + }, + "AXI_13_WLAST": { + "direction": "input", + "bits": [ 17840 ] + }, + "AXI_13_WSTRB": { + "direction": "input", + "bits": [ 17841, 17842, 17843, 17844, 17845, 17846, 17847, 17848, 17849, 17850, 17851, 17852, 17853, 17854, 17855, 17856, 17857, 17858, 17859, 17860, 17861, 17862, 17863, 17864, 17865, 17866, 17867, 17868, 17869, 17870, 17871, 17872 ] + }, + "AXI_13_WVALID": { + "direction": "input", + "bits": [ 17873 ] + }, + "AXI_14_ACLK": { + "direction": "input", + "bits": [ 17874 ] + }, + "AXI_14_ARADDR": { + "direction": "input", + "bits": [ 17875, 17876, 17877, 17878, 17879, 17880, 17881, 17882, 17883, 17884, 17885, 17886, 17887, 17888, 17889, 17890, 17891, 17892, 17893, 17894, 17895, 17896, 17897, 17898, 17899, 17900, 17901, 17902, 17903, 17904, 17905, 17906, 17907, 17908, 17909, 17910, 17911 ] + }, + "AXI_14_ARBURST": { + "direction": "input", + "bits": [ 17912, 17913 ] + }, + "AXI_14_ARESET_N": { + "direction": "input", + "bits": [ 17914 ] + }, + "AXI_14_ARID": { + "direction": "input", + "bits": [ 17915, 17916, 17917, 17918, 17919, 17920 ] + }, + "AXI_14_ARLEN": { + "direction": "input", + "bits": [ 17921, 17922, 17923, 17924 ] + }, + "AXI_14_ARSIZE": { + "direction": "input", + "bits": [ 17925, 17926, 17927 ] + }, + "AXI_14_ARVALID": { + "direction": "input", + "bits": [ 17928 ] + }, + "AXI_14_AWADDR": { + "direction": "input", + "bits": [ 17929, 17930, 17931, 17932, 17933, 17934, 17935, 17936, 17937, 17938, 17939, 17940, 17941, 17942, 17943, 17944, 17945, 17946, 17947, 17948, 17949, 17950, 17951, 17952, 17953, 17954, 17955, 17956, 17957, 17958, 17959, 17960, 17961, 17962, 17963, 17964, 17965 ] + }, + "AXI_14_AWBURST": { + "direction": "input", + "bits": [ 17966, 17967 ] + }, + "AXI_14_AWID": { + "direction": "input", + "bits": [ 17968, 17969, 17970, 17971, 17972, 17973 ] + }, + "AXI_14_AWLEN": { + "direction": "input", + "bits": [ 17974, 17975, 17976, 17977 ] + }, + "AXI_14_AWSIZE": { + "direction": "input", + "bits": [ 17978, 17979, 17980 ] + }, + "AXI_14_AWVALID": { + "direction": "input", + "bits": [ 17981 ] + }, + "AXI_14_BREADY": { + "direction": "input", + "bits": [ 17982 ] + }, + "AXI_14_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 17983 ] + }, + "AXI_14_RREADY": { + "direction": "input", + "bits": [ 17984 ] + }, + "AXI_14_WDATA": { + "direction": "input", + "bits": [ 17985, 17986, 17987, 17988, 17989, 17990, 17991, 17992, 17993, 17994, 17995, 17996, 17997, 17998, 17999, 18000, 18001, 18002, 18003, 18004, 18005, 18006, 18007, 18008, 18009, 18010, 18011, 18012, 18013, 18014, 18015, 18016, 18017, 18018, 18019, 18020, 18021, 18022, 18023, 18024, 18025, 18026, 18027, 18028, 18029, 18030, 18031, 18032, 18033, 18034, 18035, 18036, 18037, 18038, 18039, 18040, 18041, 18042, 18043, 18044, 18045, 18046, 18047, 18048, 18049, 18050, 18051, 18052, 18053, 18054, 18055, 18056, 18057, 18058, 18059, 18060, 18061, 18062, 18063, 18064, 18065, 18066, 18067, 18068, 18069, 18070, 18071, 18072, 18073, 18074, 18075, 18076, 18077, 18078, 18079, 18080, 18081, 18082, 18083, 18084, 18085, 18086, 18087, 18088, 18089, 18090, 18091, 18092, 18093, 18094, 18095, 18096, 18097, 18098, 18099, 18100, 18101, 18102, 18103, 18104, 18105, 18106, 18107, 18108, 18109, 18110, 18111, 18112, 18113, 18114, 18115, 18116, 18117, 18118, 18119, 18120, 18121, 18122, 18123, 18124, 18125, 18126, 18127, 18128, 18129, 18130, 18131, 18132, 18133, 18134, 18135, 18136, 18137, 18138, 18139, 18140, 18141, 18142, 18143, 18144, 18145, 18146, 18147, 18148, 18149, 18150, 18151, 18152, 18153, 18154, 18155, 18156, 18157, 18158, 18159, 18160, 18161, 18162, 18163, 18164, 18165, 18166, 18167, 18168, 18169, 18170, 18171, 18172, 18173, 18174, 18175, 18176, 18177, 18178, 18179, 18180, 18181, 18182, 18183, 18184, 18185, 18186, 18187, 18188, 18189, 18190, 18191, 18192, 18193, 18194, 18195, 18196, 18197, 18198, 18199, 18200, 18201, 18202, 18203, 18204, 18205, 18206, 18207, 18208, 18209, 18210, 18211, 18212, 18213, 18214, 18215, 18216, 18217, 18218, 18219, 18220, 18221, 18222, 18223, 18224, 18225, 18226, 18227, 18228, 18229, 18230, 18231, 18232, 18233, 18234, 18235, 18236, 18237, 18238, 18239, 18240 ] + }, + "AXI_14_WDATA_PARITY": { + "direction": "input", + "bits": [ 18241, 18242, 18243, 18244, 18245, 18246, 18247, 18248, 18249, 18250, 18251, 18252, 18253, 18254, 18255, 18256, 18257, 18258, 18259, 18260, 18261, 18262, 18263, 18264, 18265, 18266, 18267, 18268, 18269, 18270, 18271, 18272 ] + }, + "AXI_14_WLAST": { + "direction": "input", + "bits": [ 18273 ] + }, + "AXI_14_WSTRB": { + "direction": "input", + "bits": [ 18274, 18275, 18276, 18277, 18278, 18279, 18280, 18281, 18282, 18283, 18284, 18285, 18286, 18287, 18288, 18289, 18290, 18291, 18292, 18293, 18294, 18295, 18296, 18297, 18298, 18299, 18300, 18301, 18302, 18303, 18304, 18305 ] + }, + "AXI_14_WVALID": { + "direction": "input", + "bits": [ 18306 ] + }, + "AXI_15_ACLK": { + "direction": "input", + "bits": [ 18307 ] + }, + "AXI_15_ARADDR": { + "direction": "input", + "bits": [ 18308, 18309, 18310, 18311, 18312, 18313, 18314, 18315, 18316, 18317, 18318, 18319, 18320, 18321, 18322, 18323, 18324, 18325, 18326, 18327, 18328, 18329, 18330, 18331, 18332, 18333, 18334, 18335, 18336, 18337, 18338, 18339, 18340, 18341, 18342, 18343, 18344 ] + }, + "AXI_15_ARBURST": { + "direction": "input", + "bits": [ 18345, 18346 ] + }, + "AXI_15_ARESET_N": { + "direction": "input", + "bits": [ 18347 ] + }, + "AXI_15_ARID": { + "direction": "input", + "bits": [ 18348, 18349, 18350, 18351, 18352, 18353 ] + }, + "AXI_15_ARLEN": { + "direction": "input", + "bits": [ 18354, 18355, 18356, 18357 ] + }, + "AXI_15_ARSIZE": { + "direction": "input", + "bits": [ 18358, 18359, 18360 ] + }, + "AXI_15_ARVALID": { + "direction": "input", + "bits": [ 18361 ] + }, + "AXI_15_AWADDR": { + "direction": "input", + "bits": [ 18362, 18363, 18364, 18365, 18366, 18367, 18368, 18369, 18370, 18371, 18372, 18373, 18374, 18375, 18376, 18377, 18378, 18379, 18380, 18381, 18382, 18383, 18384, 18385, 18386, 18387, 18388, 18389, 18390, 18391, 18392, 18393, 18394, 18395, 18396, 18397, 18398 ] + }, + "AXI_15_AWBURST": { + "direction": "input", + "bits": [ 18399, 18400 ] + }, + "AXI_15_AWID": { + "direction": "input", + "bits": [ 18401, 18402, 18403, 18404, 18405, 18406 ] + }, + "AXI_15_AWLEN": { + "direction": "input", + "bits": [ 18407, 18408, 18409, 18410 ] + }, + "AXI_15_AWSIZE": { + "direction": "input", + "bits": [ 18411, 18412, 18413 ] + }, + "AXI_15_AWVALID": { + "direction": "input", + "bits": [ 18414 ] + }, + "AXI_15_BREADY": { + "direction": "input", + "bits": [ 18415 ] + }, + "AXI_15_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 18416 ] + }, + "AXI_15_RREADY": { + "direction": "input", + "bits": [ 18417 ] + }, + "AXI_15_WDATA": { + "direction": "input", + "bits": [ 18418, 18419, 18420, 18421, 18422, 18423, 18424, 18425, 18426, 18427, 18428, 18429, 18430, 18431, 18432, 18433, 18434, 18435, 18436, 18437, 18438, 18439, 18440, 18441, 18442, 18443, 18444, 18445, 18446, 18447, 18448, 18449, 18450, 18451, 18452, 18453, 18454, 18455, 18456, 18457, 18458, 18459, 18460, 18461, 18462, 18463, 18464, 18465, 18466, 18467, 18468, 18469, 18470, 18471, 18472, 18473, 18474, 18475, 18476, 18477, 18478, 18479, 18480, 18481, 18482, 18483, 18484, 18485, 18486, 18487, 18488, 18489, 18490, 18491, 18492, 18493, 18494, 18495, 18496, 18497, 18498, 18499, 18500, 18501, 18502, 18503, 18504, 18505, 18506, 18507, 18508, 18509, 18510, 18511, 18512, 18513, 18514, 18515, 18516, 18517, 18518, 18519, 18520, 18521, 18522, 18523, 18524, 18525, 18526, 18527, 18528, 18529, 18530, 18531, 18532, 18533, 18534, 18535, 18536, 18537, 18538, 18539, 18540, 18541, 18542, 18543, 18544, 18545, 18546, 18547, 18548, 18549, 18550, 18551, 18552, 18553, 18554, 18555, 18556, 18557, 18558, 18559, 18560, 18561, 18562, 18563, 18564, 18565, 18566, 18567, 18568, 18569, 18570, 18571, 18572, 18573, 18574, 18575, 18576, 18577, 18578, 18579, 18580, 18581, 18582, 18583, 18584, 18585, 18586, 18587, 18588, 18589, 18590, 18591, 18592, 18593, 18594, 18595, 18596, 18597, 18598, 18599, 18600, 18601, 18602, 18603, 18604, 18605, 18606, 18607, 18608, 18609, 18610, 18611, 18612, 18613, 18614, 18615, 18616, 18617, 18618, 18619, 18620, 18621, 18622, 18623, 18624, 18625, 18626, 18627, 18628, 18629, 18630, 18631, 18632, 18633, 18634, 18635, 18636, 18637, 18638, 18639, 18640, 18641, 18642, 18643, 18644, 18645, 18646, 18647, 18648, 18649, 18650, 18651, 18652, 18653, 18654, 18655, 18656, 18657, 18658, 18659, 18660, 18661, 18662, 18663, 18664, 18665, 18666, 18667, 18668, 18669, 18670, 18671, 18672, 18673 ] + }, + "AXI_15_WDATA_PARITY": { + "direction": "input", + "bits": [ 18674, 18675, 18676, 18677, 18678, 18679, 18680, 18681, 18682, 18683, 18684, 18685, 18686, 18687, 18688, 18689, 18690, 18691, 18692, 18693, 18694, 18695, 18696, 18697, 18698, 18699, 18700, 18701, 18702, 18703, 18704, 18705 ] + }, + "AXI_15_WLAST": { + "direction": "input", + "bits": [ 18706 ] + }, + "AXI_15_WSTRB": { + "direction": "input", + "bits": [ 18707, 18708, 18709, 18710, 18711, 18712, 18713, 18714, 18715, 18716, 18717, 18718, 18719, 18720, 18721, 18722, 18723, 18724, 18725, 18726, 18727, 18728, 18729, 18730, 18731, 18732, 18733, 18734, 18735, 18736, 18737, 18738 ] + }, + "AXI_15_WVALID": { + "direction": "input", + "bits": [ 18739 ] + }, + "AXI_16_ACLK": { + "direction": "input", + "bits": [ 18740 ] + }, + "AXI_16_ARADDR": { + "direction": "input", + "bits": [ 18741, 18742, 18743, 18744, 18745, 18746, 18747, 18748, 18749, 18750, 18751, 18752, 18753, 18754, 18755, 18756, 18757, 18758, 18759, 18760, 18761, 18762, 18763, 18764, 18765, 18766, 18767, 18768, 18769, 18770, 18771, 18772, 18773, 18774, 18775, 18776, 18777 ] + }, + "AXI_16_ARBURST": { + "direction": "input", + "bits": [ 18778, 18779 ] + }, + "AXI_16_ARESET_N": { + "direction": "input", + "bits": [ 18780 ] + }, + "AXI_16_ARID": { + "direction": "input", + "bits": [ 18781, 18782, 18783, 18784, 18785, 18786 ] + }, + "AXI_16_ARLEN": { + "direction": "input", + "bits": [ 18787, 18788, 18789, 18790 ] + }, + "AXI_16_ARSIZE": { + "direction": "input", + "bits": [ 18791, 18792, 18793 ] + }, + "AXI_16_ARVALID": { + "direction": "input", + "bits": [ 18794 ] + }, + "AXI_16_AWADDR": { + "direction": "input", + "bits": [ 18795, 18796, 18797, 18798, 18799, 18800, 18801, 18802, 18803, 18804, 18805, 18806, 18807, 18808, 18809, 18810, 18811, 18812, 18813, 18814, 18815, 18816, 18817, 18818, 18819, 18820, 18821, 18822, 18823, 18824, 18825, 18826, 18827, 18828, 18829, 18830, 18831 ] + }, + "AXI_16_AWBURST": { + "direction": "input", + "bits": [ 18832, 18833 ] + }, + "AXI_16_AWID": { + "direction": "input", + "bits": [ 18834, 18835, 18836, 18837, 18838, 18839 ] + }, + "AXI_16_AWLEN": { + "direction": "input", + "bits": [ 18840, 18841, 18842, 18843 ] + }, + "AXI_16_AWSIZE": { + "direction": "input", + "bits": [ 18844, 18845, 18846 ] + }, + "AXI_16_AWVALID": { + "direction": "input", + "bits": [ 18847 ] + }, + "AXI_16_BREADY": { + "direction": "input", + "bits": [ 18848 ] + }, + "AXI_16_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 18849 ] + }, + "AXI_16_RREADY": { + "direction": "input", + "bits": [ 18850 ] + }, + "AXI_16_WDATA": { + "direction": "input", + "bits": [ 18851, 18852, 18853, 18854, 18855, 18856, 18857, 18858, 18859, 18860, 18861, 18862, 18863, 18864, 18865, 18866, 18867, 18868, 18869, 18870, 18871, 18872, 18873, 18874, 18875, 18876, 18877, 18878, 18879, 18880, 18881, 18882, 18883, 18884, 18885, 18886, 18887, 18888, 18889, 18890, 18891, 18892, 18893, 18894, 18895, 18896, 18897, 18898, 18899, 18900, 18901, 18902, 18903, 18904, 18905, 18906, 18907, 18908, 18909, 18910, 18911, 18912, 18913, 18914, 18915, 18916, 18917, 18918, 18919, 18920, 18921, 18922, 18923, 18924, 18925, 18926, 18927, 18928, 18929, 18930, 18931, 18932, 18933, 18934, 18935, 18936, 18937, 18938, 18939, 18940, 18941, 18942, 18943, 18944, 18945, 18946, 18947, 18948, 18949, 18950, 18951, 18952, 18953, 18954, 18955, 18956, 18957, 18958, 18959, 18960, 18961, 18962, 18963, 18964, 18965, 18966, 18967, 18968, 18969, 18970, 18971, 18972, 18973, 18974, 18975, 18976, 18977, 18978, 18979, 18980, 18981, 18982, 18983, 18984, 18985, 18986, 18987, 18988, 18989, 18990, 18991, 18992, 18993, 18994, 18995, 18996, 18997, 18998, 18999, 19000, 19001, 19002, 19003, 19004, 19005, 19006, 19007, 19008, 19009, 19010, 19011, 19012, 19013, 19014, 19015, 19016, 19017, 19018, 19019, 19020, 19021, 19022, 19023, 19024, 19025, 19026, 19027, 19028, 19029, 19030, 19031, 19032, 19033, 19034, 19035, 19036, 19037, 19038, 19039, 19040, 19041, 19042, 19043, 19044, 19045, 19046, 19047, 19048, 19049, 19050, 19051, 19052, 19053, 19054, 19055, 19056, 19057, 19058, 19059, 19060, 19061, 19062, 19063, 19064, 19065, 19066, 19067, 19068, 19069, 19070, 19071, 19072, 19073, 19074, 19075, 19076, 19077, 19078, 19079, 19080, 19081, 19082, 19083, 19084, 19085, 19086, 19087, 19088, 19089, 19090, 19091, 19092, 19093, 19094, 19095, 19096, 19097, 19098, 19099, 19100, 19101, 19102, 19103, 19104, 19105, 19106 ] + }, + "AXI_16_WDATA_PARITY": { + "direction": "input", + "bits": [ 19107, 19108, 19109, 19110, 19111, 19112, 19113, 19114, 19115, 19116, 19117, 19118, 19119, 19120, 19121, 19122, 19123, 19124, 19125, 19126, 19127, 19128, 19129, 19130, 19131, 19132, 19133, 19134, 19135, 19136, 19137, 19138 ] + }, + "AXI_16_WLAST": { + "direction": "input", + "bits": [ 19139 ] + }, + "AXI_16_WSTRB": { + "direction": "input", + "bits": [ 19140, 19141, 19142, 19143, 19144, 19145, 19146, 19147, 19148, 19149, 19150, 19151, 19152, 19153, 19154, 19155, 19156, 19157, 19158, 19159, 19160, 19161, 19162, 19163, 19164, 19165, 19166, 19167, 19168, 19169, 19170, 19171 ] + }, + "AXI_16_WVALID": { + "direction": "input", + "bits": [ 19172 ] + }, + "AXI_17_ACLK": { + "direction": "input", + "bits": [ 19173 ] + }, + "AXI_17_ARADDR": { + "direction": "input", + "bits": [ 19174, 19175, 19176, 19177, 19178, 19179, 19180, 19181, 19182, 19183, 19184, 19185, 19186, 19187, 19188, 19189, 19190, 19191, 19192, 19193, 19194, 19195, 19196, 19197, 19198, 19199, 19200, 19201, 19202, 19203, 19204, 19205, 19206, 19207, 19208, 19209, 19210 ] + }, + "AXI_17_ARBURST": { + "direction": "input", + "bits": [ 19211, 19212 ] + }, + "AXI_17_ARESET_N": { + "direction": "input", + "bits": [ 19213 ] + }, + "AXI_17_ARID": { + "direction": "input", + "bits": [ 19214, 19215, 19216, 19217, 19218, 19219 ] + }, + "AXI_17_ARLEN": { + "direction": "input", + "bits": [ 19220, 19221, 19222, 19223 ] + }, + "AXI_17_ARSIZE": { + "direction": "input", + "bits": [ 19224, 19225, 19226 ] + }, + "AXI_17_ARVALID": { + "direction": "input", + "bits": [ 19227 ] + }, + "AXI_17_AWADDR": { + "direction": "input", + "bits": [ 19228, 19229, 19230, 19231, 19232, 19233, 19234, 19235, 19236, 19237, 19238, 19239, 19240, 19241, 19242, 19243, 19244, 19245, 19246, 19247, 19248, 19249, 19250, 19251, 19252, 19253, 19254, 19255, 19256, 19257, 19258, 19259, 19260, 19261, 19262, 19263, 19264 ] + }, + "AXI_17_AWBURST": { + "direction": "input", + "bits": [ 19265, 19266 ] + }, + "AXI_17_AWID": { + "direction": "input", + "bits": [ 19267, 19268, 19269, 19270, 19271, 19272 ] + }, + "AXI_17_AWLEN": { + "direction": "input", + "bits": [ 19273, 19274, 19275, 19276 ] + }, + "AXI_17_AWSIZE": { + "direction": "input", + "bits": [ 19277, 19278, 19279 ] + }, + "AXI_17_AWVALID": { + "direction": "input", + "bits": [ 19280 ] + }, + "AXI_17_BREADY": { + "direction": "input", + "bits": [ 19281 ] + }, + "AXI_17_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 19282 ] + }, + "AXI_17_RREADY": { + "direction": "input", + "bits": [ 19283 ] + }, + "AXI_17_WDATA": { + "direction": "input", + "bits": [ 19284, 19285, 19286, 19287, 19288, 19289, 19290, 19291, 19292, 19293, 19294, 19295, 19296, 19297, 19298, 19299, 19300, 19301, 19302, 19303, 19304, 19305, 19306, 19307, 19308, 19309, 19310, 19311, 19312, 19313, 19314, 19315, 19316, 19317, 19318, 19319, 19320, 19321, 19322, 19323, 19324, 19325, 19326, 19327, 19328, 19329, 19330, 19331, 19332, 19333, 19334, 19335, 19336, 19337, 19338, 19339, 19340, 19341, 19342, 19343, 19344, 19345, 19346, 19347, 19348, 19349, 19350, 19351, 19352, 19353, 19354, 19355, 19356, 19357, 19358, 19359, 19360, 19361, 19362, 19363, 19364, 19365, 19366, 19367, 19368, 19369, 19370, 19371, 19372, 19373, 19374, 19375, 19376, 19377, 19378, 19379, 19380, 19381, 19382, 19383, 19384, 19385, 19386, 19387, 19388, 19389, 19390, 19391, 19392, 19393, 19394, 19395, 19396, 19397, 19398, 19399, 19400, 19401, 19402, 19403, 19404, 19405, 19406, 19407, 19408, 19409, 19410, 19411, 19412, 19413, 19414, 19415, 19416, 19417, 19418, 19419, 19420, 19421, 19422, 19423, 19424, 19425, 19426, 19427, 19428, 19429, 19430, 19431, 19432, 19433, 19434, 19435, 19436, 19437, 19438, 19439, 19440, 19441, 19442, 19443, 19444, 19445, 19446, 19447, 19448, 19449, 19450, 19451, 19452, 19453, 19454, 19455, 19456, 19457, 19458, 19459, 19460, 19461, 19462, 19463, 19464, 19465, 19466, 19467, 19468, 19469, 19470, 19471, 19472, 19473, 19474, 19475, 19476, 19477, 19478, 19479, 19480, 19481, 19482, 19483, 19484, 19485, 19486, 19487, 19488, 19489, 19490, 19491, 19492, 19493, 19494, 19495, 19496, 19497, 19498, 19499, 19500, 19501, 19502, 19503, 19504, 19505, 19506, 19507, 19508, 19509, 19510, 19511, 19512, 19513, 19514, 19515, 19516, 19517, 19518, 19519, 19520, 19521, 19522, 19523, 19524, 19525, 19526, 19527, 19528, 19529, 19530, 19531, 19532, 19533, 19534, 19535, 19536, 19537, 19538, 19539 ] + }, + "AXI_17_WDATA_PARITY": { + "direction": "input", + "bits": [ 19540, 19541, 19542, 19543, 19544, 19545, 19546, 19547, 19548, 19549, 19550, 19551, 19552, 19553, 19554, 19555, 19556, 19557, 19558, 19559, 19560, 19561, 19562, 19563, 19564, 19565, 19566, 19567, 19568, 19569, 19570, 19571 ] + }, + "AXI_17_WLAST": { + "direction": "input", + "bits": [ 19572 ] + }, + "AXI_17_WSTRB": { + "direction": "input", + "bits": [ 19573, 19574, 19575, 19576, 19577, 19578, 19579, 19580, 19581, 19582, 19583, 19584, 19585, 19586, 19587, 19588, 19589, 19590, 19591, 19592, 19593, 19594, 19595, 19596, 19597, 19598, 19599, 19600, 19601, 19602, 19603, 19604 ] + }, + "AXI_17_WVALID": { + "direction": "input", + "bits": [ 19605 ] + }, + "AXI_18_ACLK": { + "direction": "input", + "bits": [ 19606 ] + }, + "AXI_18_ARADDR": { + "direction": "input", + "bits": [ 19607, 19608, 19609, 19610, 19611, 19612, 19613, 19614, 19615, 19616, 19617, 19618, 19619, 19620, 19621, 19622, 19623, 19624, 19625, 19626, 19627, 19628, 19629, 19630, 19631, 19632, 19633, 19634, 19635, 19636, 19637, 19638, 19639, 19640, 19641, 19642, 19643 ] + }, + "AXI_18_ARBURST": { + "direction": "input", + "bits": [ 19644, 19645 ] + }, + "AXI_18_ARESET_N": { + "direction": "input", + "bits": [ 19646 ] + }, + "AXI_18_ARID": { + "direction": "input", + "bits": [ 19647, 19648, 19649, 19650, 19651, 19652 ] + }, + "AXI_18_ARLEN": { + "direction": "input", + "bits": [ 19653, 19654, 19655, 19656 ] + }, + "AXI_18_ARSIZE": { + "direction": "input", + "bits": [ 19657, 19658, 19659 ] + }, + "AXI_18_ARVALID": { + "direction": "input", + "bits": [ 19660 ] + }, + "AXI_18_AWADDR": { + "direction": "input", + "bits": [ 19661, 19662, 19663, 19664, 19665, 19666, 19667, 19668, 19669, 19670, 19671, 19672, 19673, 19674, 19675, 19676, 19677, 19678, 19679, 19680, 19681, 19682, 19683, 19684, 19685, 19686, 19687, 19688, 19689, 19690, 19691, 19692, 19693, 19694, 19695, 19696, 19697 ] + }, + "AXI_18_AWBURST": { + "direction": "input", + "bits": [ 19698, 19699 ] + }, + "AXI_18_AWID": { + "direction": "input", + "bits": [ 19700, 19701, 19702, 19703, 19704, 19705 ] + }, + "AXI_18_AWLEN": { + "direction": "input", + "bits": [ 19706, 19707, 19708, 19709 ] + }, + "AXI_18_AWSIZE": { + "direction": "input", + "bits": [ 19710, 19711, 19712 ] + }, + "AXI_18_AWVALID": { + "direction": "input", + "bits": [ 19713 ] + }, + "AXI_18_BREADY": { + "direction": "input", + "bits": [ 19714 ] + }, + "AXI_18_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 19715 ] + }, + "AXI_18_RREADY": { + "direction": "input", + "bits": [ 19716 ] + }, + "AXI_18_WDATA": { + "direction": "input", + "bits": [ 19717, 19718, 19719, 19720, 19721, 19722, 19723, 19724, 19725, 19726, 19727, 19728, 19729, 19730, 19731, 19732, 19733, 19734, 19735, 19736, 19737, 19738, 19739, 19740, 19741, 19742, 19743, 19744, 19745, 19746, 19747, 19748, 19749, 19750, 19751, 19752, 19753, 19754, 19755, 19756, 19757, 19758, 19759, 19760, 19761, 19762, 19763, 19764, 19765, 19766, 19767, 19768, 19769, 19770, 19771, 19772, 19773, 19774, 19775, 19776, 19777, 19778, 19779, 19780, 19781, 19782, 19783, 19784, 19785, 19786, 19787, 19788, 19789, 19790, 19791, 19792, 19793, 19794, 19795, 19796, 19797, 19798, 19799, 19800, 19801, 19802, 19803, 19804, 19805, 19806, 19807, 19808, 19809, 19810, 19811, 19812, 19813, 19814, 19815, 19816, 19817, 19818, 19819, 19820, 19821, 19822, 19823, 19824, 19825, 19826, 19827, 19828, 19829, 19830, 19831, 19832, 19833, 19834, 19835, 19836, 19837, 19838, 19839, 19840, 19841, 19842, 19843, 19844, 19845, 19846, 19847, 19848, 19849, 19850, 19851, 19852, 19853, 19854, 19855, 19856, 19857, 19858, 19859, 19860, 19861, 19862, 19863, 19864, 19865, 19866, 19867, 19868, 19869, 19870, 19871, 19872, 19873, 19874, 19875, 19876, 19877, 19878, 19879, 19880, 19881, 19882, 19883, 19884, 19885, 19886, 19887, 19888, 19889, 19890, 19891, 19892, 19893, 19894, 19895, 19896, 19897, 19898, 19899, 19900, 19901, 19902, 19903, 19904, 19905, 19906, 19907, 19908, 19909, 19910, 19911, 19912, 19913, 19914, 19915, 19916, 19917, 19918, 19919, 19920, 19921, 19922, 19923, 19924, 19925, 19926, 19927, 19928, 19929, 19930, 19931, 19932, 19933, 19934, 19935, 19936, 19937, 19938, 19939, 19940, 19941, 19942, 19943, 19944, 19945, 19946, 19947, 19948, 19949, 19950, 19951, 19952, 19953, 19954, 19955, 19956, 19957, 19958, 19959, 19960, 19961, 19962, 19963, 19964, 19965, 19966, 19967, 19968, 19969, 19970, 19971, 19972 ] + }, + "AXI_18_WDATA_PARITY": { + "direction": "input", + "bits": [ 19973, 19974, 19975, 19976, 19977, 19978, 19979, 19980, 19981, 19982, 19983, 19984, 19985, 19986, 19987, 19988, 19989, 19990, 19991, 19992, 19993, 19994, 19995, 19996, 19997, 19998, 19999, 20000, 20001, 20002, 20003, 20004 ] + }, + "AXI_18_WLAST": { + "direction": "input", + "bits": [ 20005 ] + }, + "AXI_18_WSTRB": { + "direction": "input", + "bits": [ 20006, 20007, 20008, 20009, 20010, 20011, 20012, 20013, 20014, 20015, 20016, 20017, 20018, 20019, 20020, 20021, 20022, 20023, 20024, 20025, 20026, 20027, 20028, 20029, 20030, 20031, 20032, 20033, 20034, 20035, 20036, 20037 ] + }, + "AXI_18_WVALID": { + "direction": "input", + "bits": [ 20038 ] + }, + "AXI_19_ACLK": { + "direction": "input", + "bits": [ 20039 ] + }, + "AXI_19_ARADDR": { + "direction": "input", + "bits": [ 20040, 20041, 20042, 20043, 20044, 20045, 20046, 20047, 20048, 20049, 20050, 20051, 20052, 20053, 20054, 20055, 20056, 20057, 20058, 20059, 20060, 20061, 20062, 20063, 20064, 20065, 20066, 20067, 20068, 20069, 20070, 20071, 20072, 20073, 20074, 20075, 20076 ] + }, + "AXI_19_ARBURST": { + "direction": "input", + "bits": [ 20077, 20078 ] + }, + "AXI_19_ARESET_N": { + "direction": "input", + "bits": [ 20079 ] + }, + "AXI_19_ARID": { + "direction": "input", + "bits": [ 20080, 20081, 20082, 20083, 20084, 20085 ] + }, + "AXI_19_ARLEN": { + "direction": "input", + "bits": [ 20086, 20087, 20088, 20089 ] + }, + "AXI_19_ARSIZE": { + "direction": "input", + "bits": [ 20090, 20091, 20092 ] + }, + "AXI_19_ARVALID": { + "direction": "input", + "bits": [ 20093 ] + }, + "AXI_19_AWADDR": { + "direction": "input", + "bits": [ 20094, 20095, 20096, 20097, 20098, 20099, 20100, 20101, 20102, 20103, 20104, 20105, 20106, 20107, 20108, 20109, 20110, 20111, 20112, 20113, 20114, 20115, 20116, 20117, 20118, 20119, 20120, 20121, 20122, 20123, 20124, 20125, 20126, 20127, 20128, 20129, 20130 ] + }, + "AXI_19_AWBURST": { + "direction": "input", + "bits": [ 20131, 20132 ] + }, + "AXI_19_AWID": { + "direction": "input", + "bits": [ 20133, 20134, 20135, 20136, 20137, 20138 ] + }, + "AXI_19_AWLEN": { + "direction": "input", + "bits": [ 20139, 20140, 20141, 20142 ] + }, + "AXI_19_AWSIZE": { + "direction": "input", + "bits": [ 20143, 20144, 20145 ] + }, + "AXI_19_AWVALID": { + "direction": "input", + "bits": [ 20146 ] + }, + "AXI_19_BREADY": { + "direction": "input", + "bits": [ 20147 ] + }, + "AXI_19_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 20148 ] + }, + "AXI_19_RREADY": { + "direction": "input", + "bits": [ 20149 ] + }, + "AXI_19_WDATA": { + "direction": "input", + "bits": [ 20150, 20151, 20152, 20153, 20154, 20155, 20156, 20157, 20158, 20159, 20160, 20161, 20162, 20163, 20164, 20165, 20166, 20167, 20168, 20169, 20170, 20171, 20172, 20173, 20174, 20175, 20176, 20177, 20178, 20179, 20180, 20181, 20182, 20183, 20184, 20185, 20186, 20187, 20188, 20189, 20190, 20191, 20192, 20193, 20194, 20195, 20196, 20197, 20198, 20199, 20200, 20201, 20202, 20203, 20204, 20205, 20206, 20207, 20208, 20209, 20210, 20211, 20212, 20213, 20214, 20215, 20216, 20217, 20218, 20219, 20220, 20221, 20222, 20223, 20224, 20225, 20226, 20227, 20228, 20229, 20230, 20231, 20232, 20233, 20234, 20235, 20236, 20237, 20238, 20239, 20240, 20241, 20242, 20243, 20244, 20245, 20246, 20247, 20248, 20249, 20250, 20251, 20252, 20253, 20254, 20255, 20256, 20257, 20258, 20259, 20260, 20261, 20262, 20263, 20264, 20265, 20266, 20267, 20268, 20269, 20270, 20271, 20272, 20273, 20274, 20275, 20276, 20277, 20278, 20279, 20280, 20281, 20282, 20283, 20284, 20285, 20286, 20287, 20288, 20289, 20290, 20291, 20292, 20293, 20294, 20295, 20296, 20297, 20298, 20299, 20300, 20301, 20302, 20303, 20304, 20305, 20306, 20307, 20308, 20309, 20310, 20311, 20312, 20313, 20314, 20315, 20316, 20317, 20318, 20319, 20320, 20321, 20322, 20323, 20324, 20325, 20326, 20327, 20328, 20329, 20330, 20331, 20332, 20333, 20334, 20335, 20336, 20337, 20338, 20339, 20340, 20341, 20342, 20343, 20344, 20345, 20346, 20347, 20348, 20349, 20350, 20351, 20352, 20353, 20354, 20355, 20356, 20357, 20358, 20359, 20360, 20361, 20362, 20363, 20364, 20365, 20366, 20367, 20368, 20369, 20370, 20371, 20372, 20373, 20374, 20375, 20376, 20377, 20378, 20379, 20380, 20381, 20382, 20383, 20384, 20385, 20386, 20387, 20388, 20389, 20390, 20391, 20392, 20393, 20394, 20395, 20396, 20397, 20398, 20399, 20400, 20401, 20402, 20403, 20404, 20405 ] + }, + "AXI_19_WDATA_PARITY": { + "direction": "input", + "bits": [ 20406, 20407, 20408, 20409, 20410, 20411, 20412, 20413, 20414, 20415, 20416, 20417, 20418, 20419, 20420, 20421, 20422, 20423, 20424, 20425, 20426, 20427, 20428, 20429, 20430, 20431, 20432, 20433, 20434, 20435, 20436, 20437 ] + }, + "AXI_19_WLAST": { + "direction": "input", + "bits": [ 20438 ] + }, + "AXI_19_WSTRB": { + "direction": "input", + "bits": [ 20439, 20440, 20441, 20442, 20443, 20444, 20445, 20446, 20447, 20448, 20449, 20450, 20451, 20452, 20453, 20454, 20455, 20456, 20457, 20458, 20459, 20460, 20461, 20462, 20463, 20464, 20465, 20466, 20467, 20468, 20469, 20470 ] + }, + "AXI_19_WVALID": { + "direction": "input", + "bits": [ 20471 ] + }, + "AXI_20_ACLK": { + "direction": "input", + "bits": [ 20472 ] + }, + "AXI_20_ARADDR": { + "direction": "input", + "bits": [ 20473, 20474, 20475, 20476, 20477, 20478, 20479, 20480, 20481, 20482, 20483, 20484, 20485, 20486, 20487, 20488, 20489, 20490, 20491, 20492, 20493, 20494, 20495, 20496, 20497, 20498, 20499, 20500, 20501, 20502, 20503, 20504, 20505, 20506, 20507, 20508, 20509 ] + }, + "AXI_20_ARBURST": { + "direction": "input", + "bits": [ 20510, 20511 ] + }, + "AXI_20_ARESET_N": { + "direction": "input", + "bits": [ 20512 ] + }, + "AXI_20_ARID": { + "direction": "input", + "bits": [ 20513, 20514, 20515, 20516, 20517, 20518 ] + }, + "AXI_20_ARLEN": { + "direction": "input", + "bits": [ 20519, 20520, 20521, 20522 ] + }, + "AXI_20_ARSIZE": { + "direction": "input", + "bits": [ 20523, 20524, 20525 ] + }, + "AXI_20_ARVALID": { + "direction": "input", + "bits": [ 20526 ] + }, + "AXI_20_AWADDR": { + "direction": "input", + "bits": [ 20527, 20528, 20529, 20530, 20531, 20532, 20533, 20534, 20535, 20536, 20537, 20538, 20539, 20540, 20541, 20542, 20543, 20544, 20545, 20546, 20547, 20548, 20549, 20550, 20551, 20552, 20553, 20554, 20555, 20556, 20557, 20558, 20559, 20560, 20561, 20562, 20563 ] + }, + "AXI_20_AWBURST": { + "direction": "input", + "bits": [ 20564, 20565 ] + }, + "AXI_20_AWID": { + "direction": "input", + "bits": [ 20566, 20567, 20568, 20569, 20570, 20571 ] + }, + "AXI_20_AWLEN": { + "direction": "input", + "bits": [ 20572, 20573, 20574, 20575 ] + }, + "AXI_20_AWSIZE": { + "direction": "input", + "bits": [ 20576, 20577, 20578 ] + }, + "AXI_20_AWVALID": { + "direction": "input", + "bits": [ 20579 ] + }, + "AXI_20_BREADY": { + "direction": "input", + "bits": [ 20580 ] + }, + "AXI_20_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 20581 ] + }, + "AXI_20_RREADY": { + "direction": "input", + "bits": [ 20582 ] + }, + "AXI_20_WDATA": { + "direction": "input", + "bits": [ 20583, 20584, 20585, 20586, 20587, 20588, 20589, 20590, 20591, 20592, 20593, 20594, 20595, 20596, 20597, 20598, 20599, 20600, 20601, 20602, 20603, 20604, 20605, 20606, 20607, 20608, 20609, 20610, 20611, 20612, 20613, 20614, 20615, 20616, 20617, 20618, 20619, 20620, 20621, 20622, 20623, 20624, 20625, 20626, 20627, 20628, 20629, 20630, 20631, 20632, 20633, 20634, 20635, 20636, 20637, 20638, 20639, 20640, 20641, 20642, 20643, 20644, 20645, 20646, 20647, 20648, 20649, 20650, 20651, 20652, 20653, 20654, 20655, 20656, 20657, 20658, 20659, 20660, 20661, 20662, 20663, 20664, 20665, 20666, 20667, 20668, 20669, 20670, 20671, 20672, 20673, 20674, 20675, 20676, 20677, 20678, 20679, 20680, 20681, 20682, 20683, 20684, 20685, 20686, 20687, 20688, 20689, 20690, 20691, 20692, 20693, 20694, 20695, 20696, 20697, 20698, 20699, 20700, 20701, 20702, 20703, 20704, 20705, 20706, 20707, 20708, 20709, 20710, 20711, 20712, 20713, 20714, 20715, 20716, 20717, 20718, 20719, 20720, 20721, 20722, 20723, 20724, 20725, 20726, 20727, 20728, 20729, 20730, 20731, 20732, 20733, 20734, 20735, 20736, 20737, 20738, 20739, 20740, 20741, 20742, 20743, 20744, 20745, 20746, 20747, 20748, 20749, 20750, 20751, 20752, 20753, 20754, 20755, 20756, 20757, 20758, 20759, 20760, 20761, 20762, 20763, 20764, 20765, 20766, 20767, 20768, 20769, 20770, 20771, 20772, 20773, 20774, 20775, 20776, 20777, 20778, 20779, 20780, 20781, 20782, 20783, 20784, 20785, 20786, 20787, 20788, 20789, 20790, 20791, 20792, 20793, 20794, 20795, 20796, 20797, 20798, 20799, 20800, 20801, 20802, 20803, 20804, 20805, 20806, 20807, 20808, 20809, 20810, 20811, 20812, 20813, 20814, 20815, 20816, 20817, 20818, 20819, 20820, 20821, 20822, 20823, 20824, 20825, 20826, 20827, 20828, 20829, 20830, 20831, 20832, 20833, 20834, 20835, 20836, 20837, 20838 ] + }, + "AXI_20_WDATA_PARITY": { + "direction": "input", + "bits": [ 20839, 20840, 20841, 20842, 20843, 20844, 20845, 20846, 20847, 20848, 20849, 20850, 20851, 20852, 20853, 20854, 20855, 20856, 20857, 20858, 20859, 20860, 20861, 20862, 20863, 20864, 20865, 20866, 20867, 20868, 20869, 20870 ] + }, + "AXI_20_WLAST": { + "direction": "input", + "bits": [ 20871 ] + }, + "AXI_20_WSTRB": { + "direction": "input", + "bits": [ 20872, 20873, 20874, 20875, 20876, 20877, 20878, 20879, 20880, 20881, 20882, 20883, 20884, 20885, 20886, 20887, 20888, 20889, 20890, 20891, 20892, 20893, 20894, 20895, 20896, 20897, 20898, 20899, 20900, 20901, 20902, 20903 ] + }, + "AXI_20_WVALID": { + "direction": "input", + "bits": [ 20904 ] + }, + "AXI_21_ACLK": { + "direction": "input", + "bits": [ 20905 ] + }, + "AXI_21_ARADDR": { + "direction": "input", + "bits": [ 20906, 20907, 20908, 20909, 20910, 20911, 20912, 20913, 20914, 20915, 20916, 20917, 20918, 20919, 20920, 20921, 20922, 20923, 20924, 20925, 20926, 20927, 20928, 20929, 20930, 20931, 20932, 20933, 20934, 20935, 20936, 20937, 20938, 20939, 20940, 20941, 20942 ] + }, + "AXI_21_ARBURST": { + "direction": "input", + "bits": [ 20943, 20944 ] + }, + "AXI_21_ARESET_N": { + "direction": "input", + "bits": [ 20945 ] + }, + "AXI_21_ARID": { + "direction": "input", + "bits": [ 20946, 20947, 20948, 20949, 20950, 20951 ] + }, + "AXI_21_ARLEN": { + "direction": "input", + "bits": [ 20952, 20953, 20954, 20955 ] + }, + "AXI_21_ARSIZE": { + "direction": "input", + "bits": [ 20956, 20957, 20958 ] + }, + "AXI_21_ARVALID": { + "direction": "input", + "bits": [ 20959 ] + }, + "AXI_21_AWADDR": { + "direction": "input", + "bits": [ 20960, 20961, 20962, 20963, 20964, 20965, 20966, 20967, 20968, 20969, 20970, 20971, 20972, 20973, 20974, 20975, 20976, 20977, 20978, 20979, 20980, 20981, 20982, 20983, 20984, 20985, 20986, 20987, 20988, 20989, 20990, 20991, 20992, 20993, 20994, 20995, 20996 ] + }, + "AXI_21_AWBURST": { + "direction": "input", + "bits": [ 20997, 20998 ] + }, + "AXI_21_AWID": { + "direction": "input", + "bits": [ 20999, 21000, 21001, 21002, 21003, 21004 ] + }, + "AXI_21_AWLEN": { + "direction": "input", + "bits": [ 21005, 21006, 21007, 21008 ] + }, + "AXI_21_AWSIZE": { + "direction": "input", + "bits": [ 21009, 21010, 21011 ] + }, + "AXI_21_AWVALID": { + "direction": "input", + "bits": [ 21012 ] + }, + "AXI_21_BREADY": { + "direction": "input", + "bits": [ 21013 ] + }, + "AXI_21_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 21014 ] + }, + "AXI_21_RREADY": { + "direction": "input", + "bits": [ 21015 ] + }, + "AXI_21_WDATA": { + "direction": "input", + "bits": [ 21016, 21017, 21018, 21019, 21020, 21021, 21022, 21023, 21024, 21025, 21026, 21027, 21028, 21029, 21030, 21031, 21032, 21033, 21034, 21035, 21036, 21037, 21038, 21039, 21040, 21041, 21042, 21043, 21044, 21045, 21046, 21047, 21048, 21049, 21050, 21051, 21052, 21053, 21054, 21055, 21056, 21057, 21058, 21059, 21060, 21061, 21062, 21063, 21064, 21065, 21066, 21067, 21068, 21069, 21070, 21071, 21072, 21073, 21074, 21075, 21076, 21077, 21078, 21079, 21080, 21081, 21082, 21083, 21084, 21085, 21086, 21087, 21088, 21089, 21090, 21091, 21092, 21093, 21094, 21095, 21096, 21097, 21098, 21099, 21100, 21101, 21102, 21103, 21104, 21105, 21106, 21107, 21108, 21109, 21110, 21111, 21112, 21113, 21114, 21115, 21116, 21117, 21118, 21119, 21120, 21121, 21122, 21123, 21124, 21125, 21126, 21127, 21128, 21129, 21130, 21131, 21132, 21133, 21134, 21135, 21136, 21137, 21138, 21139, 21140, 21141, 21142, 21143, 21144, 21145, 21146, 21147, 21148, 21149, 21150, 21151, 21152, 21153, 21154, 21155, 21156, 21157, 21158, 21159, 21160, 21161, 21162, 21163, 21164, 21165, 21166, 21167, 21168, 21169, 21170, 21171, 21172, 21173, 21174, 21175, 21176, 21177, 21178, 21179, 21180, 21181, 21182, 21183, 21184, 21185, 21186, 21187, 21188, 21189, 21190, 21191, 21192, 21193, 21194, 21195, 21196, 21197, 21198, 21199, 21200, 21201, 21202, 21203, 21204, 21205, 21206, 21207, 21208, 21209, 21210, 21211, 21212, 21213, 21214, 21215, 21216, 21217, 21218, 21219, 21220, 21221, 21222, 21223, 21224, 21225, 21226, 21227, 21228, 21229, 21230, 21231, 21232, 21233, 21234, 21235, 21236, 21237, 21238, 21239, 21240, 21241, 21242, 21243, 21244, 21245, 21246, 21247, 21248, 21249, 21250, 21251, 21252, 21253, 21254, 21255, 21256, 21257, 21258, 21259, 21260, 21261, 21262, 21263, 21264, 21265, 21266, 21267, 21268, 21269, 21270, 21271 ] + }, + "AXI_21_WDATA_PARITY": { + "direction": "input", + "bits": [ 21272, 21273, 21274, 21275, 21276, 21277, 21278, 21279, 21280, 21281, 21282, 21283, 21284, 21285, 21286, 21287, 21288, 21289, 21290, 21291, 21292, 21293, 21294, 21295, 21296, 21297, 21298, 21299, 21300, 21301, 21302, 21303 ] + }, + "AXI_21_WLAST": { + "direction": "input", + "bits": [ 21304 ] + }, + "AXI_21_WSTRB": { + "direction": "input", + "bits": [ 21305, 21306, 21307, 21308, 21309, 21310, 21311, 21312, 21313, 21314, 21315, 21316, 21317, 21318, 21319, 21320, 21321, 21322, 21323, 21324, 21325, 21326, 21327, 21328, 21329, 21330, 21331, 21332, 21333, 21334, 21335, 21336 ] + }, + "AXI_21_WVALID": { + "direction": "input", + "bits": [ 21337 ] + }, + "AXI_22_ACLK": { + "direction": "input", + "bits": [ 21338 ] + }, + "AXI_22_ARADDR": { + "direction": "input", + "bits": [ 21339, 21340, 21341, 21342, 21343, 21344, 21345, 21346, 21347, 21348, 21349, 21350, 21351, 21352, 21353, 21354, 21355, 21356, 21357, 21358, 21359, 21360, 21361, 21362, 21363, 21364, 21365, 21366, 21367, 21368, 21369, 21370, 21371, 21372, 21373, 21374, 21375 ] + }, + "AXI_22_ARBURST": { + "direction": "input", + "bits": [ 21376, 21377 ] + }, + "AXI_22_ARESET_N": { + "direction": "input", + "bits": [ 21378 ] + }, + "AXI_22_ARID": { + "direction": "input", + "bits": [ 21379, 21380, 21381, 21382, 21383, 21384 ] + }, + "AXI_22_ARLEN": { + "direction": "input", + "bits": [ 21385, 21386, 21387, 21388 ] + }, + "AXI_22_ARSIZE": { + "direction": "input", + "bits": [ 21389, 21390, 21391 ] + }, + "AXI_22_ARVALID": { + "direction": "input", + "bits": [ 21392 ] + }, + "AXI_22_AWADDR": { + "direction": "input", + "bits": [ 21393, 21394, 21395, 21396, 21397, 21398, 21399, 21400, 21401, 21402, 21403, 21404, 21405, 21406, 21407, 21408, 21409, 21410, 21411, 21412, 21413, 21414, 21415, 21416, 21417, 21418, 21419, 21420, 21421, 21422, 21423, 21424, 21425, 21426, 21427, 21428, 21429 ] + }, + "AXI_22_AWBURST": { + "direction": "input", + "bits": [ 21430, 21431 ] + }, + "AXI_22_AWID": { + "direction": "input", + "bits": [ 21432, 21433, 21434, 21435, 21436, 21437 ] + }, + "AXI_22_AWLEN": { + "direction": "input", + "bits": [ 21438, 21439, 21440, 21441 ] + }, + "AXI_22_AWSIZE": { + "direction": "input", + "bits": [ 21442, 21443, 21444 ] + }, + "AXI_22_AWVALID": { + "direction": "input", + "bits": [ 21445 ] + }, + "AXI_22_BREADY": { + "direction": "input", + "bits": [ 21446 ] + }, + "AXI_22_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 21447 ] + }, + "AXI_22_RREADY": { + "direction": "input", + "bits": [ 21448 ] + }, + "AXI_22_WDATA": { + "direction": "input", + "bits": [ 21449, 21450, 21451, 21452, 21453, 21454, 21455, 21456, 21457, 21458, 21459, 21460, 21461, 21462, 21463, 21464, 21465, 21466, 21467, 21468, 21469, 21470, 21471, 21472, 21473, 21474, 21475, 21476, 21477, 21478, 21479, 21480, 21481, 21482, 21483, 21484, 21485, 21486, 21487, 21488, 21489, 21490, 21491, 21492, 21493, 21494, 21495, 21496, 21497, 21498, 21499, 21500, 21501, 21502, 21503, 21504, 21505, 21506, 21507, 21508, 21509, 21510, 21511, 21512, 21513, 21514, 21515, 21516, 21517, 21518, 21519, 21520, 21521, 21522, 21523, 21524, 21525, 21526, 21527, 21528, 21529, 21530, 21531, 21532, 21533, 21534, 21535, 21536, 21537, 21538, 21539, 21540, 21541, 21542, 21543, 21544, 21545, 21546, 21547, 21548, 21549, 21550, 21551, 21552, 21553, 21554, 21555, 21556, 21557, 21558, 21559, 21560, 21561, 21562, 21563, 21564, 21565, 21566, 21567, 21568, 21569, 21570, 21571, 21572, 21573, 21574, 21575, 21576, 21577, 21578, 21579, 21580, 21581, 21582, 21583, 21584, 21585, 21586, 21587, 21588, 21589, 21590, 21591, 21592, 21593, 21594, 21595, 21596, 21597, 21598, 21599, 21600, 21601, 21602, 21603, 21604, 21605, 21606, 21607, 21608, 21609, 21610, 21611, 21612, 21613, 21614, 21615, 21616, 21617, 21618, 21619, 21620, 21621, 21622, 21623, 21624, 21625, 21626, 21627, 21628, 21629, 21630, 21631, 21632, 21633, 21634, 21635, 21636, 21637, 21638, 21639, 21640, 21641, 21642, 21643, 21644, 21645, 21646, 21647, 21648, 21649, 21650, 21651, 21652, 21653, 21654, 21655, 21656, 21657, 21658, 21659, 21660, 21661, 21662, 21663, 21664, 21665, 21666, 21667, 21668, 21669, 21670, 21671, 21672, 21673, 21674, 21675, 21676, 21677, 21678, 21679, 21680, 21681, 21682, 21683, 21684, 21685, 21686, 21687, 21688, 21689, 21690, 21691, 21692, 21693, 21694, 21695, 21696, 21697, 21698, 21699, 21700, 21701, 21702, 21703, 21704 ] + }, + "AXI_22_WDATA_PARITY": { + "direction": "input", + "bits": [ 21705, 21706, 21707, 21708, 21709, 21710, 21711, 21712, 21713, 21714, 21715, 21716, 21717, 21718, 21719, 21720, 21721, 21722, 21723, 21724, 21725, 21726, 21727, 21728, 21729, 21730, 21731, 21732, 21733, 21734, 21735, 21736 ] + }, + "AXI_22_WLAST": { + "direction": "input", + "bits": [ 21737 ] + }, + "AXI_22_WSTRB": { + "direction": "input", + "bits": [ 21738, 21739, 21740, 21741, 21742, 21743, 21744, 21745, 21746, 21747, 21748, 21749, 21750, 21751, 21752, 21753, 21754, 21755, 21756, 21757, 21758, 21759, 21760, 21761, 21762, 21763, 21764, 21765, 21766, 21767, 21768, 21769 ] + }, + "AXI_22_WVALID": { + "direction": "input", + "bits": [ 21770 ] + }, + "AXI_23_ACLK": { + "direction": "input", + "bits": [ 21771 ] + }, + "AXI_23_ARADDR": { + "direction": "input", + "bits": [ 21772, 21773, 21774, 21775, 21776, 21777, 21778, 21779, 21780, 21781, 21782, 21783, 21784, 21785, 21786, 21787, 21788, 21789, 21790, 21791, 21792, 21793, 21794, 21795, 21796, 21797, 21798, 21799, 21800, 21801, 21802, 21803, 21804, 21805, 21806, 21807, 21808 ] + }, + "AXI_23_ARBURST": { + "direction": "input", + "bits": [ 21809, 21810 ] + }, + "AXI_23_ARESET_N": { + "direction": "input", + "bits": [ 21811 ] + }, + "AXI_23_ARID": { + "direction": "input", + "bits": [ 21812, 21813, 21814, 21815, 21816, 21817 ] + }, + "AXI_23_ARLEN": { + "direction": "input", + "bits": [ 21818, 21819, 21820, 21821 ] + }, + "AXI_23_ARSIZE": { + "direction": "input", + "bits": [ 21822, 21823, 21824 ] + }, + "AXI_23_ARVALID": { + "direction": "input", + "bits": [ 21825 ] + }, + "AXI_23_AWADDR": { + "direction": "input", + "bits": [ 21826, 21827, 21828, 21829, 21830, 21831, 21832, 21833, 21834, 21835, 21836, 21837, 21838, 21839, 21840, 21841, 21842, 21843, 21844, 21845, 21846, 21847, 21848, 21849, 21850, 21851, 21852, 21853, 21854, 21855, 21856, 21857, 21858, 21859, 21860, 21861, 21862 ] + }, + "AXI_23_AWBURST": { + "direction": "input", + "bits": [ 21863, 21864 ] + }, + "AXI_23_AWID": { + "direction": "input", + "bits": [ 21865, 21866, 21867, 21868, 21869, 21870 ] + }, + "AXI_23_AWLEN": { + "direction": "input", + "bits": [ 21871, 21872, 21873, 21874 ] + }, + "AXI_23_AWSIZE": { + "direction": "input", + "bits": [ 21875, 21876, 21877 ] + }, + "AXI_23_AWVALID": { + "direction": "input", + "bits": [ 21878 ] + }, + "AXI_23_BREADY": { + "direction": "input", + "bits": [ 21879 ] + }, + "AXI_23_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 21880 ] + }, + "AXI_23_RREADY": { + "direction": "input", + "bits": [ 21881 ] + }, + "AXI_23_WDATA": { + "direction": "input", + "bits": [ 21882, 21883, 21884, 21885, 21886, 21887, 21888, 21889, 21890, 21891, 21892, 21893, 21894, 21895, 21896, 21897, 21898, 21899, 21900, 21901, 21902, 21903, 21904, 21905, 21906, 21907, 21908, 21909, 21910, 21911, 21912, 21913, 21914, 21915, 21916, 21917, 21918, 21919, 21920, 21921, 21922, 21923, 21924, 21925, 21926, 21927, 21928, 21929, 21930, 21931, 21932, 21933, 21934, 21935, 21936, 21937, 21938, 21939, 21940, 21941, 21942, 21943, 21944, 21945, 21946, 21947, 21948, 21949, 21950, 21951, 21952, 21953, 21954, 21955, 21956, 21957, 21958, 21959, 21960, 21961, 21962, 21963, 21964, 21965, 21966, 21967, 21968, 21969, 21970, 21971, 21972, 21973, 21974, 21975, 21976, 21977, 21978, 21979, 21980, 21981, 21982, 21983, 21984, 21985, 21986, 21987, 21988, 21989, 21990, 21991, 21992, 21993, 21994, 21995, 21996, 21997, 21998, 21999, 22000, 22001, 22002, 22003, 22004, 22005, 22006, 22007, 22008, 22009, 22010, 22011, 22012, 22013, 22014, 22015, 22016, 22017, 22018, 22019, 22020, 22021, 22022, 22023, 22024, 22025, 22026, 22027, 22028, 22029, 22030, 22031, 22032, 22033, 22034, 22035, 22036, 22037, 22038, 22039, 22040, 22041, 22042, 22043, 22044, 22045, 22046, 22047, 22048, 22049, 22050, 22051, 22052, 22053, 22054, 22055, 22056, 22057, 22058, 22059, 22060, 22061, 22062, 22063, 22064, 22065, 22066, 22067, 22068, 22069, 22070, 22071, 22072, 22073, 22074, 22075, 22076, 22077, 22078, 22079, 22080, 22081, 22082, 22083, 22084, 22085, 22086, 22087, 22088, 22089, 22090, 22091, 22092, 22093, 22094, 22095, 22096, 22097, 22098, 22099, 22100, 22101, 22102, 22103, 22104, 22105, 22106, 22107, 22108, 22109, 22110, 22111, 22112, 22113, 22114, 22115, 22116, 22117, 22118, 22119, 22120, 22121, 22122, 22123, 22124, 22125, 22126, 22127, 22128, 22129, 22130, 22131, 22132, 22133, 22134, 22135, 22136, 22137 ] + }, + "AXI_23_WDATA_PARITY": { + "direction": "input", + "bits": [ 22138, 22139, 22140, 22141, 22142, 22143, 22144, 22145, 22146, 22147, 22148, 22149, 22150, 22151, 22152, 22153, 22154, 22155, 22156, 22157, 22158, 22159, 22160, 22161, 22162, 22163, 22164, 22165, 22166, 22167, 22168, 22169 ] + }, + "AXI_23_WLAST": { + "direction": "input", + "bits": [ 22170 ] + }, + "AXI_23_WSTRB": { + "direction": "input", + "bits": [ 22171, 22172, 22173, 22174, 22175, 22176, 22177, 22178, 22179, 22180, 22181, 22182, 22183, 22184, 22185, 22186, 22187, 22188, 22189, 22190, 22191, 22192, 22193, 22194, 22195, 22196, 22197, 22198, 22199, 22200, 22201, 22202 ] + }, + "AXI_23_WVALID": { + "direction": "input", + "bits": [ 22203 ] + }, + "AXI_24_ACLK": { + "direction": "input", + "bits": [ 22204 ] + }, + "AXI_24_ARADDR": { + "direction": "input", + "bits": [ 22205, 22206, 22207, 22208, 22209, 22210, 22211, 22212, 22213, 22214, 22215, 22216, 22217, 22218, 22219, 22220, 22221, 22222, 22223, 22224, 22225, 22226, 22227, 22228, 22229, 22230, 22231, 22232, 22233, 22234, 22235, 22236, 22237, 22238, 22239, 22240, 22241 ] + }, + "AXI_24_ARBURST": { + "direction": "input", + "bits": [ 22242, 22243 ] + }, + "AXI_24_ARESET_N": { + "direction": "input", + "bits": [ 22244 ] + }, + "AXI_24_ARID": { + "direction": "input", + "bits": [ 22245, 22246, 22247, 22248, 22249, 22250 ] + }, + "AXI_24_ARLEN": { + "direction": "input", + "bits": [ 22251, 22252, 22253, 22254 ] + }, + "AXI_24_ARSIZE": { + "direction": "input", + "bits": [ 22255, 22256, 22257 ] + }, + "AXI_24_ARVALID": { + "direction": "input", + "bits": [ 22258 ] + }, + "AXI_24_AWADDR": { + "direction": "input", + "bits": [ 22259, 22260, 22261, 22262, 22263, 22264, 22265, 22266, 22267, 22268, 22269, 22270, 22271, 22272, 22273, 22274, 22275, 22276, 22277, 22278, 22279, 22280, 22281, 22282, 22283, 22284, 22285, 22286, 22287, 22288, 22289, 22290, 22291, 22292, 22293, 22294, 22295 ] + }, + "AXI_24_AWBURST": { + "direction": "input", + "bits": [ 22296, 22297 ] + }, + "AXI_24_AWID": { + "direction": "input", + "bits": [ 22298, 22299, 22300, 22301, 22302, 22303 ] + }, + "AXI_24_AWLEN": { + "direction": "input", + "bits": [ 22304, 22305, 22306, 22307 ] + }, + "AXI_24_AWSIZE": { + "direction": "input", + "bits": [ 22308, 22309, 22310 ] + }, + "AXI_24_AWVALID": { + "direction": "input", + "bits": [ 22311 ] + }, + "AXI_24_BREADY": { + "direction": "input", + "bits": [ 22312 ] + }, + "AXI_24_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 22313 ] + }, + "AXI_24_RREADY": { + "direction": "input", + "bits": [ 22314 ] + }, + "AXI_24_WDATA": { + "direction": "input", + "bits": [ 22315, 22316, 22317, 22318, 22319, 22320, 22321, 22322, 22323, 22324, 22325, 22326, 22327, 22328, 22329, 22330, 22331, 22332, 22333, 22334, 22335, 22336, 22337, 22338, 22339, 22340, 22341, 22342, 22343, 22344, 22345, 22346, 22347, 22348, 22349, 22350, 22351, 22352, 22353, 22354, 22355, 22356, 22357, 22358, 22359, 22360, 22361, 22362, 22363, 22364, 22365, 22366, 22367, 22368, 22369, 22370, 22371, 22372, 22373, 22374, 22375, 22376, 22377, 22378, 22379, 22380, 22381, 22382, 22383, 22384, 22385, 22386, 22387, 22388, 22389, 22390, 22391, 22392, 22393, 22394, 22395, 22396, 22397, 22398, 22399, 22400, 22401, 22402, 22403, 22404, 22405, 22406, 22407, 22408, 22409, 22410, 22411, 22412, 22413, 22414, 22415, 22416, 22417, 22418, 22419, 22420, 22421, 22422, 22423, 22424, 22425, 22426, 22427, 22428, 22429, 22430, 22431, 22432, 22433, 22434, 22435, 22436, 22437, 22438, 22439, 22440, 22441, 22442, 22443, 22444, 22445, 22446, 22447, 22448, 22449, 22450, 22451, 22452, 22453, 22454, 22455, 22456, 22457, 22458, 22459, 22460, 22461, 22462, 22463, 22464, 22465, 22466, 22467, 22468, 22469, 22470, 22471, 22472, 22473, 22474, 22475, 22476, 22477, 22478, 22479, 22480, 22481, 22482, 22483, 22484, 22485, 22486, 22487, 22488, 22489, 22490, 22491, 22492, 22493, 22494, 22495, 22496, 22497, 22498, 22499, 22500, 22501, 22502, 22503, 22504, 22505, 22506, 22507, 22508, 22509, 22510, 22511, 22512, 22513, 22514, 22515, 22516, 22517, 22518, 22519, 22520, 22521, 22522, 22523, 22524, 22525, 22526, 22527, 22528, 22529, 22530, 22531, 22532, 22533, 22534, 22535, 22536, 22537, 22538, 22539, 22540, 22541, 22542, 22543, 22544, 22545, 22546, 22547, 22548, 22549, 22550, 22551, 22552, 22553, 22554, 22555, 22556, 22557, 22558, 22559, 22560, 22561, 22562, 22563, 22564, 22565, 22566, 22567, 22568, 22569, 22570 ] + }, + "AXI_24_WDATA_PARITY": { + "direction": "input", + "bits": [ 22571, 22572, 22573, 22574, 22575, 22576, 22577, 22578, 22579, 22580, 22581, 22582, 22583, 22584, 22585, 22586, 22587, 22588, 22589, 22590, 22591, 22592, 22593, 22594, 22595, 22596, 22597, 22598, 22599, 22600, 22601, 22602 ] + }, + "AXI_24_WLAST": { + "direction": "input", + "bits": [ 22603 ] + }, + "AXI_24_WSTRB": { + "direction": "input", + "bits": [ 22604, 22605, 22606, 22607, 22608, 22609, 22610, 22611, 22612, 22613, 22614, 22615, 22616, 22617, 22618, 22619, 22620, 22621, 22622, 22623, 22624, 22625, 22626, 22627, 22628, 22629, 22630, 22631, 22632, 22633, 22634, 22635 ] + }, + "AXI_24_WVALID": { + "direction": "input", + "bits": [ 22636 ] + }, + "AXI_25_ACLK": { + "direction": "input", + "bits": [ 22637 ] + }, + "AXI_25_ARADDR": { + "direction": "input", + "bits": [ 22638, 22639, 22640, 22641, 22642, 22643, 22644, 22645, 22646, 22647, 22648, 22649, 22650, 22651, 22652, 22653, 22654, 22655, 22656, 22657, 22658, 22659, 22660, 22661, 22662, 22663, 22664, 22665, 22666, 22667, 22668, 22669, 22670, 22671, 22672, 22673, 22674 ] + }, + "AXI_25_ARBURST": { + "direction": "input", + "bits": [ 22675, 22676 ] + }, + "AXI_25_ARESET_N": { + "direction": "input", + "bits": [ 22677 ] + }, + "AXI_25_ARID": { + "direction": "input", + "bits": [ 22678, 22679, 22680, 22681, 22682, 22683 ] + }, + "AXI_25_ARLEN": { + "direction": "input", + "bits": [ 22684, 22685, 22686, 22687 ] + }, + "AXI_25_ARSIZE": { + "direction": "input", + "bits": [ 22688, 22689, 22690 ] + }, + "AXI_25_ARVALID": { + "direction": "input", + "bits": [ 22691 ] + }, + "AXI_25_AWADDR": { + "direction": "input", + "bits": [ 22692, 22693, 22694, 22695, 22696, 22697, 22698, 22699, 22700, 22701, 22702, 22703, 22704, 22705, 22706, 22707, 22708, 22709, 22710, 22711, 22712, 22713, 22714, 22715, 22716, 22717, 22718, 22719, 22720, 22721, 22722, 22723, 22724, 22725, 22726, 22727, 22728 ] + }, + "AXI_25_AWBURST": { + "direction": "input", + "bits": [ 22729, 22730 ] + }, + "AXI_25_AWID": { + "direction": "input", + "bits": [ 22731, 22732, 22733, 22734, 22735, 22736 ] + }, + "AXI_25_AWLEN": { + "direction": "input", + "bits": [ 22737, 22738, 22739, 22740 ] + }, + "AXI_25_AWSIZE": { + "direction": "input", + "bits": [ 22741, 22742, 22743 ] + }, + "AXI_25_AWVALID": { + "direction": "input", + "bits": [ 22744 ] + }, + "AXI_25_BREADY": { + "direction": "input", + "bits": [ 22745 ] + }, + "AXI_25_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 22746 ] + }, + "AXI_25_RREADY": { + "direction": "input", + "bits": [ 22747 ] + }, + "AXI_25_WDATA": { + "direction": "input", + "bits": [ 22748, 22749, 22750, 22751, 22752, 22753, 22754, 22755, 22756, 22757, 22758, 22759, 22760, 22761, 22762, 22763, 22764, 22765, 22766, 22767, 22768, 22769, 22770, 22771, 22772, 22773, 22774, 22775, 22776, 22777, 22778, 22779, 22780, 22781, 22782, 22783, 22784, 22785, 22786, 22787, 22788, 22789, 22790, 22791, 22792, 22793, 22794, 22795, 22796, 22797, 22798, 22799, 22800, 22801, 22802, 22803, 22804, 22805, 22806, 22807, 22808, 22809, 22810, 22811, 22812, 22813, 22814, 22815, 22816, 22817, 22818, 22819, 22820, 22821, 22822, 22823, 22824, 22825, 22826, 22827, 22828, 22829, 22830, 22831, 22832, 22833, 22834, 22835, 22836, 22837, 22838, 22839, 22840, 22841, 22842, 22843, 22844, 22845, 22846, 22847, 22848, 22849, 22850, 22851, 22852, 22853, 22854, 22855, 22856, 22857, 22858, 22859, 22860, 22861, 22862, 22863, 22864, 22865, 22866, 22867, 22868, 22869, 22870, 22871, 22872, 22873, 22874, 22875, 22876, 22877, 22878, 22879, 22880, 22881, 22882, 22883, 22884, 22885, 22886, 22887, 22888, 22889, 22890, 22891, 22892, 22893, 22894, 22895, 22896, 22897, 22898, 22899, 22900, 22901, 22902, 22903, 22904, 22905, 22906, 22907, 22908, 22909, 22910, 22911, 22912, 22913, 22914, 22915, 22916, 22917, 22918, 22919, 22920, 22921, 22922, 22923, 22924, 22925, 22926, 22927, 22928, 22929, 22930, 22931, 22932, 22933, 22934, 22935, 22936, 22937, 22938, 22939, 22940, 22941, 22942, 22943, 22944, 22945, 22946, 22947, 22948, 22949, 22950, 22951, 22952, 22953, 22954, 22955, 22956, 22957, 22958, 22959, 22960, 22961, 22962, 22963, 22964, 22965, 22966, 22967, 22968, 22969, 22970, 22971, 22972, 22973, 22974, 22975, 22976, 22977, 22978, 22979, 22980, 22981, 22982, 22983, 22984, 22985, 22986, 22987, 22988, 22989, 22990, 22991, 22992, 22993, 22994, 22995, 22996, 22997, 22998, 22999, 23000, 23001, 23002, 23003 ] + }, + "AXI_25_WDATA_PARITY": { + "direction": "input", + "bits": [ 23004, 23005, 23006, 23007, 23008, 23009, 23010, 23011, 23012, 23013, 23014, 23015, 23016, 23017, 23018, 23019, 23020, 23021, 23022, 23023, 23024, 23025, 23026, 23027, 23028, 23029, 23030, 23031, 23032, 23033, 23034, 23035 ] + }, + "AXI_25_WLAST": { + "direction": "input", + "bits": [ 23036 ] + }, + "AXI_25_WSTRB": { + "direction": "input", + "bits": [ 23037, 23038, 23039, 23040, 23041, 23042, 23043, 23044, 23045, 23046, 23047, 23048, 23049, 23050, 23051, 23052, 23053, 23054, 23055, 23056, 23057, 23058, 23059, 23060, 23061, 23062, 23063, 23064, 23065, 23066, 23067, 23068 ] + }, + "AXI_25_WVALID": { + "direction": "input", + "bits": [ 23069 ] + }, + "AXI_26_ACLK": { + "direction": "input", + "bits": [ 23070 ] + }, + "AXI_26_ARADDR": { + "direction": "input", + "bits": [ 23071, 23072, 23073, 23074, 23075, 23076, 23077, 23078, 23079, 23080, 23081, 23082, 23083, 23084, 23085, 23086, 23087, 23088, 23089, 23090, 23091, 23092, 23093, 23094, 23095, 23096, 23097, 23098, 23099, 23100, 23101, 23102, 23103, 23104, 23105, 23106, 23107 ] + }, + "AXI_26_ARBURST": { + "direction": "input", + "bits": [ 23108, 23109 ] + }, + "AXI_26_ARESET_N": { + "direction": "input", + "bits": [ 23110 ] + }, + "AXI_26_ARID": { + "direction": "input", + "bits": [ 23111, 23112, 23113, 23114, 23115, 23116 ] + }, + "AXI_26_ARLEN": { + "direction": "input", + "bits": [ 23117, 23118, 23119, 23120 ] + }, + "AXI_26_ARSIZE": { + "direction": "input", + "bits": [ 23121, 23122, 23123 ] + }, + "AXI_26_ARVALID": { + "direction": "input", + "bits": [ 23124 ] + }, + "AXI_26_AWADDR": { + "direction": "input", + "bits": [ 23125, 23126, 23127, 23128, 23129, 23130, 23131, 23132, 23133, 23134, 23135, 23136, 23137, 23138, 23139, 23140, 23141, 23142, 23143, 23144, 23145, 23146, 23147, 23148, 23149, 23150, 23151, 23152, 23153, 23154, 23155, 23156, 23157, 23158, 23159, 23160, 23161 ] + }, + "AXI_26_AWBURST": { + "direction": "input", + "bits": [ 23162, 23163 ] + }, + "AXI_26_AWID": { + "direction": "input", + "bits": [ 23164, 23165, 23166, 23167, 23168, 23169 ] + }, + "AXI_26_AWLEN": { + "direction": "input", + "bits": [ 23170, 23171, 23172, 23173 ] + }, + "AXI_26_AWSIZE": { + "direction": "input", + "bits": [ 23174, 23175, 23176 ] + }, + "AXI_26_AWVALID": { + "direction": "input", + "bits": [ 23177 ] + }, + "AXI_26_BREADY": { + "direction": "input", + "bits": [ 23178 ] + }, + "AXI_26_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 23179 ] + }, + "AXI_26_RREADY": { + "direction": "input", + "bits": [ 23180 ] + }, + "AXI_26_WDATA": { + "direction": "input", + "bits": [ 23181, 23182, 23183, 23184, 23185, 23186, 23187, 23188, 23189, 23190, 23191, 23192, 23193, 23194, 23195, 23196, 23197, 23198, 23199, 23200, 23201, 23202, 23203, 23204, 23205, 23206, 23207, 23208, 23209, 23210, 23211, 23212, 23213, 23214, 23215, 23216, 23217, 23218, 23219, 23220, 23221, 23222, 23223, 23224, 23225, 23226, 23227, 23228, 23229, 23230, 23231, 23232, 23233, 23234, 23235, 23236, 23237, 23238, 23239, 23240, 23241, 23242, 23243, 23244, 23245, 23246, 23247, 23248, 23249, 23250, 23251, 23252, 23253, 23254, 23255, 23256, 23257, 23258, 23259, 23260, 23261, 23262, 23263, 23264, 23265, 23266, 23267, 23268, 23269, 23270, 23271, 23272, 23273, 23274, 23275, 23276, 23277, 23278, 23279, 23280, 23281, 23282, 23283, 23284, 23285, 23286, 23287, 23288, 23289, 23290, 23291, 23292, 23293, 23294, 23295, 23296, 23297, 23298, 23299, 23300, 23301, 23302, 23303, 23304, 23305, 23306, 23307, 23308, 23309, 23310, 23311, 23312, 23313, 23314, 23315, 23316, 23317, 23318, 23319, 23320, 23321, 23322, 23323, 23324, 23325, 23326, 23327, 23328, 23329, 23330, 23331, 23332, 23333, 23334, 23335, 23336, 23337, 23338, 23339, 23340, 23341, 23342, 23343, 23344, 23345, 23346, 23347, 23348, 23349, 23350, 23351, 23352, 23353, 23354, 23355, 23356, 23357, 23358, 23359, 23360, 23361, 23362, 23363, 23364, 23365, 23366, 23367, 23368, 23369, 23370, 23371, 23372, 23373, 23374, 23375, 23376, 23377, 23378, 23379, 23380, 23381, 23382, 23383, 23384, 23385, 23386, 23387, 23388, 23389, 23390, 23391, 23392, 23393, 23394, 23395, 23396, 23397, 23398, 23399, 23400, 23401, 23402, 23403, 23404, 23405, 23406, 23407, 23408, 23409, 23410, 23411, 23412, 23413, 23414, 23415, 23416, 23417, 23418, 23419, 23420, 23421, 23422, 23423, 23424, 23425, 23426, 23427, 23428, 23429, 23430, 23431, 23432, 23433, 23434, 23435, 23436 ] + }, + "AXI_26_WDATA_PARITY": { + "direction": "input", + "bits": [ 23437, 23438, 23439, 23440, 23441, 23442, 23443, 23444, 23445, 23446, 23447, 23448, 23449, 23450, 23451, 23452, 23453, 23454, 23455, 23456, 23457, 23458, 23459, 23460, 23461, 23462, 23463, 23464, 23465, 23466, 23467, 23468 ] + }, + "AXI_26_WLAST": { + "direction": "input", + "bits": [ 23469 ] + }, + "AXI_26_WSTRB": { + "direction": "input", + "bits": [ 23470, 23471, 23472, 23473, 23474, 23475, 23476, 23477, 23478, 23479, 23480, 23481, 23482, 23483, 23484, 23485, 23486, 23487, 23488, 23489, 23490, 23491, 23492, 23493, 23494, 23495, 23496, 23497, 23498, 23499, 23500, 23501 ] + }, + "AXI_26_WVALID": { + "direction": "input", + "bits": [ 23502 ] + }, + "AXI_27_ACLK": { + "direction": "input", + "bits": [ 23503 ] + }, + "AXI_27_ARADDR": { + "direction": "input", + "bits": [ 23504, 23505, 23506, 23507, 23508, 23509, 23510, 23511, 23512, 23513, 23514, 23515, 23516, 23517, 23518, 23519, 23520, 23521, 23522, 23523, 23524, 23525, 23526, 23527, 23528, 23529, 23530, 23531, 23532, 23533, 23534, 23535, 23536, 23537, 23538, 23539, 23540 ] + }, + "AXI_27_ARBURST": { + "direction": "input", + "bits": [ 23541, 23542 ] + }, + "AXI_27_ARESET_N": { + "direction": "input", + "bits": [ 23543 ] + }, + "AXI_27_ARID": { + "direction": "input", + "bits": [ 23544, 23545, 23546, 23547, 23548, 23549 ] + }, + "AXI_27_ARLEN": { + "direction": "input", + "bits": [ 23550, 23551, 23552, 23553 ] + }, + "AXI_27_ARSIZE": { + "direction": "input", + "bits": [ 23554, 23555, 23556 ] + }, + "AXI_27_ARVALID": { + "direction": "input", + "bits": [ 23557 ] + }, + "AXI_27_AWADDR": { + "direction": "input", + "bits": [ 23558, 23559, 23560, 23561, 23562, 23563, 23564, 23565, 23566, 23567, 23568, 23569, 23570, 23571, 23572, 23573, 23574, 23575, 23576, 23577, 23578, 23579, 23580, 23581, 23582, 23583, 23584, 23585, 23586, 23587, 23588, 23589, 23590, 23591, 23592, 23593, 23594 ] + }, + "AXI_27_AWBURST": { + "direction": "input", + "bits": [ 23595, 23596 ] + }, + "AXI_27_AWID": { + "direction": "input", + "bits": [ 23597, 23598, 23599, 23600, 23601, 23602 ] + }, + "AXI_27_AWLEN": { + "direction": "input", + "bits": [ 23603, 23604, 23605, 23606 ] + }, + "AXI_27_AWSIZE": { + "direction": "input", + "bits": [ 23607, 23608, 23609 ] + }, + "AXI_27_AWVALID": { + "direction": "input", + "bits": [ 23610 ] + }, + "AXI_27_BREADY": { + "direction": "input", + "bits": [ 23611 ] + }, + "AXI_27_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 23612 ] + }, + "AXI_27_RREADY": { + "direction": "input", + "bits": [ 23613 ] + }, + "AXI_27_WDATA": { + "direction": "input", + "bits": [ 23614, 23615, 23616, 23617, 23618, 23619, 23620, 23621, 23622, 23623, 23624, 23625, 23626, 23627, 23628, 23629, 23630, 23631, 23632, 23633, 23634, 23635, 23636, 23637, 23638, 23639, 23640, 23641, 23642, 23643, 23644, 23645, 23646, 23647, 23648, 23649, 23650, 23651, 23652, 23653, 23654, 23655, 23656, 23657, 23658, 23659, 23660, 23661, 23662, 23663, 23664, 23665, 23666, 23667, 23668, 23669, 23670, 23671, 23672, 23673, 23674, 23675, 23676, 23677, 23678, 23679, 23680, 23681, 23682, 23683, 23684, 23685, 23686, 23687, 23688, 23689, 23690, 23691, 23692, 23693, 23694, 23695, 23696, 23697, 23698, 23699, 23700, 23701, 23702, 23703, 23704, 23705, 23706, 23707, 23708, 23709, 23710, 23711, 23712, 23713, 23714, 23715, 23716, 23717, 23718, 23719, 23720, 23721, 23722, 23723, 23724, 23725, 23726, 23727, 23728, 23729, 23730, 23731, 23732, 23733, 23734, 23735, 23736, 23737, 23738, 23739, 23740, 23741, 23742, 23743, 23744, 23745, 23746, 23747, 23748, 23749, 23750, 23751, 23752, 23753, 23754, 23755, 23756, 23757, 23758, 23759, 23760, 23761, 23762, 23763, 23764, 23765, 23766, 23767, 23768, 23769, 23770, 23771, 23772, 23773, 23774, 23775, 23776, 23777, 23778, 23779, 23780, 23781, 23782, 23783, 23784, 23785, 23786, 23787, 23788, 23789, 23790, 23791, 23792, 23793, 23794, 23795, 23796, 23797, 23798, 23799, 23800, 23801, 23802, 23803, 23804, 23805, 23806, 23807, 23808, 23809, 23810, 23811, 23812, 23813, 23814, 23815, 23816, 23817, 23818, 23819, 23820, 23821, 23822, 23823, 23824, 23825, 23826, 23827, 23828, 23829, 23830, 23831, 23832, 23833, 23834, 23835, 23836, 23837, 23838, 23839, 23840, 23841, 23842, 23843, 23844, 23845, 23846, 23847, 23848, 23849, 23850, 23851, 23852, 23853, 23854, 23855, 23856, 23857, 23858, 23859, 23860, 23861, 23862, 23863, 23864, 23865, 23866, 23867, 23868, 23869 ] + }, + "AXI_27_WDATA_PARITY": { + "direction": "input", + "bits": [ 23870, 23871, 23872, 23873, 23874, 23875, 23876, 23877, 23878, 23879, 23880, 23881, 23882, 23883, 23884, 23885, 23886, 23887, 23888, 23889, 23890, 23891, 23892, 23893, 23894, 23895, 23896, 23897, 23898, 23899, 23900, 23901 ] + }, + "AXI_27_WLAST": { + "direction": "input", + "bits": [ 23902 ] + }, + "AXI_27_WSTRB": { + "direction": "input", + "bits": [ 23903, 23904, 23905, 23906, 23907, 23908, 23909, 23910, 23911, 23912, 23913, 23914, 23915, 23916, 23917, 23918, 23919, 23920, 23921, 23922, 23923, 23924, 23925, 23926, 23927, 23928, 23929, 23930, 23931, 23932, 23933, 23934 ] + }, + "AXI_27_WVALID": { + "direction": "input", + "bits": [ 23935 ] + }, + "AXI_28_ACLK": { + "direction": "input", + "bits": [ 23936 ] + }, + "AXI_28_ARADDR": { + "direction": "input", + "bits": [ 23937, 23938, 23939, 23940, 23941, 23942, 23943, 23944, 23945, 23946, 23947, 23948, 23949, 23950, 23951, 23952, 23953, 23954, 23955, 23956, 23957, 23958, 23959, 23960, 23961, 23962, 23963, 23964, 23965, 23966, 23967, 23968, 23969, 23970, 23971, 23972, 23973 ] + }, + "AXI_28_ARBURST": { + "direction": "input", + "bits": [ 23974, 23975 ] + }, + "AXI_28_ARESET_N": { + "direction": "input", + "bits": [ 23976 ] + }, + "AXI_28_ARID": { + "direction": "input", + "bits": [ 23977, 23978, 23979, 23980, 23981, 23982 ] + }, + "AXI_28_ARLEN": { + "direction": "input", + "bits": [ 23983, 23984, 23985, 23986 ] + }, + "AXI_28_ARSIZE": { + "direction": "input", + "bits": [ 23987, 23988, 23989 ] + }, + "AXI_28_ARVALID": { + "direction": "input", + "bits": [ 23990 ] + }, + "AXI_28_AWADDR": { + "direction": "input", + "bits": [ 23991, 23992, 23993, 23994, 23995, 23996, 23997, 23998, 23999, 24000, 24001, 24002, 24003, 24004, 24005, 24006, 24007, 24008, 24009, 24010, 24011, 24012, 24013, 24014, 24015, 24016, 24017, 24018, 24019, 24020, 24021, 24022, 24023, 24024, 24025, 24026, 24027 ] + }, + "AXI_28_AWBURST": { + "direction": "input", + "bits": [ 24028, 24029 ] + }, + "AXI_28_AWID": { + "direction": "input", + "bits": [ 24030, 24031, 24032, 24033, 24034, 24035 ] + }, + "AXI_28_AWLEN": { + "direction": "input", + "bits": [ 24036, 24037, 24038, 24039 ] + }, + "AXI_28_AWSIZE": { + "direction": "input", + "bits": [ 24040, 24041, 24042 ] + }, + "AXI_28_AWVALID": { + "direction": "input", + "bits": [ 24043 ] + }, + "AXI_28_BREADY": { + "direction": "input", + "bits": [ 24044 ] + }, + "AXI_28_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 24045 ] + }, + "AXI_28_RREADY": { + "direction": "input", + "bits": [ 24046 ] + }, + "AXI_28_WDATA": { + "direction": "input", + "bits": [ 24047, 24048, 24049, 24050, 24051, 24052, 24053, 24054, 24055, 24056, 24057, 24058, 24059, 24060, 24061, 24062, 24063, 24064, 24065, 24066, 24067, 24068, 24069, 24070, 24071, 24072, 24073, 24074, 24075, 24076, 24077, 24078, 24079, 24080, 24081, 24082, 24083, 24084, 24085, 24086, 24087, 24088, 24089, 24090, 24091, 24092, 24093, 24094, 24095, 24096, 24097, 24098, 24099, 24100, 24101, 24102, 24103, 24104, 24105, 24106, 24107, 24108, 24109, 24110, 24111, 24112, 24113, 24114, 24115, 24116, 24117, 24118, 24119, 24120, 24121, 24122, 24123, 24124, 24125, 24126, 24127, 24128, 24129, 24130, 24131, 24132, 24133, 24134, 24135, 24136, 24137, 24138, 24139, 24140, 24141, 24142, 24143, 24144, 24145, 24146, 24147, 24148, 24149, 24150, 24151, 24152, 24153, 24154, 24155, 24156, 24157, 24158, 24159, 24160, 24161, 24162, 24163, 24164, 24165, 24166, 24167, 24168, 24169, 24170, 24171, 24172, 24173, 24174, 24175, 24176, 24177, 24178, 24179, 24180, 24181, 24182, 24183, 24184, 24185, 24186, 24187, 24188, 24189, 24190, 24191, 24192, 24193, 24194, 24195, 24196, 24197, 24198, 24199, 24200, 24201, 24202, 24203, 24204, 24205, 24206, 24207, 24208, 24209, 24210, 24211, 24212, 24213, 24214, 24215, 24216, 24217, 24218, 24219, 24220, 24221, 24222, 24223, 24224, 24225, 24226, 24227, 24228, 24229, 24230, 24231, 24232, 24233, 24234, 24235, 24236, 24237, 24238, 24239, 24240, 24241, 24242, 24243, 24244, 24245, 24246, 24247, 24248, 24249, 24250, 24251, 24252, 24253, 24254, 24255, 24256, 24257, 24258, 24259, 24260, 24261, 24262, 24263, 24264, 24265, 24266, 24267, 24268, 24269, 24270, 24271, 24272, 24273, 24274, 24275, 24276, 24277, 24278, 24279, 24280, 24281, 24282, 24283, 24284, 24285, 24286, 24287, 24288, 24289, 24290, 24291, 24292, 24293, 24294, 24295, 24296, 24297, 24298, 24299, 24300, 24301, 24302 ] + }, + "AXI_28_WDATA_PARITY": { + "direction": "input", + "bits": [ 24303, 24304, 24305, 24306, 24307, 24308, 24309, 24310, 24311, 24312, 24313, 24314, 24315, 24316, 24317, 24318, 24319, 24320, 24321, 24322, 24323, 24324, 24325, 24326, 24327, 24328, 24329, 24330, 24331, 24332, 24333, 24334 ] + }, + "AXI_28_WLAST": { + "direction": "input", + "bits": [ 24335 ] + }, + "AXI_28_WSTRB": { + "direction": "input", + "bits": [ 24336, 24337, 24338, 24339, 24340, 24341, 24342, 24343, 24344, 24345, 24346, 24347, 24348, 24349, 24350, 24351, 24352, 24353, 24354, 24355, 24356, 24357, 24358, 24359, 24360, 24361, 24362, 24363, 24364, 24365, 24366, 24367 ] + }, + "AXI_28_WVALID": { + "direction": "input", + "bits": [ 24368 ] + }, + "AXI_29_ACLK": { + "direction": "input", + "bits": [ 24369 ] + }, + "AXI_29_ARADDR": { + "direction": "input", + "bits": [ 24370, 24371, 24372, 24373, 24374, 24375, 24376, 24377, 24378, 24379, 24380, 24381, 24382, 24383, 24384, 24385, 24386, 24387, 24388, 24389, 24390, 24391, 24392, 24393, 24394, 24395, 24396, 24397, 24398, 24399, 24400, 24401, 24402, 24403, 24404, 24405, 24406 ] + }, + "AXI_29_ARBURST": { + "direction": "input", + "bits": [ 24407, 24408 ] + }, + "AXI_29_ARESET_N": { + "direction": "input", + "bits": [ 24409 ] + }, + "AXI_29_ARID": { + "direction": "input", + "bits": [ 24410, 24411, 24412, 24413, 24414, 24415 ] + }, + "AXI_29_ARLEN": { + "direction": "input", + "bits": [ 24416, 24417, 24418, 24419 ] + }, + "AXI_29_ARSIZE": { + "direction": "input", + "bits": [ 24420, 24421, 24422 ] + }, + "AXI_29_ARVALID": { + "direction": "input", + "bits": [ 24423 ] + }, + "AXI_29_AWADDR": { + "direction": "input", + "bits": [ 24424, 24425, 24426, 24427, 24428, 24429, 24430, 24431, 24432, 24433, 24434, 24435, 24436, 24437, 24438, 24439, 24440, 24441, 24442, 24443, 24444, 24445, 24446, 24447, 24448, 24449, 24450, 24451, 24452, 24453, 24454, 24455, 24456, 24457, 24458, 24459, 24460 ] + }, + "AXI_29_AWBURST": { + "direction": "input", + "bits": [ 24461, 24462 ] + }, + "AXI_29_AWID": { + "direction": "input", + "bits": [ 24463, 24464, 24465, 24466, 24467, 24468 ] + }, + "AXI_29_AWLEN": { + "direction": "input", + "bits": [ 24469, 24470, 24471, 24472 ] + }, + "AXI_29_AWSIZE": { + "direction": "input", + "bits": [ 24473, 24474, 24475 ] + }, + "AXI_29_AWVALID": { + "direction": "input", + "bits": [ 24476 ] + }, + "AXI_29_BREADY": { + "direction": "input", + "bits": [ 24477 ] + }, + "AXI_29_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 24478 ] + }, + "AXI_29_RREADY": { + "direction": "input", + "bits": [ 24479 ] + }, + "AXI_29_WDATA": { + "direction": "input", + "bits": [ 24480, 24481, 24482, 24483, 24484, 24485, 24486, 24487, 24488, 24489, 24490, 24491, 24492, 24493, 24494, 24495, 24496, 24497, 24498, 24499, 24500, 24501, 24502, 24503, 24504, 24505, 24506, 24507, 24508, 24509, 24510, 24511, 24512, 24513, 24514, 24515, 24516, 24517, 24518, 24519, 24520, 24521, 24522, 24523, 24524, 24525, 24526, 24527, 24528, 24529, 24530, 24531, 24532, 24533, 24534, 24535, 24536, 24537, 24538, 24539, 24540, 24541, 24542, 24543, 24544, 24545, 24546, 24547, 24548, 24549, 24550, 24551, 24552, 24553, 24554, 24555, 24556, 24557, 24558, 24559, 24560, 24561, 24562, 24563, 24564, 24565, 24566, 24567, 24568, 24569, 24570, 24571, 24572, 24573, 24574, 24575, 24576, 24577, 24578, 24579, 24580, 24581, 24582, 24583, 24584, 24585, 24586, 24587, 24588, 24589, 24590, 24591, 24592, 24593, 24594, 24595, 24596, 24597, 24598, 24599, 24600, 24601, 24602, 24603, 24604, 24605, 24606, 24607, 24608, 24609, 24610, 24611, 24612, 24613, 24614, 24615, 24616, 24617, 24618, 24619, 24620, 24621, 24622, 24623, 24624, 24625, 24626, 24627, 24628, 24629, 24630, 24631, 24632, 24633, 24634, 24635, 24636, 24637, 24638, 24639, 24640, 24641, 24642, 24643, 24644, 24645, 24646, 24647, 24648, 24649, 24650, 24651, 24652, 24653, 24654, 24655, 24656, 24657, 24658, 24659, 24660, 24661, 24662, 24663, 24664, 24665, 24666, 24667, 24668, 24669, 24670, 24671, 24672, 24673, 24674, 24675, 24676, 24677, 24678, 24679, 24680, 24681, 24682, 24683, 24684, 24685, 24686, 24687, 24688, 24689, 24690, 24691, 24692, 24693, 24694, 24695, 24696, 24697, 24698, 24699, 24700, 24701, 24702, 24703, 24704, 24705, 24706, 24707, 24708, 24709, 24710, 24711, 24712, 24713, 24714, 24715, 24716, 24717, 24718, 24719, 24720, 24721, 24722, 24723, 24724, 24725, 24726, 24727, 24728, 24729, 24730, 24731, 24732, 24733, 24734, 24735 ] + }, + "AXI_29_WDATA_PARITY": { + "direction": "input", + "bits": [ 24736, 24737, 24738, 24739, 24740, 24741, 24742, 24743, 24744, 24745, 24746, 24747, 24748, 24749, 24750, 24751, 24752, 24753, 24754, 24755, 24756, 24757, 24758, 24759, 24760, 24761, 24762, 24763, 24764, 24765, 24766, 24767 ] + }, + "AXI_29_WLAST": { + "direction": "input", + "bits": [ 24768 ] + }, + "AXI_29_WSTRB": { + "direction": "input", + "bits": [ 24769, 24770, 24771, 24772, 24773, 24774, 24775, 24776, 24777, 24778, 24779, 24780, 24781, 24782, 24783, 24784, 24785, 24786, 24787, 24788, 24789, 24790, 24791, 24792, 24793, 24794, 24795, 24796, 24797, 24798, 24799, 24800 ] + }, + "AXI_29_WVALID": { + "direction": "input", + "bits": [ 24801 ] + }, + "AXI_30_ACLK": { + "direction": "input", + "bits": [ 24802 ] + }, + "AXI_30_ARADDR": { + "direction": "input", + "bits": [ 24803, 24804, 24805, 24806, 24807, 24808, 24809, 24810, 24811, 24812, 24813, 24814, 24815, 24816, 24817, 24818, 24819, 24820, 24821, 24822, 24823, 24824, 24825, 24826, 24827, 24828, 24829, 24830, 24831, 24832, 24833, 24834, 24835, 24836, 24837, 24838, 24839 ] + }, + "AXI_30_ARBURST": { + "direction": "input", + "bits": [ 24840, 24841 ] + }, + "AXI_30_ARESET_N": { + "direction": "input", + "bits": [ 24842 ] + }, + "AXI_30_ARID": { + "direction": "input", + "bits": [ 24843, 24844, 24845, 24846, 24847, 24848 ] + }, + "AXI_30_ARLEN": { + "direction": "input", + "bits": [ 24849, 24850, 24851, 24852 ] + }, + "AXI_30_ARSIZE": { + "direction": "input", + "bits": [ 24853, 24854, 24855 ] + }, + "AXI_30_ARVALID": { + "direction": "input", + "bits": [ 24856 ] + }, + "AXI_30_AWADDR": { + "direction": "input", + "bits": [ 24857, 24858, 24859, 24860, 24861, 24862, 24863, 24864, 24865, 24866, 24867, 24868, 24869, 24870, 24871, 24872, 24873, 24874, 24875, 24876, 24877, 24878, 24879, 24880, 24881, 24882, 24883, 24884, 24885, 24886, 24887, 24888, 24889, 24890, 24891, 24892, 24893 ] + }, + "AXI_30_AWBURST": { + "direction": "input", + "bits": [ 24894, 24895 ] + }, + "AXI_30_AWID": { + "direction": "input", + "bits": [ 24896, 24897, 24898, 24899, 24900, 24901 ] + }, + "AXI_30_AWLEN": { + "direction": "input", + "bits": [ 24902, 24903, 24904, 24905 ] + }, + "AXI_30_AWSIZE": { + "direction": "input", + "bits": [ 24906, 24907, 24908 ] + }, + "AXI_30_AWVALID": { + "direction": "input", + "bits": [ 24909 ] + }, + "AXI_30_BREADY": { + "direction": "input", + "bits": [ 24910 ] + }, + "AXI_30_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 24911 ] + }, + "AXI_30_RREADY": { + "direction": "input", + "bits": [ 24912 ] + }, + "AXI_30_WDATA": { + "direction": "input", + "bits": [ 24913, 24914, 24915, 24916, 24917, 24918, 24919, 24920, 24921, 24922, 24923, 24924, 24925, 24926, 24927, 24928, 24929, 24930, 24931, 24932, 24933, 24934, 24935, 24936, 24937, 24938, 24939, 24940, 24941, 24942, 24943, 24944, 24945, 24946, 24947, 24948, 24949, 24950, 24951, 24952, 24953, 24954, 24955, 24956, 24957, 24958, 24959, 24960, 24961, 24962, 24963, 24964, 24965, 24966, 24967, 24968, 24969, 24970, 24971, 24972, 24973, 24974, 24975, 24976, 24977, 24978, 24979, 24980, 24981, 24982, 24983, 24984, 24985, 24986, 24987, 24988, 24989, 24990, 24991, 24992, 24993, 24994, 24995, 24996, 24997, 24998, 24999, 25000, 25001, 25002, 25003, 25004, 25005, 25006, 25007, 25008, 25009, 25010, 25011, 25012, 25013, 25014, 25015, 25016, 25017, 25018, 25019, 25020, 25021, 25022, 25023, 25024, 25025, 25026, 25027, 25028, 25029, 25030, 25031, 25032, 25033, 25034, 25035, 25036, 25037, 25038, 25039, 25040, 25041, 25042, 25043, 25044, 25045, 25046, 25047, 25048, 25049, 25050, 25051, 25052, 25053, 25054, 25055, 25056, 25057, 25058, 25059, 25060, 25061, 25062, 25063, 25064, 25065, 25066, 25067, 25068, 25069, 25070, 25071, 25072, 25073, 25074, 25075, 25076, 25077, 25078, 25079, 25080, 25081, 25082, 25083, 25084, 25085, 25086, 25087, 25088, 25089, 25090, 25091, 25092, 25093, 25094, 25095, 25096, 25097, 25098, 25099, 25100, 25101, 25102, 25103, 25104, 25105, 25106, 25107, 25108, 25109, 25110, 25111, 25112, 25113, 25114, 25115, 25116, 25117, 25118, 25119, 25120, 25121, 25122, 25123, 25124, 25125, 25126, 25127, 25128, 25129, 25130, 25131, 25132, 25133, 25134, 25135, 25136, 25137, 25138, 25139, 25140, 25141, 25142, 25143, 25144, 25145, 25146, 25147, 25148, 25149, 25150, 25151, 25152, 25153, 25154, 25155, 25156, 25157, 25158, 25159, 25160, 25161, 25162, 25163, 25164, 25165, 25166, 25167, 25168 ] + }, + "AXI_30_WDATA_PARITY": { + "direction": "input", + "bits": [ 25169, 25170, 25171, 25172, 25173, 25174, 25175, 25176, 25177, 25178, 25179, 25180, 25181, 25182, 25183, 25184, 25185, 25186, 25187, 25188, 25189, 25190, 25191, 25192, 25193, 25194, 25195, 25196, 25197, 25198, 25199, 25200 ] + }, + "AXI_30_WLAST": { + "direction": "input", + "bits": [ 25201 ] + }, + "AXI_30_WSTRB": { + "direction": "input", + "bits": [ 25202, 25203, 25204, 25205, 25206, 25207, 25208, 25209, 25210, 25211, 25212, 25213, 25214, 25215, 25216, 25217, 25218, 25219, 25220, 25221, 25222, 25223, 25224, 25225, 25226, 25227, 25228, 25229, 25230, 25231, 25232, 25233 ] + }, + "AXI_30_WVALID": { + "direction": "input", + "bits": [ 25234 ] + }, + "AXI_31_ACLK": { + "direction": "input", + "bits": [ 25235 ] + }, + "AXI_31_ARADDR": { + "direction": "input", + "bits": [ 25236, 25237, 25238, 25239, 25240, 25241, 25242, 25243, 25244, 25245, 25246, 25247, 25248, 25249, 25250, 25251, 25252, 25253, 25254, 25255, 25256, 25257, 25258, 25259, 25260, 25261, 25262, 25263, 25264, 25265, 25266, 25267, 25268, 25269, 25270, 25271, 25272 ] + }, + "AXI_31_ARBURST": { + "direction": "input", + "bits": [ 25273, 25274 ] + }, + "AXI_31_ARESET_N": { + "direction": "input", + "bits": [ 25275 ] + }, + "AXI_31_ARID": { + "direction": "input", + "bits": [ 25276, 25277, 25278, 25279, 25280, 25281 ] + }, + "AXI_31_ARLEN": { + "direction": "input", + "bits": [ 25282, 25283, 25284, 25285 ] + }, + "AXI_31_ARSIZE": { + "direction": "input", + "bits": [ 25286, 25287, 25288 ] + }, + "AXI_31_ARVALID": { + "direction": "input", + "bits": [ 25289 ] + }, + "AXI_31_AWADDR": { + "direction": "input", + "bits": [ 25290, 25291, 25292, 25293, 25294, 25295, 25296, 25297, 25298, 25299, 25300, 25301, 25302, 25303, 25304, 25305, 25306, 25307, 25308, 25309, 25310, 25311, 25312, 25313, 25314, 25315, 25316, 25317, 25318, 25319, 25320, 25321, 25322, 25323, 25324, 25325, 25326 ] + }, + "AXI_31_AWBURST": { + "direction": "input", + "bits": [ 25327, 25328 ] + }, + "AXI_31_AWID": { + "direction": "input", + "bits": [ 25329, 25330, 25331, 25332, 25333, 25334 ] + }, + "AXI_31_AWLEN": { + "direction": "input", + "bits": [ 25335, 25336, 25337, 25338 ] + }, + "AXI_31_AWSIZE": { + "direction": "input", + "bits": [ 25339, 25340, 25341 ] + }, + "AXI_31_AWVALID": { + "direction": "input", + "bits": [ 25342 ] + }, + "AXI_31_BREADY": { + "direction": "input", + "bits": [ 25343 ] + }, + "AXI_31_DFI_LP_PWR_X_REQ": { + "direction": "input", + "bits": [ 25344 ] + }, + "AXI_31_RREADY": { + "direction": "input", + "bits": [ 25345 ] + }, + "AXI_31_WDATA": { + "direction": "input", + "bits": [ 25346, 25347, 25348, 25349, 25350, 25351, 25352, 25353, 25354, 25355, 25356, 25357, 25358, 25359, 25360, 25361, 25362, 25363, 25364, 25365, 25366, 25367, 25368, 25369, 25370, 25371, 25372, 25373, 25374, 25375, 25376, 25377, 25378, 25379, 25380, 25381, 25382, 25383, 25384, 25385, 25386, 25387, 25388, 25389, 25390, 25391, 25392, 25393, 25394, 25395, 25396, 25397, 25398, 25399, 25400, 25401, 25402, 25403, 25404, 25405, 25406, 25407, 25408, 25409, 25410, 25411, 25412, 25413, 25414, 25415, 25416, 25417, 25418, 25419, 25420, 25421, 25422, 25423, 25424, 25425, 25426, 25427, 25428, 25429, 25430, 25431, 25432, 25433, 25434, 25435, 25436, 25437, 25438, 25439, 25440, 25441, 25442, 25443, 25444, 25445, 25446, 25447, 25448, 25449, 25450, 25451, 25452, 25453, 25454, 25455, 25456, 25457, 25458, 25459, 25460, 25461, 25462, 25463, 25464, 25465, 25466, 25467, 25468, 25469, 25470, 25471, 25472, 25473, 25474, 25475, 25476, 25477, 25478, 25479, 25480, 25481, 25482, 25483, 25484, 25485, 25486, 25487, 25488, 25489, 25490, 25491, 25492, 25493, 25494, 25495, 25496, 25497, 25498, 25499, 25500, 25501, 25502, 25503, 25504, 25505, 25506, 25507, 25508, 25509, 25510, 25511, 25512, 25513, 25514, 25515, 25516, 25517, 25518, 25519, 25520, 25521, 25522, 25523, 25524, 25525, 25526, 25527, 25528, 25529, 25530, 25531, 25532, 25533, 25534, 25535, 25536, 25537, 25538, 25539, 25540, 25541, 25542, 25543, 25544, 25545, 25546, 25547, 25548, 25549, 25550, 25551, 25552, 25553, 25554, 25555, 25556, 25557, 25558, 25559, 25560, 25561, 25562, 25563, 25564, 25565, 25566, 25567, 25568, 25569, 25570, 25571, 25572, 25573, 25574, 25575, 25576, 25577, 25578, 25579, 25580, 25581, 25582, 25583, 25584, 25585, 25586, 25587, 25588, 25589, 25590, 25591, 25592, 25593, 25594, 25595, 25596, 25597, 25598, 25599, 25600, 25601 ] + }, + "AXI_31_WDATA_PARITY": { + "direction": "input", + "bits": [ 25602, 25603, 25604, 25605, 25606, 25607, 25608, 25609, 25610, 25611, 25612, 25613, 25614, 25615, 25616, 25617, 25618, 25619, 25620, 25621, 25622, 25623, 25624, 25625, 25626, 25627, 25628, 25629, 25630, 25631, 25632, 25633 ] + }, + "AXI_31_WLAST": { + "direction": "input", + "bits": [ 25634 ] + }, + "AXI_31_WSTRB": { + "direction": "input", + "bits": [ 25635, 25636, 25637, 25638, 25639, 25640, 25641, 25642, 25643, 25644, 25645, 25646, 25647, 25648, 25649, 25650, 25651, 25652, 25653, 25654, 25655, 25656, 25657, 25658, 25659, 25660, 25661, 25662, 25663, 25664, 25665, 25666 ] + }, + "AXI_31_WVALID": { + "direction": "input", + "bits": [ 25667 ] + }, + "BSCAN_DRCK_0": { + "direction": "input", + "bits": [ 25668 ] + }, + "BSCAN_DRCK_1": { + "direction": "input", + "bits": [ 25669 ] + }, + "BSCAN_TCK_0": { + "direction": "input", + "bits": [ 25670 ] + }, + "BSCAN_TCK_1": { + "direction": "input", + "bits": [ 25671 ] + }, + "HBM_REF_CLK_0": { + "direction": "input", + "bits": [ 25672 ] + }, + "HBM_REF_CLK_1": { + "direction": "input", + "bits": [ 25673 ] + }, + "MBIST_EN_00": { + "direction": "input", + "bits": [ 25674 ] + }, + "MBIST_EN_01": { + "direction": "input", + "bits": [ 25675 ] + }, + "MBIST_EN_02": { + "direction": "input", + "bits": [ 25676 ] + }, + "MBIST_EN_03": { + "direction": "input", + "bits": [ 25677 ] + }, + "MBIST_EN_04": { + "direction": "input", + "bits": [ 25678 ] + }, + "MBIST_EN_05": { + "direction": "input", + "bits": [ 25679 ] + }, + "MBIST_EN_06": { + "direction": "input", + "bits": [ 25680 ] + }, + "MBIST_EN_07": { + "direction": "input", + "bits": [ 25681 ] + }, + "MBIST_EN_08": { + "direction": "input", + "bits": [ 25682 ] + }, + "MBIST_EN_09": { + "direction": "input", + "bits": [ 25683 ] + }, + "MBIST_EN_10": { + "direction": "input", + "bits": [ 25684 ] + }, + "MBIST_EN_11": { + "direction": "input", + "bits": [ 25685 ] + }, + "MBIST_EN_12": { + "direction": "input", + "bits": [ 25686 ] + }, + "MBIST_EN_13": { + "direction": "input", + "bits": [ 25687 ] + }, + "MBIST_EN_14": { + "direction": "input", + "bits": [ 25688 ] + }, + "MBIST_EN_15": { + "direction": "input", + "bits": [ 25689 ] + } + }, + "cells": { + }, + "netnames": { + "APB_0_PADDR": { + "hide_name": 0, + "bits": [ 11694, 11695, 11696, 11697, 11698, 11699, 11700, 11701, 11702, 11703, 11704, 11705, 11706, 11707, 11708, 11709, 11710, 11711, 11712, 11713, 11714, 11715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30284.18-30284.29" + } + }, + "APB_0_PCLK": { + "hide_name": 0, + "bits": [ 11716 ], + "attributes": { + "invertible_pin": "IS_APB_0_PCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30286.11-30286.21" + } + }, + "APB_0_PENABLE": { + "hide_name": 0, + "bits": [ 11717 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30287.11-30287.24" + } + }, + "APB_0_PRDATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29538.19-29538.31" + } + }, + "APB_0_PREADY": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29539.12-29539.24" + } + }, + "APB_0_PRESET_N": { + "hide_name": 0, + "bits": [ 11718 ], + "attributes": { + "invertible_pin": "IS_APB_0_PRESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30289.11-30289.25" + } + }, + "APB_0_PSEL": { + "hide_name": 0, + "bits": [ 11719 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30290.11-30290.21" + } + }, + "APB_0_PSLVERR": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29540.12-29540.25" + } + }, + "APB_0_PWDATA": { + "hide_name": 0, + "bits": [ 11720, 11721, 11722, 11723, 11724, 11725, 11726, 11727, 11728, 11729, 11730, 11731, 11732, 11733, 11734, 11735, 11736, 11737, 11738, 11739, 11740, 11741, 11742, 11743, 11744, 11745, 11746, 11747, 11748, 11749, 11750, 11751 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30291.18-30291.30" + } + }, + "APB_0_PWRITE": { + "hide_name": 0, + "bits": [ 11752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30292.11-30292.23" + } + }, + "APB_1_PADDR": { + "hide_name": 0, + "bits": [ 11753, 11754, 11755, 11756, 11757, 11758, 11759, 11760, 11761, 11762, 11763, 11764, 11765, 11766, 11767, 11768, 11769, 11770, 11771, 11772, 11773, 11774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30293.18-30293.29" + } + }, + "APB_1_PCLK": { + "hide_name": 0, + "bits": [ 11775 ], + "attributes": { + "invertible_pin": "IS_APB_1_PCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30295.11-30295.21" + } + }, + "APB_1_PENABLE": { + "hide_name": 0, + "bits": [ 11776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30296.11-30296.24" + } + }, + "APB_1_PRDATA": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29541.19-29541.31" + } + }, + "APB_1_PREADY": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29542.12-29542.24" + } + }, + "APB_1_PRESET_N": { + "hide_name": 0, + "bits": [ 11777 ], + "attributes": { + "invertible_pin": "IS_APB_1_PRESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30298.11-30298.25" + } + }, + "APB_1_PSEL": { + "hide_name": 0, + "bits": [ 11778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30299.11-30299.21" + } + }, + "APB_1_PSLVERR": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29543.12-29543.25" + } + }, + "APB_1_PWDATA": { + "hide_name": 0, + "bits": [ 11779, 11780, 11781, 11782, 11783, 11784, 11785, 11786, 11787, 11788, 11789, 11790, 11791, 11792, 11793, 11794, 11795, 11796, 11797, 11798, 11799, 11800, 11801, 11802, 11803, 11804, 11805, 11806, 11807, 11808, 11809, 11810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30300.18-30300.30" + } + }, + "APB_1_PWRITE": { + "hide_name": 0, + "bits": [ 11811 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30301.11-30301.23" + } + }, + "AXI_00_ACLK": { + "hide_name": 0, + "bits": [ 11812 ], + "attributes": { + "invertible_pin": "IS_AXI_00_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30303.11-30303.22" + } + }, + "AXI_00_ARADDR": { + "hide_name": 0, + "bits": [ 11813, 11814, 11815, 11816, 11817, 11818, 11819, 11820, 11821, 11822, 11823, 11824, 11825, 11826, 11827, 11828, 11829, 11830, 11831, 11832, 11833, 11834, 11835, 11836, 11837, 11838, 11839, 11840, 11841, 11842, 11843, 11844, 11845, 11846, 11847, 11848, 11849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30304.18-30304.31" + } + }, + "AXI_00_ARBURST": { + "hide_name": 0, + "bits": [ 11850, 11851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30305.17-30305.31" + } + }, + "AXI_00_ARESET_N": { + "hide_name": 0, + "bits": [ 11852 ], + "attributes": { + "invertible_pin": "IS_AXI_00_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30307.11-30307.26" + } + }, + "AXI_00_ARID": { + "hide_name": 0, + "bits": [ 11853, 11854, 11855, 11856, 11857, 11858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30308.17-30308.28" + } + }, + "AXI_00_ARLEN": { + "hide_name": 0, + "bits": [ 11859, 11860, 11861, 11862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30309.17-30309.29" + } + }, + "AXI_00_ARREADY": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29544.12-29544.26" + } + }, + "AXI_00_ARSIZE": { + "hide_name": 0, + "bits": [ 11863, 11864, 11865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30310.17-30310.30" + } + }, + "AXI_00_ARVALID": { + "hide_name": 0, + "bits": [ 11866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30311.11-30311.25" + } + }, + "AXI_00_AWADDR": { + "hide_name": 0, + "bits": [ 11867, 11868, 11869, 11870, 11871, 11872, 11873, 11874, 11875, 11876, 11877, 11878, 11879, 11880, 11881, 11882, 11883, 11884, 11885, 11886, 11887, 11888, 11889, 11890, 11891, 11892, 11893, 11894, 11895, 11896, 11897, 11898, 11899, 11900, 11901, 11902, 11903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30312.18-30312.31" + } + }, + "AXI_00_AWBURST": { + "hide_name": 0, + "bits": [ 11904, 11905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30313.17-30313.31" + } + }, + "AXI_00_AWID": { + "hide_name": 0, + "bits": [ 11906, 11907, 11908, 11909, 11910, 11911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30314.17-30314.28" + } + }, + "AXI_00_AWLEN": { + "hide_name": 0, + "bits": [ 11912, 11913, 11914, 11915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30315.17-30315.29" + } + }, + "AXI_00_AWREADY": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29545.12-29545.26" + } + }, + "AXI_00_AWSIZE": { + "hide_name": 0, + "bits": [ 11916, 11917, 11918 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30316.17-30316.30" + } + }, + "AXI_00_AWVALID": { + "hide_name": 0, + "bits": [ 11919 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30317.11-30317.25" + } + }, + "AXI_00_BID": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29546.18-29546.28" + } + }, + "AXI_00_BREADY": { + "hide_name": 0, + "bits": [ 11920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30318.11-30318.24" + } + }, + "AXI_00_BRESP": { + "hide_name": 0, + "bits": [ 78, 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29547.18-29547.30" + } + }, + "AXI_00_BVALID": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29548.12-29548.25" + } + }, + "AXI_00_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 81, 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29549.18-29549.38" + } + }, + "AXI_00_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29550.12-29550.30" + } + }, + "AXI_00_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29551.18-29551.45" + } + }, + "AXI_00_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29552.19-29552.43" + } + }, + "AXI_00_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 113, 114, 115, 116, 117, 118, 119, 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29553.18-29553.43" + } + }, + "AXI_00_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 121, 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29554.18-29554.44" + } + }, + "AXI_00_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29555.12-29555.36" + } + }, + "AXI_00_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 11921 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30319.11-30319.34" + } + }, + "AXI_00_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29556.12-29556.33" + } + }, + "AXI_00_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29557.12-29557.35" + } + }, + "AXI_00_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29558.12-29558.32" + } + }, + "AXI_00_MC_STATUS": { + "hide_name": 0, + "bits": [ 127, 128, 129, 130, 131, 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29559.18-29559.34" + } + }, + "AXI_00_PHY_STATUS": { + "hide_name": 0, + "bits": [ 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29560.18-29560.35" + } + }, + "AXI_00_RDATA": { + "hide_name": 0, + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29561.20-29561.32" + } + }, + "AXI_00_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29562.19-29562.38" + } + }, + "AXI_00_RID": { + "hide_name": 0, + "bits": [ 429, 430, 431, 432, 433, 434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29563.18-29563.28" + } + }, + "AXI_00_RLAST": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29564.12-29564.24" + } + }, + "AXI_00_RREADY": { + "hide_name": 0, + "bits": [ 11922 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30320.11-30320.24" + } + }, + "AXI_00_RRESP": { + "hide_name": 0, + "bits": [ 436, 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29565.18-29565.30" + } + }, + "AXI_00_RVALID": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29566.12-29566.25" + } + }, + "AXI_00_WDATA": { + "hide_name": 0, + "bits": [ 11923, 11924, 11925, 11926, 11927, 11928, 11929, 11930, 11931, 11932, 11933, 11934, 11935, 11936, 11937, 11938, 11939, 11940, 11941, 11942, 11943, 11944, 11945, 11946, 11947, 11948, 11949, 11950, 11951, 11952, 11953, 11954, 11955, 11956, 11957, 11958, 11959, 11960, 11961, 11962, 11963, 11964, 11965, 11966, 11967, 11968, 11969, 11970, 11971, 11972, 11973, 11974, 11975, 11976, 11977, 11978, 11979, 11980, 11981, 11982, 11983, 11984, 11985, 11986, 11987, 11988, 11989, 11990, 11991, 11992, 11993, 11994, 11995, 11996, 11997, 11998, 11999, 12000, 12001, 12002, 12003, 12004, 12005, 12006, 12007, 12008, 12009, 12010, 12011, 12012, 12013, 12014, 12015, 12016, 12017, 12018, 12019, 12020, 12021, 12022, 12023, 12024, 12025, 12026, 12027, 12028, 12029, 12030, 12031, 12032, 12033, 12034, 12035, 12036, 12037, 12038, 12039, 12040, 12041, 12042, 12043, 12044, 12045, 12046, 12047, 12048, 12049, 12050, 12051, 12052, 12053, 12054, 12055, 12056, 12057, 12058, 12059, 12060, 12061, 12062, 12063, 12064, 12065, 12066, 12067, 12068, 12069, 12070, 12071, 12072, 12073, 12074, 12075, 12076, 12077, 12078, 12079, 12080, 12081, 12082, 12083, 12084, 12085, 12086, 12087, 12088, 12089, 12090, 12091, 12092, 12093, 12094, 12095, 12096, 12097, 12098, 12099, 12100, 12101, 12102, 12103, 12104, 12105, 12106, 12107, 12108, 12109, 12110, 12111, 12112, 12113, 12114, 12115, 12116, 12117, 12118, 12119, 12120, 12121, 12122, 12123, 12124, 12125, 12126, 12127, 12128, 12129, 12130, 12131, 12132, 12133, 12134, 12135, 12136, 12137, 12138, 12139, 12140, 12141, 12142, 12143, 12144, 12145, 12146, 12147, 12148, 12149, 12150, 12151, 12152, 12153, 12154, 12155, 12156, 12157, 12158, 12159, 12160, 12161, 12162, 12163, 12164, 12165, 12166, 12167, 12168, 12169, 12170, 12171, 12172, 12173, 12174, 12175, 12176, 12177, 12178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30321.19-30321.31" + } + }, + "AXI_00_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 12179, 12180, 12181, 12182, 12183, 12184, 12185, 12186, 12187, 12188, 12189, 12190, 12191, 12192, 12193, 12194, 12195, 12196, 12197, 12198, 12199, 12200, 12201, 12202, 12203, 12204, 12205, 12206, 12207, 12208, 12209, 12210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30322.18-30322.37" + } + }, + "AXI_00_WLAST": { + "hide_name": 0, + "bits": [ 12211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30323.11-30323.23" + } + }, + "AXI_00_WREADY": { + "hide_name": 0, + "bits": [ 439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29567.12-29567.25" + } + }, + "AXI_00_WSTRB": { + "hide_name": 0, + "bits": [ 12212, 12213, 12214, 12215, 12216, 12217, 12218, 12219, 12220, 12221, 12222, 12223, 12224, 12225, 12226, 12227, 12228, 12229, 12230, 12231, 12232, 12233, 12234, 12235, 12236, 12237, 12238, 12239, 12240, 12241, 12242, 12243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30324.18-30324.30" + } + }, + "AXI_00_WVALID": { + "hide_name": 0, + "bits": [ 12244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30325.11-30325.24" + } + }, + "AXI_01_ACLK": { + "hide_name": 0, + "bits": [ 12245 ], + "attributes": { + "invertible_pin": "IS_AXI_01_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30327.11-30327.22" + } + }, + "AXI_01_ARADDR": { + "hide_name": 0, + "bits": [ 12246, 12247, 12248, 12249, 12250, 12251, 12252, 12253, 12254, 12255, 12256, 12257, 12258, 12259, 12260, 12261, 12262, 12263, 12264, 12265, 12266, 12267, 12268, 12269, 12270, 12271, 12272, 12273, 12274, 12275, 12276, 12277, 12278, 12279, 12280, 12281, 12282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30328.18-30328.31" + } + }, + "AXI_01_ARBURST": { + "hide_name": 0, + "bits": [ 12283, 12284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30329.17-30329.31" + } + }, + "AXI_01_ARESET_N": { + "hide_name": 0, + "bits": [ 12285 ], + "attributes": { + "invertible_pin": "IS_AXI_01_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30331.11-30331.26" + } + }, + "AXI_01_ARID": { + "hide_name": 0, + "bits": [ 12286, 12287, 12288, 12289, 12290, 12291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30332.17-30332.28" + } + }, + "AXI_01_ARLEN": { + "hide_name": 0, + "bits": [ 12292, 12293, 12294, 12295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30333.17-30333.29" + } + }, + "AXI_01_ARREADY": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29568.12-29568.26" + } + }, + "AXI_01_ARSIZE": { + "hide_name": 0, + "bits": [ 12296, 12297, 12298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30334.17-30334.30" + } + }, + "AXI_01_ARVALID": { + "hide_name": 0, + "bits": [ 12299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30335.11-30335.25" + } + }, + "AXI_01_AWADDR": { + "hide_name": 0, + "bits": [ 12300, 12301, 12302, 12303, 12304, 12305, 12306, 12307, 12308, 12309, 12310, 12311, 12312, 12313, 12314, 12315, 12316, 12317, 12318, 12319, 12320, 12321, 12322, 12323, 12324, 12325, 12326, 12327, 12328, 12329, 12330, 12331, 12332, 12333, 12334, 12335, 12336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30336.18-30336.31" + } + }, + "AXI_01_AWBURST": { + "hide_name": 0, + "bits": [ 12337, 12338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30337.17-30337.31" + } + }, + "AXI_01_AWID": { + "hide_name": 0, + "bits": [ 12339, 12340, 12341, 12342, 12343, 12344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30338.17-30338.28" + } + }, + "AXI_01_AWLEN": { + "hide_name": 0, + "bits": [ 12345, 12346, 12347, 12348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30339.17-30339.29" + } + }, + "AXI_01_AWREADY": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29569.12-29569.26" + } + }, + "AXI_01_AWSIZE": { + "hide_name": 0, + "bits": [ 12349, 12350, 12351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30340.17-30340.30" + } + }, + "AXI_01_AWVALID": { + "hide_name": 0, + "bits": [ 12352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30341.11-30341.25" + } + }, + "AXI_01_BID": { + "hide_name": 0, + "bits": [ 442, 443, 444, 445, 446, 447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29570.18-29570.28" + } + }, + "AXI_01_BREADY": { + "hide_name": 0, + "bits": [ 12353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30342.11-30342.24" + } + }, + "AXI_01_BRESP": { + "hide_name": 0, + "bits": [ 448, 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29571.18-29571.30" + } + }, + "AXI_01_BVALID": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29572.12-29572.25" + } + }, + "AXI_01_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 451, 452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29573.18-29573.38" + } + }, + "AXI_01_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29574.12-29574.30" + } + }, + "AXI_01_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29575.18-29575.45" + } + }, + "AXI_01_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29576.19-29576.43" + } + }, + "AXI_01_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 483, 484, 485, 486, 487, 488, 489, 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29577.18-29577.43" + } + }, + "AXI_01_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 491, 492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29578.18-29578.44" + } + }, + "AXI_01_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29579.12-29579.36" + } + }, + "AXI_01_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 12354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30343.11-30343.34" + } + }, + "AXI_01_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29580.12-29580.33" + } + }, + "AXI_01_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29581.12-29581.35" + } + }, + "AXI_01_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29582.12-29582.32" + } + }, + "AXI_01_RDATA": { + "hide_name": 0, + "bits": [ 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29583.20-29583.32" + } + }, + "AXI_01_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29584.19-29584.38" + } + }, + "AXI_01_RID": { + "hide_name": 0, + "bits": [ 785, 786, 787, 788, 789, 790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29585.18-29585.28" + } + }, + "AXI_01_RLAST": { + "hide_name": 0, + "bits": [ 791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29586.12-29586.24" + } + }, + "AXI_01_RREADY": { + "hide_name": 0, + "bits": [ 12355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30344.11-30344.24" + } + }, + "AXI_01_RRESP": { + "hide_name": 0, + "bits": [ 792, 793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29587.18-29587.30" + } + }, + "AXI_01_RVALID": { + "hide_name": 0, + "bits": [ 794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29588.12-29588.25" + } + }, + "AXI_01_WDATA": { + "hide_name": 0, + "bits": [ 12356, 12357, 12358, 12359, 12360, 12361, 12362, 12363, 12364, 12365, 12366, 12367, 12368, 12369, 12370, 12371, 12372, 12373, 12374, 12375, 12376, 12377, 12378, 12379, 12380, 12381, 12382, 12383, 12384, 12385, 12386, 12387, 12388, 12389, 12390, 12391, 12392, 12393, 12394, 12395, 12396, 12397, 12398, 12399, 12400, 12401, 12402, 12403, 12404, 12405, 12406, 12407, 12408, 12409, 12410, 12411, 12412, 12413, 12414, 12415, 12416, 12417, 12418, 12419, 12420, 12421, 12422, 12423, 12424, 12425, 12426, 12427, 12428, 12429, 12430, 12431, 12432, 12433, 12434, 12435, 12436, 12437, 12438, 12439, 12440, 12441, 12442, 12443, 12444, 12445, 12446, 12447, 12448, 12449, 12450, 12451, 12452, 12453, 12454, 12455, 12456, 12457, 12458, 12459, 12460, 12461, 12462, 12463, 12464, 12465, 12466, 12467, 12468, 12469, 12470, 12471, 12472, 12473, 12474, 12475, 12476, 12477, 12478, 12479, 12480, 12481, 12482, 12483, 12484, 12485, 12486, 12487, 12488, 12489, 12490, 12491, 12492, 12493, 12494, 12495, 12496, 12497, 12498, 12499, 12500, 12501, 12502, 12503, 12504, 12505, 12506, 12507, 12508, 12509, 12510, 12511, 12512, 12513, 12514, 12515, 12516, 12517, 12518, 12519, 12520, 12521, 12522, 12523, 12524, 12525, 12526, 12527, 12528, 12529, 12530, 12531, 12532, 12533, 12534, 12535, 12536, 12537, 12538, 12539, 12540, 12541, 12542, 12543, 12544, 12545, 12546, 12547, 12548, 12549, 12550, 12551, 12552, 12553, 12554, 12555, 12556, 12557, 12558, 12559, 12560, 12561, 12562, 12563, 12564, 12565, 12566, 12567, 12568, 12569, 12570, 12571, 12572, 12573, 12574, 12575, 12576, 12577, 12578, 12579, 12580, 12581, 12582, 12583, 12584, 12585, 12586, 12587, 12588, 12589, 12590, 12591, 12592, 12593, 12594, 12595, 12596, 12597, 12598, 12599, 12600, 12601, 12602, 12603, 12604, 12605, 12606, 12607, 12608, 12609, 12610, 12611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30345.19-30345.31" + } + }, + "AXI_01_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 12612, 12613, 12614, 12615, 12616, 12617, 12618, 12619, 12620, 12621, 12622, 12623, 12624, 12625, 12626, 12627, 12628, 12629, 12630, 12631, 12632, 12633, 12634, 12635, 12636, 12637, 12638, 12639, 12640, 12641, 12642, 12643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30346.18-30346.37" + } + }, + "AXI_01_WLAST": { + "hide_name": 0, + "bits": [ 12644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30347.11-30347.23" + } + }, + "AXI_01_WREADY": { + "hide_name": 0, + "bits": [ 795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29589.12-29589.25" + } + }, + "AXI_01_WSTRB": { + "hide_name": 0, + "bits": [ 12645, 12646, 12647, 12648, 12649, 12650, 12651, 12652, 12653, 12654, 12655, 12656, 12657, 12658, 12659, 12660, 12661, 12662, 12663, 12664, 12665, 12666, 12667, 12668, 12669, 12670, 12671, 12672, 12673, 12674, 12675, 12676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30348.18-30348.30" + } + }, + "AXI_01_WVALID": { + "hide_name": 0, + "bits": [ 12677 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30349.11-30349.24" + } + }, + "AXI_02_ACLK": { + "hide_name": 0, + "bits": [ 12678 ], + "attributes": { + "invertible_pin": "IS_AXI_02_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30351.11-30351.22" + } + }, + "AXI_02_ARADDR": { + "hide_name": 0, + "bits": [ 12679, 12680, 12681, 12682, 12683, 12684, 12685, 12686, 12687, 12688, 12689, 12690, 12691, 12692, 12693, 12694, 12695, 12696, 12697, 12698, 12699, 12700, 12701, 12702, 12703, 12704, 12705, 12706, 12707, 12708, 12709, 12710, 12711, 12712, 12713, 12714, 12715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30352.18-30352.31" + } + }, + "AXI_02_ARBURST": { + "hide_name": 0, + "bits": [ 12716, 12717 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30353.17-30353.31" + } + }, + "AXI_02_ARESET_N": { + "hide_name": 0, + "bits": [ 12718 ], + "attributes": { + "invertible_pin": "IS_AXI_02_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30355.11-30355.26" + } + }, + "AXI_02_ARID": { + "hide_name": 0, + "bits": [ 12719, 12720, 12721, 12722, 12723, 12724 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30356.17-30356.28" + } + }, + "AXI_02_ARLEN": { + "hide_name": 0, + "bits": [ 12725, 12726, 12727, 12728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30357.17-30357.29" + } + }, + "AXI_02_ARREADY": { + "hide_name": 0, + "bits": [ 796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29590.12-29590.26" + } + }, + "AXI_02_ARSIZE": { + "hide_name": 0, + "bits": [ 12729, 12730, 12731 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30358.17-30358.30" + } + }, + "AXI_02_ARVALID": { + "hide_name": 0, + "bits": [ 12732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30359.11-30359.25" + } + }, + "AXI_02_AWADDR": { + "hide_name": 0, + "bits": [ 12733, 12734, 12735, 12736, 12737, 12738, 12739, 12740, 12741, 12742, 12743, 12744, 12745, 12746, 12747, 12748, 12749, 12750, 12751, 12752, 12753, 12754, 12755, 12756, 12757, 12758, 12759, 12760, 12761, 12762, 12763, 12764, 12765, 12766, 12767, 12768, 12769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30360.18-30360.31" + } + }, + "AXI_02_AWBURST": { + "hide_name": 0, + "bits": [ 12770, 12771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30361.17-30361.31" + } + }, + "AXI_02_AWID": { + "hide_name": 0, + "bits": [ 12772, 12773, 12774, 12775, 12776, 12777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30362.17-30362.28" + } + }, + "AXI_02_AWLEN": { + "hide_name": 0, + "bits": [ 12778, 12779, 12780, 12781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30363.17-30363.29" + } + }, + "AXI_02_AWREADY": { + "hide_name": 0, + "bits": [ 797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29591.12-29591.26" + } + }, + "AXI_02_AWSIZE": { + "hide_name": 0, + "bits": [ 12782, 12783, 12784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30364.17-30364.30" + } + }, + "AXI_02_AWVALID": { + "hide_name": 0, + "bits": [ 12785 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30365.11-30365.25" + } + }, + "AXI_02_BID": { + "hide_name": 0, + "bits": [ 798, 799, 800, 801, 802, 803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29592.18-29592.28" + } + }, + "AXI_02_BREADY": { + "hide_name": 0, + "bits": [ 12786 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30366.11-30366.24" + } + }, + "AXI_02_BRESP": { + "hide_name": 0, + "bits": [ 804, 805 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29593.18-29593.30" + } + }, + "AXI_02_BVALID": { + "hide_name": 0, + "bits": [ 806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29594.12-29594.25" + } + }, + "AXI_02_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 807, 808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29595.18-29595.38" + } + }, + "AXI_02_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 809 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29596.12-29596.30" + } + }, + "AXI_02_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 810, 811, 812, 813, 814, 815, 816, 817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29597.18-29597.45" + } + }, + "AXI_02_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29598.19-29598.43" + } + }, + "AXI_02_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 839, 840, 841, 842, 843, 844, 845, 846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29599.18-29599.43" + } + }, + "AXI_02_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 847, 848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29600.18-29600.44" + } + }, + "AXI_02_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29601.12-29601.36" + } + }, + "AXI_02_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 12787 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30367.11-30367.34" + } + }, + "AXI_02_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29602.12-29602.33" + } + }, + "AXI_02_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29603.12-29603.35" + } + }, + "AXI_02_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29604.12-29604.32" + } + }, + "AXI_02_MC_STATUS": { + "hide_name": 0, + "bits": [ 853, 854, 855, 856, 857, 858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29605.18-29605.34" + } + }, + "AXI_02_PHY_STATUS": { + "hide_name": 0, + "bits": [ 859, 860, 861, 862, 863, 864, 865, 866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29606.18-29606.35" + } + }, + "AXI_02_RDATA": { + "hide_name": 0, + "bits": [ 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29607.20-29607.32" + } + }, + "AXI_02_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29608.19-29608.38" + } + }, + "AXI_02_RID": { + "hide_name": 0, + "bits": [ 1155, 1156, 1157, 1158, 1159, 1160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29609.18-29609.28" + } + }, + "AXI_02_RLAST": { + "hide_name": 0, + "bits": [ 1161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29610.12-29610.24" + } + }, + "AXI_02_RREADY": { + "hide_name": 0, + "bits": [ 12788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30368.11-30368.24" + } + }, + "AXI_02_RRESP": { + "hide_name": 0, + "bits": [ 1162, 1163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29611.18-29611.30" + } + }, + "AXI_02_RVALID": { + "hide_name": 0, + "bits": [ 1164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29612.12-29612.25" + } + }, + "AXI_02_WDATA": { + "hide_name": 0, + "bits": [ 12789, 12790, 12791, 12792, 12793, 12794, 12795, 12796, 12797, 12798, 12799, 12800, 12801, 12802, 12803, 12804, 12805, 12806, 12807, 12808, 12809, 12810, 12811, 12812, 12813, 12814, 12815, 12816, 12817, 12818, 12819, 12820, 12821, 12822, 12823, 12824, 12825, 12826, 12827, 12828, 12829, 12830, 12831, 12832, 12833, 12834, 12835, 12836, 12837, 12838, 12839, 12840, 12841, 12842, 12843, 12844, 12845, 12846, 12847, 12848, 12849, 12850, 12851, 12852, 12853, 12854, 12855, 12856, 12857, 12858, 12859, 12860, 12861, 12862, 12863, 12864, 12865, 12866, 12867, 12868, 12869, 12870, 12871, 12872, 12873, 12874, 12875, 12876, 12877, 12878, 12879, 12880, 12881, 12882, 12883, 12884, 12885, 12886, 12887, 12888, 12889, 12890, 12891, 12892, 12893, 12894, 12895, 12896, 12897, 12898, 12899, 12900, 12901, 12902, 12903, 12904, 12905, 12906, 12907, 12908, 12909, 12910, 12911, 12912, 12913, 12914, 12915, 12916, 12917, 12918, 12919, 12920, 12921, 12922, 12923, 12924, 12925, 12926, 12927, 12928, 12929, 12930, 12931, 12932, 12933, 12934, 12935, 12936, 12937, 12938, 12939, 12940, 12941, 12942, 12943, 12944, 12945, 12946, 12947, 12948, 12949, 12950, 12951, 12952, 12953, 12954, 12955, 12956, 12957, 12958, 12959, 12960, 12961, 12962, 12963, 12964, 12965, 12966, 12967, 12968, 12969, 12970, 12971, 12972, 12973, 12974, 12975, 12976, 12977, 12978, 12979, 12980, 12981, 12982, 12983, 12984, 12985, 12986, 12987, 12988, 12989, 12990, 12991, 12992, 12993, 12994, 12995, 12996, 12997, 12998, 12999, 13000, 13001, 13002, 13003, 13004, 13005, 13006, 13007, 13008, 13009, 13010, 13011, 13012, 13013, 13014, 13015, 13016, 13017, 13018, 13019, 13020, 13021, 13022, 13023, 13024, 13025, 13026, 13027, 13028, 13029, 13030, 13031, 13032, 13033, 13034, 13035, 13036, 13037, 13038, 13039, 13040, 13041, 13042, 13043, 13044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30369.19-30369.31" + } + }, + "AXI_02_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 13045, 13046, 13047, 13048, 13049, 13050, 13051, 13052, 13053, 13054, 13055, 13056, 13057, 13058, 13059, 13060, 13061, 13062, 13063, 13064, 13065, 13066, 13067, 13068, 13069, 13070, 13071, 13072, 13073, 13074, 13075, 13076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30370.18-30370.37" + } + }, + "AXI_02_WLAST": { + "hide_name": 0, + "bits": [ 13077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30371.11-30371.23" + } + }, + "AXI_02_WREADY": { + "hide_name": 0, + "bits": [ 1165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29613.12-29613.25" + } + }, + "AXI_02_WSTRB": { + "hide_name": 0, + "bits": [ 13078, 13079, 13080, 13081, 13082, 13083, 13084, 13085, 13086, 13087, 13088, 13089, 13090, 13091, 13092, 13093, 13094, 13095, 13096, 13097, 13098, 13099, 13100, 13101, 13102, 13103, 13104, 13105, 13106, 13107, 13108, 13109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30372.18-30372.30" + } + }, + "AXI_02_WVALID": { + "hide_name": 0, + "bits": [ 13110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30373.11-30373.24" + } + }, + "AXI_03_ACLK": { + "hide_name": 0, + "bits": [ 13111 ], + "attributes": { + "invertible_pin": "IS_AXI_03_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30375.11-30375.22" + } + }, + "AXI_03_ARADDR": { + "hide_name": 0, + "bits": [ 13112, 13113, 13114, 13115, 13116, 13117, 13118, 13119, 13120, 13121, 13122, 13123, 13124, 13125, 13126, 13127, 13128, 13129, 13130, 13131, 13132, 13133, 13134, 13135, 13136, 13137, 13138, 13139, 13140, 13141, 13142, 13143, 13144, 13145, 13146, 13147, 13148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30376.18-30376.31" + } + }, + "AXI_03_ARBURST": { + "hide_name": 0, + "bits": [ 13149, 13150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30377.17-30377.31" + } + }, + "AXI_03_ARESET_N": { + "hide_name": 0, + "bits": [ 13151 ], + "attributes": { + "invertible_pin": "IS_AXI_03_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30379.11-30379.26" + } + }, + "AXI_03_ARID": { + "hide_name": 0, + "bits": [ 13152, 13153, 13154, 13155, 13156, 13157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30380.17-30380.28" + } + }, + "AXI_03_ARLEN": { + "hide_name": 0, + "bits": [ 13158, 13159, 13160, 13161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30381.17-30381.29" + } + }, + "AXI_03_ARREADY": { + "hide_name": 0, + "bits": [ 1166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29614.12-29614.26" + } + }, + "AXI_03_ARSIZE": { + "hide_name": 0, + "bits": [ 13162, 13163, 13164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30382.17-30382.30" + } + }, + "AXI_03_ARVALID": { + "hide_name": 0, + "bits": [ 13165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30383.11-30383.25" + } + }, + "AXI_03_AWADDR": { + "hide_name": 0, + "bits": [ 13166, 13167, 13168, 13169, 13170, 13171, 13172, 13173, 13174, 13175, 13176, 13177, 13178, 13179, 13180, 13181, 13182, 13183, 13184, 13185, 13186, 13187, 13188, 13189, 13190, 13191, 13192, 13193, 13194, 13195, 13196, 13197, 13198, 13199, 13200, 13201, 13202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30384.18-30384.31" + } + }, + "AXI_03_AWBURST": { + "hide_name": 0, + "bits": [ 13203, 13204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30385.17-30385.31" + } + }, + "AXI_03_AWID": { + "hide_name": 0, + "bits": [ 13205, 13206, 13207, 13208, 13209, 13210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30386.17-30386.28" + } + }, + "AXI_03_AWLEN": { + "hide_name": 0, + "bits": [ 13211, 13212, 13213, 13214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30387.17-30387.29" + } + }, + "AXI_03_AWREADY": { + "hide_name": 0, + "bits": [ 1167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29615.12-29615.26" + } + }, + "AXI_03_AWSIZE": { + "hide_name": 0, + "bits": [ 13215, 13216, 13217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30388.17-30388.30" + } + }, + "AXI_03_AWVALID": { + "hide_name": 0, + "bits": [ 13218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30389.11-30389.25" + } + }, + "AXI_03_BID": { + "hide_name": 0, + "bits": [ 1168, 1169, 1170, 1171, 1172, 1173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29616.18-29616.28" + } + }, + "AXI_03_BREADY": { + "hide_name": 0, + "bits": [ 13219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30390.11-30390.24" + } + }, + "AXI_03_BRESP": { + "hide_name": 0, + "bits": [ 1174, 1175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29617.18-29617.30" + } + }, + "AXI_03_BVALID": { + "hide_name": 0, + "bits": [ 1176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29618.12-29618.25" + } + }, + "AXI_03_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 1177, 1178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29619.18-29619.38" + } + }, + "AXI_03_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 1179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29620.12-29620.30" + } + }, + "AXI_03_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29621.18-29621.45" + } + }, + "AXI_03_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29622.19-29622.43" + } + }, + "AXI_03_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29623.18-29623.43" + } + }, + "AXI_03_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 1217, 1218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29624.18-29624.44" + } + }, + "AXI_03_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 1219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29625.12-29625.36" + } + }, + "AXI_03_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 13220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30391.11-30391.34" + } + }, + "AXI_03_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 1220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29626.12-29626.33" + } + }, + "AXI_03_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 1221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29627.12-29627.35" + } + }, + "AXI_03_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 1222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29628.12-29628.32" + } + }, + "AXI_03_RDATA": { + "hide_name": 0, + "bits": [ 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29629.20-29629.32" + } + }, + "AXI_03_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29630.19-29630.38" + } + }, + "AXI_03_RID": { + "hide_name": 0, + "bits": [ 1511, 1512, 1513, 1514, 1515, 1516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29631.18-29631.28" + } + }, + "AXI_03_RLAST": { + "hide_name": 0, + "bits": [ 1517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29632.12-29632.24" + } + }, + "AXI_03_RREADY": { + "hide_name": 0, + "bits": [ 13221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30392.11-30392.24" + } + }, + "AXI_03_RRESP": { + "hide_name": 0, + "bits": [ 1518, 1519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29633.18-29633.30" + } + }, + "AXI_03_RVALID": { + "hide_name": 0, + "bits": [ 1520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29634.12-29634.25" + } + }, + "AXI_03_WDATA": { + "hide_name": 0, + "bits": [ 13222, 13223, 13224, 13225, 13226, 13227, 13228, 13229, 13230, 13231, 13232, 13233, 13234, 13235, 13236, 13237, 13238, 13239, 13240, 13241, 13242, 13243, 13244, 13245, 13246, 13247, 13248, 13249, 13250, 13251, 13252, 13253, 13254, 13255, 13256, 13257, 13258, 13259, 13260, 13261, 13262, 13263, 13264, 13265, 13266, 13267, 13268, 13269, 13270, 13271, 13272, 13273, 13274, 13275, 13276, 13277, 13278, 13279, 13280, 13281, 13282, 13283, 13284, 13285, 13286, 13287, 13288, 13289, 13290, 13291, 13292, 13293, 13294, 13295, 13296, 13297, 13298, 13299, 13300, 13301, 13302, 13303, 13304, 13305, 13306, 13307, 13308, 13309, 13310, 13311, 13312, 13313, 13314, 13315, 13316, 13317, 13318, 13319, 13320, 13321, 13322, 13323, 13324, 13325, 13326, 13327, 13328, 13329, 13330, 13331, 13332, 13333, 13334, 13335, 13336, 13337, 13338, 13339, 13340, 13341, 13342, 13343, 13344, 13345, 13346, 13347, 13348, 13349, 13350, 13351, 13352, 13353, 13354, 13355, 13356, 13357, 13358, 13359, 13360, 13361, 13362, 13363, 13364, 13365, 13366, 13367, 13368, 13369, 13370, 13371, 13372, 13373, 13374, 13375, 13376, 13377, 13378, 13379, 13380, 13381, 13382, 13383, 13384, 13385, 13386, 13387, 13388, 13389, 13390, 13391, 13392, 13393, 13394, 13395, 13396, 13397, 13398, 13399, 13400, 13401, 13402, 13403, 13404, 13405, 13406, 13407, 13408, 13409, 13410, 13411, 13412, 13413, 13414, 13415, 13416, 13417, 13418, 13419, 13420, 13421, 13422, 13423, 13424, 13425, 13426, 13427, 13428, 13429, 13430, 13431, 13432, 13433, 13434, 13435, 13436, 13437, 13438, 13439, 13440, 13441, 13442, 13443, 13444, 13445, 13446, 13447, 13448, 13449, 13450, 13451, 13452, 13453, 13454, 13455, 13456, 13457, 13458, 13459, 13460, 13461, 13462, 13463, 13464, 13465, 13466, 13467, 13468, 13469, 13470, 13471, 13472, 13473, 13474, 13475, 13476, 13477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30393.19-30393.31" + } + }, + "AXI_03_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 13478, 13479, 13480, 13481, 13482, 13483, 13484, 13485, 13486, 13487, 13488, 13489, 13490, 13491, 13492, 13493, 13494, 13495, 13496, 13497, 13498, 13499, 13500, 13501, 13502, 13503, 13504, 13505, 13506, 13507, 13508, 13509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30394.18-30394.37" + } + }, + "AXI_03_WLAST": { + "hide_name": 0, + "bits": [ 13510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30395.11-30395.23" + } + }, + "AXI_03_WREADY": { + "hide_name": 0, + "bits": [ 1521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29635.12-29635.25" + } + }, + "AXI_03_WSTRB": { + "hide_name": 0, + "bits": [ 13511, 13512, 13513, 13514, 13515, 13516, 13517, 13518, 13519, 13520, 13521, 13522, 13523, 13524, 13525, 13526, 13527, 13528, 13529, 13530, 13531, 13532, 13533, 13534, 13535, 13536, 13537, 13538, 13539, 13540, 13541, 13542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30396.18-30396.30" + } + }, + "AXI_03_WVALID": { + "hide_name": 0, + "bits": [ 13543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30397.11-30397.24" + } + }, + "AXI_04_ACLK": { + "hide_name": 0, + "bits": [ 13544 ], + "attributes": { + "invertible_pin": "IS_AXI_04_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30399.11-30399.22" + } + }, + "AXI_04_ARADDR": { + "hide_name": 0, + "bits": [ 13545, 13546, 13547, 13548, 13549, 13550, 13551, 13552, 13553, 13554, 13555, 13556, 13557, 13558, 13559, 13560, 13561, 13562, 13563, 13564, 13565, 13566, 13567, 13568, 13569, 13570, 13571, 13572, 13573, 13574, 13575, 13576, 13577, 13578, 13579, 13580, 13581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30400.18-30400.31" + } + }, + "AXI_04_ARBURST": { + "hide_name": 0, + "bits": [ 13582, 13583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30401.17-30401.31" + } + }, + "AXI_04_ARESET_N": { + "hide_name": 0, + "bits": [ 13584 ], + "attributes": { + "invertible_pin": "IS_AXI_04_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30403.11-30403.26" + } + }, + "AXI_04_ARID": { + "hide_name": 0, + "bits": [ 13585, 13586, 13587, 13588, 13589, 13590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30404.17-30404.28" + } + }, + "AXI_04_ARLEN": { + "hide_name": 0, + "bits": [ 13591, 13592, 13593, 13594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30405.17-30405.29" + } + }, + "AXI_04_ARREADY": { + "hide_name": 0, + "bits": [ 1522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29636.12-29636.26" + } + }, + "AXI_04_ARSIZE": { + "hide_name": 0, + "bits": [ 13595, 13596, 13597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30406.17-30406.30" + } + }, + "AXI_04_ARVALID": { + "hide_name": 0, + "bits": [ 13598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30407.11-30407.25" + } + }, + "AXI_04_AWADDR": { + "hide_name": 0, + "bits": [ 13599, 13600, 13601, 13602, 13603, 13604, 13605, 13606, 13607, 13608, 13609, 13610, 13611, 13612, 13613, 13614, 13615, 13616, 13617, 13618, 13619, 13620, 13621, 13622, 13623, 13624, 13625, 13626, 13627, 13628, 13629, 13630, 13631, 13632, 13633, 13634, 13635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30408.18-30408.31" + } + }, + "AXI_04_AWBURST": { + "hide_name": 0, + "bits": [ 13636, 13637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30409.17-30409.31" + } + }, + "AXI_04_AWID": { + "hide_name": 0, + "bits": [ 13638, 13639, 13640, 13641, 13642, 13643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30410.17-30410.28" + } + }, + "AXI_04_AWLEN": { + "hide_name": 0, + "bits": [ 13644, 13645, 13646, 13647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30411.17-30411.29" + } + }, + "AXI_04_AWREADY": { + "hide_name": 0, + "bits": [ 1523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29637.12-29637.26" + } + }, + "AXI_04_AWSIZE": { + "hide_name": 0, + "bits": [ 13648, 13649, 13650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30412.17-30412.30" + } + }, + "AXI_04_AWVALID": { + "hide_name": 0, + "bits": [ 13651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30413.11-30413.25" + } + }, + "AXI_04_BID": { + "hide_name": 0, + "bits": [ 1524, 1525, 1526, 1527, 1528, 1529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29638.18-29638.28" + } + }, + "AXI_04_BREADY": { + "hide_name": 0, + "bits": [ 13652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30414.11-30414.24" + } + }, + "AXI_04_BRESP": { + "hide_name": 0, + "bits": [ 1530, 1531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29639.18-29639.30" + } + }, + "AXI_04_BVALID": { + "hide_name": 0, + "bits": [ 1532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29640.12-29640.25" + } + }, + "AXI_04_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 1533, 1534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29641.18-29641.38" + } + }, + "AXI_04_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 1535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29642.12-29642.30" + } + }, + "AXI_04_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29643.18-29643.45" + } + }, + "AXI_04_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29644.19-29644.43" + } + }, + "AXI_04_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29645.18-29645.43" + } + }, + "AXI_04_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 1573, 1574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29646.18-29646.44" + } + }, + "AXI_04_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 1575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29647.12-29647.36" + } + }, + "AXI_04_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 13653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30415.11-30415.34" + } + }, + "AXI_04_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 1576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29648.12-29648.33" + } + }, + "AXI_04_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 1577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29649.12-29649.35" + } + }, + "AXI_04_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 1578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29650.12-29650.32" + } + }, + "AXI_04_MC_STATUS": { + "hide_name": 0, + "bits": [ 1579, 1580, 1581, 1582, 1583, 1584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29651.18-29651.34" + } + }, + "AXI_04_PHY_STATUS": { + "hide_name": 0, + "bits": [ 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29652.18-29652.35" + } + }, + "AXI_04_RDATA": { + "hide_name": 0, + "bits": [ 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29653.20-29653.32" + } + }, + "AXI_04_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29654.19-29654.38" + } + }, + "AXI_04_RID": { + "hide_name": 0, + "bits": [ 1881, 1882, 1883, 1884, 1885, 1886 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29655.18-29655.28" + } + }, + "AXI_04_RLAST": { + "hide_name": 0, + "bits": [ 1887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29656.12-29656.24" + } + }, + "AXI_04_RREADY": { + "hide_name": 0, + "bits": [ 13654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30416.11-30416.24" + } + }, + "AXI_04_RRESP": { + "hide_name": 0, + "bits": [ 1888, 1889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29657.18-29657.30" + } + }, + "AXI_04_RVALID": { + "hide_name": 0, + "bits": [ 1890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29658.12-29658.25" + } + }, + "AXI_04_WDATA": { + "hide_name": 0, + "bits": [ 13655, 13656, 13657, 13658, 13659, 13660, 13661, 13662, 13663, 13664, 13665, 13666, 13667, 13668, 13669, 13670, 13671, 13672, 13673, 13674, 13675, 13676, 13677, 13678, 13679, 13680, 13681, 13682, 13683, 13684, 13685, 13686, 13687, 13688, 13689, 13690, 13691, 13692, 13693, 13694, 13695, 13696, 13697, 13698, 13699, 13700, 13701, 13702, 13703, 13704, 13705, 13706, 13707, 13708, 13709, 13710, 13711, 13712, 13713, 13714, 13715, 13716, 13717, 13718, 13719, 13720, 13721, 13722, 13723, 13724, 13725, 13726, 13727, 13728, 13729, 13730, 13731, 13732, 13733, 13734, 13735, 13736, 13737, 13738, 13739, 13740, 13741, 13742, 13743, 13744, 13745, 13746, 13747, 13748, 13749, 13750, 13751, 13752, 13753, 13754, 13755, 13756, 13757, 13758, 13759, 13760, 13761, 13762, 13763, 13764, 13765, 13766, 13767, 13768, 13769, 13770, 13771, 13772, 13773, 13774, 13775, 13776, 13777, 13778, 13779, 13780, 13781, 13782, 13783, 13784, 13785, 13786, 13787, 13788, 13789, 13790, 13791, 13792, 13793, 13794, 13795, 13796, 13797, 13798, 13799, 13800, 13801, 13802, 13803, 13804, 13805, 13806, 13807, 13808, 13809, 13810, 13811, 13812, 13813, 13814, 13815, 13816, 13817, 13818, 13819, 13820, 13821, 13822, 13823, 13824, 13825, 13826, 13827, 13828, 13829, 13830, 13831, 13832, 13833, 13834, 13835, 13836, 13837, 13838, 13839, 13840, 13841, 13842, 13843, 13844, 13845, 13846, 13847, 13848, 13849, 13850, 13851, 13852, 13853, 13854, 13855, 13856, 13857, 13858, 13859, 13860, 13861, 13862, 13863, 13864, 13865, 13866, 13867, 13868, 13869, 13870, 13871, 13872, 13873, 13874, 13875, 13876, 13877, 13878, 13879, 13880, 13881, 13882, 13883, 13884, 13885, 13886, 13887, 13888, 13889, 13890, 13891, 13892, 13893, 13894, 13895, 13896, 13897, 13898, 13899, 13900, 13901, 13902, 13903, 13904, 13905, 13906, 13907, 13908, 13909, 13910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30417.19-30417.31" + } + }, + "AXI_04_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 13911, 13912, 13913, 13914, 13915, 13916, 13917, 13918, 13919, 13920, 13921, 13922, 13923, 13924, 13925, 13926, 13927, 13928, 13929, 13930, 13931, 13932, 13933, 13934, 13935, 13936, 13937, 13938, 13939, 13940, 13941, 13942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30418.18-30418.37" + } + }, + "AXI_04_WLAST": { + "hide_name": 0, + "bits": [ 13943 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30419.11-30419.23" + } + }, + "AXI_04_WREADY": { + "hide_name": 0, + "bits": [ 1891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29659.12-29659.25" + } + }, + "AXI_04_WSTRB": { + "hide_name": 0, + "bits": [ 13944, 13945, 13946, 13947, 13948, 13949, 13950, 13951, 13952, 13953, 13954, 13955, 13956, 13957, 13958, 13959, 13960, 13961, 13962, 13963, 13964, 13965, 13966, 13967, 13968, 13969, 13970, 13971, 13972, 13973, 13974, 13975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30420.18-30420.30" + } + }, + "AXI_04_WVALID": { + "hide_name": 0, + "bits": [ 13976 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30421.11-30421.24" + } + }, + "AXI_05_ACLK": { + "hide_name": 0, + "bits": [ 13977 ], + "attributes": { + "invertible_pin": "IS_AXI_05_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30423.11-30423.22" + } + }, + "AXI_05_ARADDR": { + "hide_name": 0, + "bits": [ 13978, 13979, 13980, 13981, 13982, 13983, 13984, 13985, 13986, 13987, 13988, 13989, 13990, 13991, 13992, 13993, 13994, 13995, 13996, 13997, 13998, 13999, 14000, 14001, 14002, 14003, 14004, 14005, 14006, 14007, 14008, 14009, 14010, 14011, 14012, 14013, 14014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30424.18-30424.31" + } + }, + "AXI_05_ARBURST": { + "hide_name": 0, + "bits": [ 14015, 14016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30425.17-30425.31" + } + }, + "AXI_05_ARESET_N": { + "hide_name": 0, + "bits": [ 14017 ], + "attributes": { + "invertible_pin": "IS_AXI_05_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30427.11-30427.26" + } + }, + "AXI_05_ARID": { + "hide_name": 0, + "bits": [ 14018, 14019, 14020, 14021, 14022, 14023 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30428.17-30428.28" + } + }, + "AXI_05_ARLEN": { + "hide_name": 0, + "bits": [ 14024, 14025, 14026, 14027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30429.17-30429.29" + } + }, + "AXI_05_ARREADY": { + "hide_name": 0, + "bits": [ 1892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29660.12-29660.26" + } + }, + "AXI_05_ARSIZE": { + "hide_name": 0, + "bits": [ 14028, 14029, 14030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30430.17-30430.30" + } + }, + "AXI_05_ARVALID": { + "hide_name": 0, + "bits": [ 14031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30431.11-30431.25" + } + }, + "AXI_05_AWADDR": { + "hide_name": 0, + "bits": [ 14032, 14033, 14034, 14035, 14036, 14037, 14038, 14039, 14040, 14041, 14042, 14043, 14044, 14045, 14046, 14047, 14048, 14049, 14050, 14051, 14052, 14053, 14054, 14055, 14056, 14057, 14058, 14059, 14060, 14061, 14062, 14063, 14064, 14065, 14066, 14067, 14068 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30432.18-30432.31" + } + }, + "AXI_05_AWBURST": { + "hide_name": 0, + "bits": [ 14069, 14070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30433.17-30433.31" + } + }, + "AXI_05_AWID": { + "hide_name": 0, + "bits": [ 14071, 14072, 14073, 14074, 14075, 14076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30434.17-30434.28" + } + }, + "AXI_05_AWLEN": { + "hide_name": 0, + "bits": [ 14077, 14078, 14079, 14080 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30435.17-30435.29" + } + }, + "AXI_05_AWREADY": { + "hide_name": 0, + "bits": [ 1893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29661.12-29661.26" + } + }, + "AXI_05_AWSIZE": { + "hide_name": 0, + "bits": [ 14081, 14082, 14083 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30436.17-30436.30" + } + }, + "AXI_05_AWVALID": { + "hide_name": 0, + "bits": [ 14084 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30437.11-30437.25" + } + }, + "AXI_05_BID": { + "hide_name": 0, + "bits": [ 1894, 1895, 1896, 1897, 1898, 1899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29662.18-29662.28" + } + }, + "AXI_05_BREADY": { + "hide_name": 0, + "bits": [ 14085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30438.11-30438.24" + } + }, + "AXI_05_BRESP": { + "hide_name": 0, + "bits": [ 1900, 1901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29663.18-29663.30" + } + }, + "AXI_05_BVALID": { + "hide_name": 0, + "bits": [ 1902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29664.12-29664.25" + } + }, + "AXI_05_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 1903, 1904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29665.18-29665.38" + } + }, + "AXI_05_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 1905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29666.12-29666.30" + } + }, + "AXI_05_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29667.18-29667.45" + } + }, + "AXI_05_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29668.19-29668.43" + } + }, + "AXI_05_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29669.18-29669.43" + } + }, + "AXI_05_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 1943, 1944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29670.18-29670.44" + } + }, + "AXI_05_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 1945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29671.12-29671.36" + } + }, + "AXI_05_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 14086 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30439.11-30439.34" + } + }, + "AXI_05_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 1946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29672.12-29672.33" + } + }, + "AXI_05_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 1947 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29673.12-29673.35" + } + }, + "AXI_05_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 1948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29674.12-29674.32" + } + }, + "AXI_05_RDATA": { + "hide_name": 0, + "bits": [ 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29675.20-29675.32" + } + }, + "AXI_05_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29676.19-29676.38" + } + }, + "AXI_05_RID": { + "hide_name": 0, + "bits": [ 2237, 2238, 2239, 2240, 2241, 2242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29677.18-29677.28" + } + }, + "AXI_05_RLAST": { + "hide_name": 0, + "bits": [ 2243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29678.12-29678.24" + } + }, + "AXI_05_RREADY": { + "hide_name": 0, + "bits": [ 14087 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30440.11-30440.24" + } + }, + "AXI_05_RRESP": { + "hide_name": 0, + "bits": [ 2244, 2245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29679.18-29679.30" + } + }, + "AXI_05_RVALID": { + "hide_name": 0, + "bits": [ 2246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29680.12-29680.25" + } + }, + "AXI_05_WDATA": { + "hide_name": 0, + "bits": [ 14088, 14089, 14090, 14091, 14092, 14093, 14094, 14095, 14096, 14097, 14098, 14099, 14100, 14101, 14102, 14103, 14104, 14105, 14106, 14107, 14108, 14109, 14110, 14111, 14112, 14113, 14114, 14115, 14116, 14117, 14118, 14119, 14120, 14121, 14122, 14123, 14124, 14125, 14126, 14127, 14128, 14129, 14130, 14131, 14132, 14133, 14134, 14135, 14136, 14137, 14138, 14139, 14140, 14141, 14142, 14143, 14144, 14145, 14146, 14147, 14148, 14149, 14150, 14151, 14152, 14153, 14154, 14155, 14156, 14157, 14158, 14159, 14160, 14161, 14162, 14163, 14164, 14165, 14166, 14167, 14168, 14169, 14170, 14171, 14172, 14173, 14174, 14175, 14176, 14177, 14178, 14179, 14180, 14181, 14182, 14183, 14184, 14185, 14186, 14187, 14188, 14189, 14190, 14191, 14192, 14193, 14194, 14195, 14196, 14197, 14198, 14199, 14200, 14201, 14202, 14203, 14204, 14205, 14206, 14207, 14208, 14209, 14210, 14211, 14212, 14213, 14214, 14215, 14216, 14217, 14218, 14219, 14220, 14221, 14222, 14223, 14224, 14225, 14226, 14227, 14228, 14229, 14230, 14231, 14232, 14233, 14234, 14235, 14236, 14237, 14238, 14239, 14240, 14241, 14242, 14243, 14244, 14245, 14246, 14247, 14248, 14249, 14250, 14251, 14252, 14253, 14254, 14255, 14256, 14257, 14258, 14259, 14260, 14261, 14262, 14263, 14264, 14265, 14266, 14267, 14268, 14269, 14270, 14271, 14272, 14273, 14274, 14275, 14276, 14277, 14278, 14279, 14280, 14281, 14282, 14283, 14284, 14285, 14286, 14287, 14288, 14289, 14290, 14291, 14292, 14293, 14294, 14295, 14296, 14297, 14298, 14299, 14300, 14301, 14302, 14303, 14304, 14305, 14306, 14307, 14308, 14309, 14310, 14311, 14312, 14313, 14314, 14315, 14316, 14317, 14318, 14319, 14320, 14321, 14322, 14323, 14324, 14325, 14326, 14327, 14328, 14329, 14330, 14331, 14332, 14333, 14334, 14335, 14336, 14337, 14338, 14339, 14340, 14341, 14342, 14343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30441.19-30441.31" + } + }, + "AXI_05_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 14344, 14345, 14346, 14347, 14348, 14349, 14350, 14351, 14352, 14353, 14354, 14355, 14356, 14357, 14358, 14359, 14360, 14361, 14362, 14363, 14364, 14365, 14366, 14367, 14368, 14369, 14370, 14371, 14372, 14373, 14374, 14375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30442.18-30442.37" + } + }, + "AXI_05_WLAST": { + "hide_name": 0, + "bits": [ 14376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30443.11-30443.23" + } + }, + "AXI_05_WREADY": { + "hide_name": 0, + "bits": [ 2247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29681.12-29681.25" + } + }, + "AXI_05_WSTRB": { + "hide_name": 0, + "bits": [ 14377, 14378, 14379, 14380, 14381, 14382, 14383, 14384, 14385, 14386, 14387, 14388, 14389, 14390, 14391, 14392, 14393, 14394, 14395, 14396, 14397, 14398, 14399, 14400, 14401, 14402, 14403, 14404, 14405, 14406, 14407, 14408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30444.18-30444.30" + } + }, + "AXI_05_WVALID": { + "hide_name": 0, + "bits": [ 14409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30445.11-30445.24" + } + }, + "AXI_06_ACLK": { + "hide_name": 0, + "bits": [ 14410 ], + "attributes": { + "invertible_pin": "IS_AXI_06_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30447.11-30447.22" + } + }, + "AXI_06_ARADDR": { + "hide_name": 0, + "bits": [ 14411, 14412, 14413, 14414, 14415, 14416, 14417, 14418, 14419, 14420, 14421, 14422, 14423, 14424, 14425, 14426, 14427, 14428, 14429, 14430, 14431, 14432, 14433, 14434, 14435, 14436, 14437, 14438, 14439, 14440, 14441, 14442, 14443, 14444, 14445, 14446, 14447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30448.18-30448.31" + } + }, + "AXI_06_ARBURST": { + "hide_name": 0, + "bits": [ 14448, 14449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30449.17-30449.31" + } + }, + "AXI_06_ARESET_N": { + "hide_name": 0, + "bits": [ 14450 ], + "attributes": { + "invertible_pin": "IS_AXI_06_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30451.11-30451.26" + } + }, + "AXI_06_ARID": { + "hide_name": 0, + "bits": [ 14451, 14452, 14453, 14454, 14455, 14456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30452.17-30452.28" + } + }, + "AXI_06_ARLEN": { + "hide_name": 0, + "bits": [ 14457, 14458, 14459, 14460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30453.17-30453.29" + } + }, + "AXI_06_ARREADY": { + "hide_name": 0, + "bits": [ 2248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29682.12-29682.26" + } + }, + "AXI_06_ARSIZE": { + "hide_name": 0, + "bits": [ 14461, 14462, 14463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30454.17-30454.30" + } + }, + "AXI_06_ARVALID": { + "hide_name": 0, + "bits": [ 14464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30455.11-30455.25" + } + }, + "AXI_06_AWADDR": { + "hide_name": 0, + "bits": [ 14465, 14466, 14467, 14468, 14469, 14470, 14471, 14472, 14473, 14474, 14475, 14476, 14477, 14478, 14479, 14480, 14481, 14482, 14483, 14484, 14485, 14486, 14487, 14488, 14489, 14490, 14491, 14492, 14493, 14494, 14495, 14496, 14497, 14498, 14499, 14500, 14501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30456.18-30456.31" + } + }, + "AXI_06_AWBURST": { + "hide_name": 0, + "bits": [ 14502, 14503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30457.17-30457.31" + } + }, + "AXI_06_AWID": { + "hide_name": 0, + "bits": [ 14504, 14505, 14506, 14507, 14508, 14509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30458.17-30458.28" + } + }, + "AXI_06_AWLEN": { + "hide_name": 0, + "bits": [ 14510, 14511, 14512, 14513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30459.17-30459.29" + } + }, + "AXI_06_AWREADY": { + "hide_name": 0, + "bits": [ 2249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29683.12-29683.26" + } + }, + "AXI_06_AWSIZE": { + "hide_name": 0, + "bits": [ 14514, 14515, 14516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30460.17-30460.30" + } + }, + "AXI_06_AWVALID": { + "hide_name": 0, + "bits": [ 14517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30461.11-30461.25" + } + }, + "AXI_06_BID": { + "hide_name": 0, + "bits": [ 2250, 2251, 2252, 2253, 2254, 2255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29684.18-29684.28" + } + }, + "AXI_06_BREADY": { + "hide_name": 0, + "bits": [ 14518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30462.11-30462.24" + } + }, + "AXI_06_BRESP": { + "hide_name": 0, + "bits": [ 2256, 2257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29685.18-29685.30" + } + }, + "AXI_06_BVALID": { + "hide_name": 0, + "bits": [ 2258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29686.12-29686.25" + } + }, + "AXI_06_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 2259, 2260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29687.18-29687.38" + } + }, + "AXI_06_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 2261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29688.12-29688.30" + } + }, + "AXI_06_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29689.18-29689.45" + } + }, + "AXI_06_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29690.19-29690.43" + } + }, + "AXI_06_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29691.18-29691.43" + } + }, + "AXI_06_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 2299, 2300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29692.18-29692.44" + } + }, + "AXI_06_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 2301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29693.12-29693.36" + } + }, + "AXI_06_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 14519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30463.11-30463.34" + } + }, + "AXI_06_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 2302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29694.12-29694.33" + } + }, + "AXI_06_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 2303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29695.12-29695.35" + } + }, + "AXI_06_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 2304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29696.12-29696.32" + } + }, + "AXI_06_MC_STATUS": { + "hide_name": 0, + "bits": [ 2305, 2306, 2307, 2308, 2309, 2310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29697.18-29697.34" + } + }, + "AXI_06_PHY_STATUS": { + "hide_name": 0, + "bits": [ 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29698.18-29698.35" + } + }, + "AXI_06_RDATA": { + "hide_name": 0, + "bits": [ 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29699.20-29699.32" + } + }, + "AXI_06_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29700.19-29700.38" + } + }, + "AXI_06_RID": { + "hide_name": 0, + "bits": [ 2607, 2608, 2609, 2610, 2611, 2612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29701.18-29701.28" + } + }, + "AXI_06_RLAST": { + "hide_name": 0, + "bits": [ 2613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29702.12-29702.24" + } + }, + "AXI_06_RREADY": { + "hide_name": 0, + "bits": [ 14520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30464.11-30464.24" + } + }, + "AXI_06_RRESP": { + "hide_name": 0, + "bits": [ 2614, 2615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29703.18-29703.30" + } + }, + "AXI_06_RVALID": { + "hide_name": 0, + "bits": [ 2616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29704.12-29704.25" + } + }, + "AXI_06_WDATA": { + "hide_name": 0, + "bits": [ 14521, 14522, 14523, 14524, 14525, 14526, 14527, 14528, 14529, 14530, 14531, 14532, 14533, 14534, 14535, 14536, 14537, 14538, 14539, 14540, 14541, 14542, 14543, 14544, 14545, 14546, 14547, 14548, 14549, 14550, 14551, 14552, 14553, 14554, 14555, 14556, 14557, 14558, 14559, 14560, 14561, 14562, 14563, 14564, 14565, 14566, 14567, 14568, 14569, 14570, 14571, 14572, 14573, 14574, 14575, 14576, 14577, 14578, 14579, 14580, 14581, 14582, 14583, 14584, 14585, 14586, 14587, 14588, 14589, 14590, 14591, 14592, 14593, 14594, 14595, 14596, 14597, 14598, 14599, 14600, 14601, 14602, 14603, 14604, 14605, 14606, 14607, 14608, 14609, 14610, 14611, 14612, 14613, 14614, 14615, 14616, 14617, 14618, 14619, 14620, 14621, 14622, 14623, 14624, 14625, 14626, 14627, 14628, 14629, 14630, 14631, 14632, 14633, 14634, 14635, 14636, 14637, 14638, 14639, 14640, 14641, 14642, 14643, 14644, 14645, 14646, 14647, 14648, 14649, 14650, 14651, 14652, 14653, 14654, 14655, 14656, 14657, 14658, 14659, 14660, 14661, 14662, 14663, 14664, 14665, 14666, 14667, 14668, 14669, 14670, 14671, 14672, 14673, 14674, 14675, 14676, 14677, 14678, 14679, 14680, 14681, 14682, 14683, 14684, 14685, 14686, 14687, 14688, 14689, 14690, 14691, 14692, 14693, 14694, 14695, 14696, 14697, 14698, 14699, 14700, 14701, 14702, 14703, 14704, 14705, 14706, 14707, 14708, 14709, 14710, 14711, 14712, 14713, 14714, 14715, 14716, 14717, 14718, 14719, 14720, 14721, 14722, 14723, 14724, 14725, 14726, 14727, 14728, 14729, 14730, 14731, 14732, 14733, 14734, 14735, 14736, 14737, 14738, 14739, 14740, 14741, 14742, 14743, 14744, 14745, 14746, 14747, 14748, 14749, 14750, 14751, 14752, 14753, 14754, 14755, 14756, 14757, 14758, 14759, 14760, 14761, 14762, 14763, 14764, 14765, 14766, 14767, 14768, 14769, 14770, 14771, 14772, 14773, 14774, 14775, 14776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30465.19-30465.31" + } + }, + "AXI_06_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 14777, 14778, 14779, 14780, 14781, 14782, 14783, 14784, 14785, 14786, 14787, 14788, 14789, 14790, 14791, 14792, 14793, 14794, 14795, 14796, 14797, 14798, 14799, 14800, 14801, 14802, 14803, 14804, 14805, 14806, 14807, 14808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30466.18-30466.37" + } + }, + "AXI_06_WLAST": { + "hide_name": 0, + "bits": [ 14809 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30467.11-30467.23" + } + }, + "AXI_06_WREADY": { + "hide_name": 0, + "bits": [ 2617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29705.12-29705.25" + } + }, + "AXI_06_WSTRB": { + "hide_name": 0, + "bits": [ 14810, 14811, 14812, 14813, 14814, 14815, 14816, 14817, 14818, 14819, 14820, 14821, 14822, 14823, 14824, 14825, 14826, 14827, 14828, 14829, 14830, 14831, 14832, 14833, 14834, 14835, 14836, 14837, 14838, 14839, 14840, 14841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30468.18-30468.30" + } + }, + "AXI_06_WVALID": { + "hide_name": 0, + "bits": [ 14842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30469.11-30469.24" + } + }, + "AXI_07_ACLK": { + "hide_name": 0, + "bits": [ 14843 ], + "attributes": { + "invertible_pin": "IS_AXI_07_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30471.11-30471.22" + } + }, + "AXI_07_ARADDR": { + "hide_name": 0, + "bits": [ 14844, 14845, 14846, 14847, 14848, 14849, 14850, 14851, 14852, 14853, 14854, 14855, 14856, 14857, 14858, 14859, 14860, 14861, 14862, 14863, 14864, 14865, 14866, 14867, 14868, 14869, 14870, 14871, 14872, 14873, 14874, 14875, 14876, 14877, 14878, 14879, 14880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30472.18-30472.31" + } + }, + "AXI_07_ARBURST": { + "hide_name": 0, + "bits": [ 14881, 14882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30473.17-30473.31" + } + }, + "AXI_07_ARESET_N": { + "hide_name": 0, + "bits": [ 14883 ], + "attributes": { + "invertible_pin": "IS_AXI_07_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30475.11-30475.26" + } + }, + "AXI_07_ARID": { + "hide_name": 0, + "bits": [ 14884, 14885, 14886, 14887, 14888, 14889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30476.17-30476.28" + } + }, + "AXI_07_ARLEN": { + "hide_name": 0, + "bits": [ 14890, 14891, 14892, 14893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30477.17-30477.29" + } + }, + "AXI_07_ARREADY": { + "hide_name": 0, + "bits": [ 2618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29706.12-29706.26" + } + }, + "AXI_07_ARSIZE": { + "hide_name": 0, + "bits": [ 14894, 14895, 14896 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30478.17-30478.30" + } + }, + "AXI_07_ARVALID": { + "hide_name": 0, + "bits": [ 14897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30479.11-30479.25" + } + }, + "AXI_07_AWADDR": { + "hide_name": 0, + "bits": [ 14898, 14899, 14900, 14901, 14902, 14903, 14904, 14905, 14906, 14907, 14908, 14909, 14910, 14911, 14912, 14913, 14914, 14915, 14916, 14917, 14918, 14919, 14920, 14921, 14922, 14923, 14924, 14925, 14926, 14927, 14928, 14929, 14930, 14931, 14932, 14933, 14934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30480.18-30480.31" + } + }, + "AXI_07_AWBURST": { + "hide_name": 0, + "bits": [ 14935, 14936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30481.17-30481.31" + } + }, + "AXI_07_AWID": { + "hide_name": 0, + "bits": [ 14937, 14938, 14939, 14940, 14941, 14942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30482.17-30482.28" + } + }, + "AXI_07_AWLEN": { + "hide_name": 0, + "bits": [ 14943, 14944, 14945, 14946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30483.17-30483.29" + } + }, + "AXI_07_AWREADY": { + "hide_name": 0, + "bits": [ 2619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29707.12-29707.26" + } + }, + "AXI_07_AWSIZE": { + "hide_name": 0, + "bits": [ 14947, 14948, 14949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30484.17-30484.30" + } + }, + "AXI_07_AWVALID": { + "hide_name": 0, + "bits": [ 14950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30485.11-30485.25" + } + }, + "AXI_07_BID": { + "hide_name": 0, + "bits": [ 2620, 2621, 2622, 2623, 2624, 2625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29708.18-29708.28" + } + }, + "AXI_07_BREADY": { + "hide_name": 0, + "bits": [ 14951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30486.11-30486.24" + } + }, + "AXI_07_BRESP": { + "hide_name": 0, + "bits": [ 2626, 2627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29709.18-29709.30" + } + }, + "AXI_07_BVALID": { + "hide_name": 0, + "bits": [ 2628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29710.12-29710.25" + } + }, + "AXI_07_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 2629, 2630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29711.18-29711.38" + } + }, + "AXI_07_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 2631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29712.12-29712.30" + } + }, + "AXI_07_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29713.18-29713.45" + } + }, + "AXI_07_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29714.19-29714.43" + } + }, + "AXI_07_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29715.18-29715.43" + } + }, + "AXI_07_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 2669, 2670 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29716.18-29716.44" + } + }, + "AXI_07_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 2671 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29717.12-29717.36" + } + }, + "AXI_07_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 14952 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30487.11-30487.34" + } + }, + "AXI_07_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 2672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29718.12-29718.33" + } + }, + "AXI_07_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 2673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29719.12-29719.35" + } + }, + "AXI_07_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 2674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29720.12-29720.32" + } + }, + "AXI_07_RDATA": { + "hide_name": 0, + "bits": [ 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29721.20-29721.32" + } + }, + "AXI_07_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29722.19-29722.38" + } + }, + "AXI_07_RID": { + "hide_name": 0, + "bits": [ 2963, 2964, 2965, 2966, 2967, 2968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29723.18-29723.28" + } + }, + "AXI_07_RLAST": { + "hide_name": 0, + "bits": [ 2969 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29724.12-29724.24" + } + }, + "AXI_07_RREADY": { + "hide_name": 0, + "bits": [ 14953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30488.11-30488.24" + } + }, + "AXI_07_RRESP": { + "hide_name": 0, + "bits": [ 2970, 2971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29725.18-29725.30" + } + }, + "AXI_07_RVALID": { + "hide_name": 0, + "bits": [ 2972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29726.12-29726.25" + } + }, + "AXI_07_WDATA": { + "hide_name": 0, + "bits": [ 14954, 14955, 14956, 14957, 14958, 14959, 14960, 14961, 14962, 14963, 14964, 14965, 14966, 14967, 14968, 14969, 14970, 14971, 14972, 14973, 14974, 14975, 14976, 14977, 14978, 14979, 14980, 14981, 14982, 14983, 14984, 14985, 14986, 14987, 14988, 14989, 14990, 14991, 14992, 14993, 14994, 14995, 14996, 14997, 14998, 14999, 15000, 15001, 15002, 15003, 15004, 15005, 15006, 15007, 15008, 15009, 15010, 15011, 15012, 15013, 15014, 15015, 15016, 15017, 15018, 15019, 15020, 15021, 15022, 15023, 15024, 15025, 15026, 15027, 15028, 15029, 15030, 15031, 15032, 15033, 15034, 15035, 15036, 15037, 15038, 15039, 15040, 15041, 15042, 15043, 15044, 15045, 15046, 15047, 15048, 15049, 15050, 15051, 15052, 15053, 15054, 15055, 15056, 15057, 15058, 15059, 15060, 15061, 15062, 15063, 15064, 15065, 15066, 15067, 15068, 15069, 15070, 15071, 15072, 15073, 15074, 15075, 15076, 15077, 15078, 15079, 15080, 15081, 15082, 15083, 15084, 15085, 15086, 15087, 15088, 15089, 15090, 15091, 15092, 15093, 15094, 15095, 15096, 15097, 15098, 15099, 15100, 15101, 15102, 15103, 15104, 15105, 15106, 15107, 15108, 15109, 15110, 15111, 15112, 15113, 15114, 15115, 15116, 15117, 15118, 15119, 15120, 15121, 15122, 15123, 15124, 15125, 15126, 15127, 15128, 15129, 15130, 15131, 15132, 15133, 15134, 15135, 15136, 15137, 15138, 15139, 15140, 15141, 15142, 15143, 15144, 15145, 15146, 15147, 15148, 15149, 15150, 15151, 15152, 15153, 15154, 15155, 15156, 15157, 15158, 15159, 15160, 15161, 15162, 15163, 15164, 15165, 15166, 15167, 15168, 15169, 15170, 15171, 15172, 15173, 15174, 15175, 15176, 15177, 15178, 15179, 15180, 15181, 15182, 15183, 15184, 15185, 15186, 15187, 15188, 15189, 15190, 15191, 15192, 15193, 15194, 15195, 15196, 15197, 15198, 15199, 15200, 15201, 15202, 15203, 15204, 15205, 15206, 15207, 15208, 15209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30489.19-30489.31" + } + }, + "AXI_07_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 15210, 15211, 15212, 15213, 15214, 15215, 15216, 15217, 15218, 15219, 15220, 15221, 15222, 15223, 15224, 15225, 15226, 15227, 15228, 15229, 15230, 15231, 15232, 15233, 15234, 15235, 15236, 15237, 15238, 15239, 15240, 15241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30490.18-30490.37" + } + }, + "AXI_07_WLAST": { + "hide_name": 0, + "bits": [ 15242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30491.11-30491.23" + } + }, + "AXI_07_WREADY": { + "hide_name": 0, + "bits": [ 2973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29727.12-29727.25" + } + }, + "AXI_07_WSTRB": { + "hide_name": 0, + "bits": [ 15243, 15244, 15245, 15246, 15247, 15248, 15249, 15250, 15251, 15252, 15253, 15254, 15255, 15256, 15257, 15258, 15259, 15260, 15261, 15262, 15263, 15264, 15265, 15266, 15267, 15268, 15269, 15270, 15271, 15272, 15273, 15274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30492.18-30492.30" + } + }, + "AXI_07_WVALID": { + "hide_name": 0, + "bits": [ 15275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30493.11-30493.24" + } + }, + "AXI_08_ACLK": { + "hide_name": 0, + "bits": [ 15276 ], + "attributes": { + "invertible_pin": "IS_AXI_08_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30495.11-30495.22" + } + }, + "AXI_08_ARADDR": { + "hide_name": 0, + "bits": [ 15277, 15278, 15279, 15280, 15281, 15282, 15283, 15284, 15285, 15286, 15287, 15288, 15289, 15290, 15291, 15292, 15293, 15294, 15295, 15296, 15297, 15298, 15299, 15300, 15301, 15302, 15303, 15304, 15305, 15306, 15307, 15308, 15309, 15310, 15311, 15312, 15313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30496.18-30496.31" + } + }, + "AXI_08_ARBURST": { + "hide_name": 0, + "bits": [ 15314, 15315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30497.17-30497.31" + } + }, + "AXI_08_ARESET_N": { + "hide_name": 0, + "bits": [ 15316 ], + "attributes": { + "invertible_pin": "IS_AXI_08_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30499.11-30499.26" + } + }, + "AXI_08_ARID": { + "hide_name": 0, + "bits": [ 15317, 15318, 15319, 15320, 15321, 15322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30500.17-30500.28" + } + }, + "AXI_08_ARLEN": { + "hide_name": 0, + "bits": [ 15323, 15324, 15325, 15326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30501.17-30501.29" + } + }, + "AXI_08_ARREADY": { + "hide_name": 0, + "bits": [ 2974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29728.12-29728.26" + } + }, + "AXI_08_ARSIZE": { + "hide_name": 0, + "bits": [ 15327, 15328, 15329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30502.17-30502.30" + } + }, + "AXI_08_ARVALID": { + "hide_name": 0, + "bits": [ 15330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30503.11-30503.25" + } + }, + "AXI_08_AWADDR": { + "hide_name": 0, + "bits": [ 15331, 15332, 15333, 15334, 15335, 15336, 15337, 15338, 15339, 15340, 15341, 15342, 15343, 15344, 15345, 15346, 15347, 15348, 15349, 15350, 15351, 15352, 15353, 15354, 15355, 15356, 15357, 15358, 15359, 15360, 15361, 15362, 15363, 15364, 15365, 15366, 15367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30504.18-30504.31" + } + }, + "AXI_08_AWBURST": { + "hide_name": 0, + "bits": [ 15368, 15369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30505.17-30505.31" + } + }, + "AXI_08_AWID": { + "hide_name": 0, + "bits": [ 15370, 15371, 15372, 15373, 15374, 15375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30506.17-30506.28" + } + }, + "AXI_08_AWLEN": { + "hide_name": 0, + "bits": [ 15376, 15377, 15378, 15379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30507.17-30507.29" + } + }, + "AXI_08_AWREADY": { + "hide_name": 0, + "bits": [ 2975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29729.12-29729.26" + } + }, + "AXI_08_AWSIZE": { + "hide_name": 0, + "bits": [ 15380, 15381, 15382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30508.17-30508.30" + } + }, + "AXI_08_AWVALID": { + "hide_name": 0, + "bits": [ 15383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30509.11-30509.25" + } + }, + "AXI_08_BID": { + "hide_name": 0, + "bits": [ 2976, 2977, 2978, 2979, 2980, 2981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29730.18-29730.28" + } + }, + "AXI_08_BREADY": { + "hide_name": 0, + "bits": [ 15384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30510.11-30510.24" + } + }, + "AXI_08_BRESP": { + "hide_name": 0, + "bits": [ 2982, 2983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29731.18-29731.30" + } + }, + "AXI_08_BVALID": { + "hide_name": 0, + "bits": [ 2984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29732.12-29732.25" + } + }, + "AXI_08_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 2985, 2986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29733.18-29733.38" + } + }, + "AXI_08_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 2987 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29734.12-29734.30" + } + }, + "AXI_08_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29735.18-29735.45" + } + }, + "AXI_08_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29736.19-29736.43" + } + }, + "AXI_08_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29737.18-29737.43" + } + }, + "AXI_08_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 3025, 3026 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29738.18-29738.44" + } + }, + "AXI_08_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 3027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29739.12-29739.36" + } + }, + "AXI_08_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 15385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30511.11-30511.34" + } + }, + "AXI_08_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 3028 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29740.12-29740.33" + } + }, + "AXI_08_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 3029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29741.12-29741.35" + } + }, + "AXI_08_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 3030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29742.12-29742.32" + } + }, + "AXI_08_MC_STATUS": { + "hide_name": 0, + "bits": [ 3031, 3032, 3033, 3034, 3035, 3036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29743.18-29743.34" + } + }, + "AXI_08_PHY_STATUS": { + "hide_name": 0, + "bits": [ 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29744.18-29744.35" + } + }, + "AXI_08_RDATA": { + "hide_name": 0, + "bits": [ 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29745.20-29745.32" + } + }, + "AXI_08_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29746.19-29746.38" + } + }, + "AXI_08_RID": { + "hide_name": 0, + "bits": [ 3333, 3334, 3335, 3336, 3337, 3338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29747.18-29747.28" + } + }, + "AXI_08_RLAST": { + "hide_name": 0, + "bits": [ 3339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29748.12-29748.24" + } + }, + "AXI_08_RREADY": { + "hide_name": 0, + "bits": [ 15386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30512.11-30512.24" + } + }, + "AXI_08_RRESP": { + "hide_name": 0, + "bits": [ 3340, 3341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29749.18-29749.30" + } + }, + "AXI_08_RVALID": { + "hide_name": 0, + "bits": [ 3342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29750.12-29750.25" + } + }, + "AXI_08_WDATA": { + "hide_name": 0, + "bits": [ 15387, 15388, 15389, 15390, 15391, 15392, 15393, 15394, 15395, 15396, 15397, 15398, 15399, 15400, 15401, 15402, 15403, 15404, 15405, 15406, 15407, 15408, 15409, 15410, 15411, 15412, 15413, 15414, 15415, 15416, 15417, 15418, 15419, 15420, 15421, 15422, 15423, 15424, 15425, 15426, 15427, 15428, 15429, 15430, 15431, 15432, 15433, 15434, 15435, 15436, 15437, 15438, 15439, 15440, 15441, 15442, 15443, 15444, 15445, 15446, 15447, 15448, 15449, 15450, 15451, 15452, 15453, 15454, 15455, 15456, 15457, 15458, 15459, 15460, 15461, 15462, 15463, 15464, 15465, 15466, 15467, 15468, 15469, 15470, 15471, 15472, 15473, 15474, 15475, 15476, 15477, 15478, 15479, 15480, 15481, 15482, 15483, 15484, 15485, 15486, 15487, 15488, 15489, 15490, 15491, 15492, 15493, 15494, 15495, 15496, 15497, 15498, 15499, 15500, 15501, 15502, 15503, 15504, 15505, 15506, 15507, 15508, 15509, 15510, 15511, 15512, 15513, 15514, 15515, 15516, 15517, 15518, 15519, 15520, 15521, 15522, 15523, 15524, 15525, 15526, 15527, 15528, 15529, 15530, 15531, 15532, 15533, 15534, 15535, 15536, 15537, 15538, 15539, 15540, 15541, 15542, 15543, 15544, 15545, 15546, 15547, 15548, 15549, 15550, 15551, 15552, 15553, 15554, 15555, 15556, 15557, 15558, 15559, 15560, 15561, 15562, 15563, 15564, 15565, 15566, 15567, 15568, 15569, 15570, 15571, 15572, 15573, 15574, 15575, 15576, 15577, 15578, 15579, 15580, 15581, 15582, 15583, 15584, 15585, 15586, 15587, 15588, 15589, 15590, 15591, 15592, 15593, 15594, 15595, 15596, 15597, 15598, 15599, 15600, 15601, 15602, 15603, 15604, 15605, 15606, 15607, 15608, 15609, 15610, 15611, 15612, 15613, 15614, 15615, 15616, 15617, 15618, 15619, 15620, 15621, 15622, 15623, 15624, 15625, 15626, 15627, 15628, 15629, 15630, 15631, 15632, 15633, 15634, 15635, 15636, 15637, 15638, 15639, 15640, 15641, 15642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30513.19-30513.31" + } + }, + "AXI_08_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 15643, 15644, 15645, 15646, 15647, 15648, 15649, 15650, 15651, 15652, 15653, 15654, 15655, 15656, 15657, 15658, 15659, 15660, 15661, 15662, 15663, 15664, 15665, 15666, 15667, 15668, 15669, 15670, 15671, 15672, 15673, 15674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30514.18-30514.37" + } + }, + "AXI_08_WLAST": { + "hide_name": 0, + "bits": [ 15675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30515.11-30515.23" + } + }, + "AXI_08_WREADY": { + "hide_name": 0, + "bits": [ 3343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29751.12-29751.25" + } + }, + "AXI_08_WSTRB": { + "hide_name": 0, + "bits": [ 15676, 15677, 15678, 15679, 15680, 15681, 15682, 15683, 15684, 15685, 15686, 15687, 15688, 15689, 15690, 15691, 15692, 15693, 15694, 15695, 15696, 15697, 15698, 15699, 15700, 15701, 15702, 15703, 15704, 15705, 15706, 15707 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30516.18-30516.30" + } + }, + "AXI_08_WVALID": { + "hide_name": 0, + "bits": [ 15708 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30517.11-30517.24" + } + }, + "AXI_09_ACLK": { + "hide_name": 0, + "bits": [ 15709 ], + "attributes": { + "invertible_pin": "IS_AXI_09_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30519.11-30519.22" + } + }, + "AXI_09_ARADDR": { + "hide_name": 0, + "bits": [ 15710, 15711, 15712, 15713, 15714, 15715, 15716, 15717, 15718, 15719, 15720, 15721, 15722, 15723, 15724, 15725, 15726, 15727, 15728, 15729, 15730, 15731, 15732, 15733, 15734, 15735, 15736, 15737, 15738, 15739, 15740, 15741, 15742, 15743, 15744, 15745, 15746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30520.18-30520.31" + } + }, + "AXI_09_ARBURST": { + "hide_name": 0, + "bits": [ 15747, 15748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30521.17-30521.31" + } + }, + "AXI_09_ARESET_N": { + "hide_name": 0, + "bits": [ 15749 ], + "attributes": { + "invertible_pin": "IS_AXI_09_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30523.11-30523.26" + } + }, + "AXI_09_ARID": { + "hide_name": 0, + "bits": [ 15750, 15751, 15752, 15753, 15754, 15755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30524.17-30524.28" + } + }, + "AXI_09_ARLEN": { + "hide_name": 0, + "bits": [ 15756, 15757, 15758, 15759 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30525.17-30525.29" + } + }, + "AXI_09_ARREADY": { + "hide_name": 0, + "bits": [ 3344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29752.12-29752.26" + } + }, + "AXI_09_ARSIZE": { + "hide_name": 0, + "bits": [ 15760, 15761, 15762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30526.17-30526.30" + } + }, + "AXI_09_ARVALID": { + "hide_name": 0, + "bits": [ 15763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30527.11-30527.25" + } + }, + "AXI_09_AWADDR": { + "hide_name": 0, + "bits": [ 15764, 15765, 15766, 15767, 15768, 15769, 15770, 15771, 15772, 15773, 15774, 15775, 15776, 15777, 15778, 15779, 15780, 15781, 15782, 15783, 15784, 15785, 15786, 15787, 15788, 15789, 15790, 15791, 15792, 15793, 15794, 15795, 15796, 15797, 15798, 15799, 15800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30528.18-30528.31" + } + }, + "AXI_09_AWBURST": { + "hide_name": 0, + "bits": [ 15801, 15802 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30529.17-30529.31" + } + }, + "AXI_09_AWID": { + "hide_name": 0, + "bits": [ 15803, 15804, 15805, 15806, 15807, 15808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30530.17-30530.28" + } + }, + "AXI_09_AWLEN": { + "hide_name": 0, + "bits": [ 15809, 15810, 15811, 15812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30531.17-30531.29" + } + }, + "AXI_09_AWREADY": { + "hide_name": 0, + "bits": [ 3345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29753.12-29753.26" + } + }, + "AXI_09_AWSIZE": { + "hide_name": 0, + "bits": [ 15813, 15814, 15815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30532.17-30532.30" + } + }, + "AXI_09_AWVALID": { + "hide_name": 0, + "bits": [ 15816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30533.11-30533.25" + } + }, + "AXI_09_BID": { + "hide_name": 0, + "bits": [ 3346, 3347, 3348, 3349, 3350, 3351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29754.18-29754.28" + } + }, + "AXI_09_BREADY": { + "hide_name": 0, + "bits": [ 15817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30534.11-30534.24" + } + }, + "AXI_09_BRESP": { + "hide_name": 0, + "bits": [ 3352, 3353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29755.18-29755.30" + } + }, + "AXI_09_BVALID": { + "hide_name": 0, + "bits": [ 3354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29756.12-29756.25" + } + }, + "AXI_09_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 3355, 3356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29757.18-29757.38" + } + }, + "AXI_09_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 3357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29758.12-29758.30" + } + }, + "AXI_09_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29759.18-29759.45" + } + }, + "AXI_09_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29760.19-29760.43" + } + }, + "AXI_09_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29761.18-29761.43" + } + }, + "AXI_09_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 3395, 3396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29762.18-29762.44" + } + }, + "AXI_09_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 3397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29763.12-29763.36" + } + }, + "AXI_09_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 15818 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30535.11-30535.34" + } + }, + "AXI_09_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 3398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29764.12-29764.33" + } + }, + "AXI_09_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 3399 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29765.12-29765.35" + } + }, + "AXI_09_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 3400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29766.12-29766.32" + } + }, + "AXI_09_RDATA": { + "hide_name": 0, + "bits": [ 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29767.20-29767.32" + } + }, + "AXI_09_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29768.19-29768.38" + } + }, + "AXI_09_RID": { + "hide_name": 0, + "bits": [ 3689, 3690, 3691, 3692, 3693, 3694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29769.18-29769.28" + } + }, + "AXI_09_RLAST": { + "hide_name": 0, + "bits": [ 3695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29770.12-29770.24" + } + }, + "AXI_09_RREADY": { + "hide_name": 0, + "bits": [ 15819 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30536.11-30536.24" + } + }, + "AXI_09_RRESP": { + "hide_name": 0, + "bits": [ 3696, 3697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29771.18-29771.30" + } + }, + "AXI_09_RVALID": { + "hide_name": 0, + "bits": [ 3698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29772.12-29772.25" + } + }, + "AXI_09_WDATA": { + "hide_name": 0, + "bits": [ 15820, 15821, 15822, 15823, 15824, 15825, 15826, 15827, 15828, 15829, 15830, 15831, 15832, 15833, 15834, 15835, 15836, 15837, 15838, 15839, 15840, 15841, 15842, 15843, 15844, 15845, 15846, 15847, 15848, 15849, 15850, 15851, 15852, 15853, 15854, 15855, 15856, 15857, 15858, 15859, 15860, 15861, 15862, 15863, 15864, 15865, 15866, 15867, 15868, 15869, 15870, 15871, 15872, 15873, 15874, 15875, 15876, 15877, 15878, 15879, 15880, 15881, 15882, 15883, 15884, 15885, 15886, 15887, 15888, 15889, 15890, 15891, 15892, 15893, 15894, 15895, 15896, 15897, 15898, 15899, 15900, 15901, 15902, 15903, 15904, 15905, 15906, 15907, 15908, 15909, 15910, 15911, 15912, 15913, 15914, 15915, 15916, 15917, 15918, 15919, 15920, 15921, 15922, 15923, 15924, 15925, 15926, 15927, 15928, 15929, 15930, 15931, 15932, 15933, 15934, 15935, 15936, 15937, 15938, 15939, 15940, 15941, 15942, 15943, 15944, 15945, 15946, 15947, 15948, 15949, 15950, 15951, 15952, 15953, 15954, 15955, 15956, 15957, 15958, 15959, 15960, 15961, 15962, 15963, 15964, 15965, 15966, 15967, 15968, 15969, 15970, 15971, 15972, 15973, 15974, 15975, 15976, 15977, 15978, 15979, 15980, 15981, 15982, 15983, 15984, 15985, 15986, 15987, 15988, 15989, 15990, 15991, 15992, 15993, 15994, 15995, 15996, 15997, 15998, 15999, 16000, 16001, 16002, 16003, 16004, 16005, 16006, 16007, 16008, 16009, 16010, 16011, 16012, 16013, 16014, 16015, 16016, 16017, 16018, 16019, 16020, 16021, 16022, 16023, 16024, 16025, 16026, 16027, 16028, 16029, 16030, 16031, 16032, 16033, 16034, 16035, 16036, 16037, 16038, 16039, 16040, 16041, 16042, 16043, 16044, 16045, 16046, 16047, 16048, 16049, 16050, 16051, 16052, 16053, 16054, 16055, 16056, 16057, 16058, 16059, 16060, 16061, 16062, 16063, 16064, 16065, 16066, 16067, 16068, 16069, 16070, 16071, 16072, 16073, 16074, 16075 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30537.19-30537.31" + } + }, + "AXI_09_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 16076, 16077, 16078, 16079, 16080, 16081, 16082, 16083, 16084, 16085, 16086, 16087, 16088, 16089, 16090, 16091, 16092, 16093, 16094, 16095, 16096, 16097, 16098, 16099, 16100, 16101, 16102, 16103, 16104, 16105, 16106, 16107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30538.18-30538.37" + } + }, + "AXI_09_WLAST": { + "hide_name": 0, + "bits": [ 16108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30539.11-30539.23" + } + }, + "AXI_09_WREADY": { + "hide_name": 0, + "bits": [ 3699 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29773.12-29773.25" + } + }, + "AXI_09_WSTRB": { + "hide_name": 0, + "bits": [ 16109, 16110, 16111, 16112, 16113, 16114, 16115, 16116, 16117, 16118, 16119, 16120, 16121, 16122, 16123, 16124, 16125, 16126, 16127, 16128, 16129, 16130, 16131, 16132, 16133, 16134, 16135, 16136, 16137, 16138, 16139, 16140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30540.18-30540.30" + } + }, + "AXI_09_WVALID": { + "hide_name": 0, + "bits": [ 16141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30541.11-30541.24" + } + }, + "AXI_10_ACLK": { + "hide_name": 0, + "bits": [ 16142 ], + "attributes": { + "invertible_pin": "IS_AXI_10_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30543.11-30543.22" + } + }, + "AXI_10_ARADDR": { + "hide_name": 0, + "bits": [ 16143, 16144, 16145, 16146, 16147, 16148, 16149, 16150, 16151, 16152, 16153, 16154, 16155, 16156, 16157, 16158, 16159, 16160, 16161, 16162, 16163, 16164, 16165, 16166, 16167, 16168, 16169, 16170, 16171, 16172, 16173, 16174, 16175, 16176, 16177, 16178, 16179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30544.18-30544.31" + } + }, + "AXI_10_ARBURST": { + "hide_name": 0, + "bits": [ 16180, 16181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30545.17-30545.31" + } + }, + "AXI_10_ARESET_N": { + "hide_name": 0, + "bits": [ 16182 ], + "attributes": { + "invertible_pin": "IS_AXI_10_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30547.11-30547.26" + } + }, + "AXI_10_ARID": { + "hide_name": 0, + "bits": [ 16183, 16184, 16185, 16186, 16187, 16188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30548.17-30548.28" + } + }, + "AXI_10_ARLEN": { + "hide_name": 0, + "bits": [ 16189, 16190, 16191, 16192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30549.17-30549.29" + } + }, + "AXI_10_ARREADY": { + "hide_name": 0, + "bits": [ 3700 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29774.12-29774.26" + } + }, + "AXI_10_ARSIZE": { + "hide_name": 0, + "bits": [ 16193, 16194, 16195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30550.17-30550.30" + } + }, + "AXI_10_ARVALID": { + "hide_name": 0, + "bits": [ 16196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30551.11-30551.25" + } + }, + "AXI_10_AWADDR": { + "hide_name": 0, + "bits": [ 16197, 16198, 16199, 16200, 16201, 16202, 16203, 16204, 16205, 16206, 16207, 16208, 16209, 16210, 16211, 16212, 16213, 16214, 16215, 16216, 16217, 16218, 16219, 16220, 16221, 16222, 16223, 16224, 16225, 16226, 16227, 16228, 16229, 16230, 16231, 16232, 16233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30552.18-30552.31" + } + }, + "AXI_10_AWBURST": { + "hide_name": 0, + "bits": [ 16234, 16235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30553.17-30553.31" + } + }, + "AXI_10_AWID": { + "hide_name": 0, + "bits": [ 16236, 16237, 16238, 16239, 16240, 16241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30554.17-30554.28" + } + }, + "AXI_10_AWLEN": { + "hide_name": 0, + "bits": [ 16242, 16243, 16244, 16245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30555.17-30555.29" + } + }, + "AXI_10_AWREADY": { + "hide_name": 0, + "bits": [ 3701 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29775.12-29775.26" + } + }, + "AXI_10_AWSIZE": { + "hide_name": 0, + "bits": [ 16246, 16247, 16248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30556.17-30556.30" + } + }, + "AXI_10_AWVALID": { + "hide_name": 0, + "bits": [ 16249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30557.11-30557.25" + } + }, + "AXI_10_BID": { + "hide_name": 0, + "bits": [ 3702, 3703, 3704, 3705, 3706, 3707 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29776.18-29776.28" + } + }, + "AXI_10_BREADY": { + "hide_name": 0, + "bits": [ 16250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30558.11-30558.24" + } + }, + "AXI_10_BRESP": { + "hide_name": 0, + "bits": [ 3708, 3709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29777.18-29777.30" + } + }, + "AXI_10_BVALID": { + "hide_name": 0, + "bits": [ 3710 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29778.12-29778.25" + } + }, + "AXI_10_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 3711, 3712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29779.18-29779.38" + } + }, + "AXI_10_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 3713 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29780.12-29780.30" + } + }, + "AXI_10_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29781.18-29781.45" + } + }, + "AXI_10_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29782.19-29782.43" + } + }, + "AXI_10_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29783.18-29783.43" + } + }, + "AXI_10_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 3751, 3752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29784.18-29784.44" + } + }, + "AXI_10_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 3753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29785.12-29785.36" + } + }, + "AXI_10_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 16251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30559.11-30559.34" + } + }, + "AXI_10_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 3754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29786.12-29786.33" + } + }, + "AXI_10_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 3755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29787.12-29787.35" + } + }, + "AXI_10_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 3756 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29788.12-29788.32" + } + }, + "AXI_10_MC_STATUS": { + "hide_name": 0, + "bits": [ 3757, 3758, 3759, 3760, 3761, 3762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29789.18-29789.34" + } + }, + "AXI_10_PHY_STATUS": { + "hide_name": 0, + "bits": [ 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29790.18-29790.35" + } + }, + "AXI_10_RDATA": { + "hide_name": 0, + "bits": [ 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29791.20-29791.32" + } + }, + "AXI_10_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29792.19-29792.38" + } + }, + "AXI_10_RID": { + "hide_name": 0, + "bits": [ 4059, 4060, 4061, 4062, 4063, 4064 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29793.18-29793.28" + } + }, + "AXI_10_RLAST": { + "hide_name": 0, + "bits": [ 4065 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29794.12-29794.24" + } + }, + "AXI_10_RREADY": { + "hide_name": 0, + "bits": [ 16252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30560.11-30560.24" + } + }, + "AXI_10_RRESP": { + "hide_name": 0, + "bits": [ 4066, 4067 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29795.18-29795.30" + } + }, + "AXI_10_RVALID": { + "hide_name": 0, + "bits": [ 4068 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29796.12-29796.25" + } + }, + "AXI_10_WDATA": { + "hide_name": 0, + "bits": [ 16253, 16254, 16255, 16256, 16257, 16258, 16259, 16260, 16261, 16262, 16263, 16264, 16265, 16266, 16267, 16268, 16269, 16270, 16271, 16272, 16273, 16274, 16275, 16276, 16277, 16278, 16279, 16280, 16281, 16282, 16283, 16284, 16285, 16286, 16287, 16288, 16289, 16290, 16291, 16292, 16293, 16294, 16295, 16296, 16297, 16298, 16299, 16300, 16301, 16302, 16303, 16304, 16305, 16306, 16307, 16308, 16309, 16310, 16311, 16312, 16313, 16314, 16315, 16316, 16317, 16318, 16319, 16320, 16321, 16322, 16323, 16324, 16325, 16326, 16327, 16328, 16329, 16330, 16331, 16332, 16333, 16334, 16335, 16336, 16337, 16338, 16339, 16340, 16341, 16342, 16343, 16344, 16345, 16346, 16347, 16348, 16349, 16350, 16351, 16352, 16353, 16354, 16355, 16356, 16357, 16358, 16359, 16360, 16361, 16362, 16363, 16364, 16365, 16366, 16367, 16368, 16369, 16370, 16371, 16372, 16373, 16374, 16375, 16376, 16377, 16378, 16379, 16380, 16381, 16382, 16383, 16384, 16385, 16386, 16387, 16388, 16389, 16390, 16391, 16392, 16393, 16394, 16395, 16396, 16397, 16398, 16399, 16400, 16401, 16402, 16403, 16404, 16405, 16406, 16407, 16408, 16409, 16410, 16411, 16412, 16413, 16414, 16415, 16416, 16417, 16418, 16419, 16420, 16421, 16422, 16423, 16424, 16425, 16426, 16427, 16428, 16429, 16430, 16431, 16432, 16433, 16434, 16435, 16436, 16437, 16438, 16439, 16440, 16441, 16442, 16443, 16444, 16445, 16446, 16447, 16448, 16449, 16450, 16451, 16452, 16453, 16454, 16455, 16456, 16457, 16458, 16459, 16460, 16461, 16462, 16463, 16464, 16465, 16466, 16467, 16468, 16469, 16470, 16471, 16472, 16473, 16474, 16475, 16476, 16477, 16478, 16479, 16480, 16481, 16482, 16483, 16484, 16485, 16486, 16487, 16488, 16489, 16490, 16491, 16492, 16493, 16494, 16495, 16496, 16497, 16498, 16499, 16500, 16501, 16502, 16503, 16504, 16505, 16506, 16507, 16508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30561.19-30561.31" + } + }, + "AXI_10_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 16509, 16510, 16511, 16512, 16513, 16514, 16515, 16516, 16517, 16518, 16519, 16520, 16521, 16522, 16523, 16524, 16525, 16526, 16527, 16528, 16529, 16530, 16531, 16532, 16533, 16534, 16535, 16536, 16537, 16538, 16539, 16540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30562.18-30562.37" + } + }, + "AXI_10_WLAST": { + "hide_name": 0, + "bits": [ 16541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30563.11-30563.23" + } + }, + "AXI_10_WREADY": { + "hide_name": 0, + "bits": [ 4069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29797.12-29797.25" + } + }, + "AXI_10_WSTRB": { + "hide_name": 0, + "bits": [ 16542, 16543, 16544, 16545, 16546, 16547, 16548, 16549, 16550, 16551, 16552, 16553, 16554, 16555, 16556, 16557, 16558, 16559, 16560, 16561, 16562, 16563, 16564, 16565, 16566, 16567, 16568, 16569, 16570, 16571, 16572, 16573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30564.18-30564.30" + } + }, + "AXI_10_WVALID": { + "hide_name": 0, + "bits": [ 16574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30565.11-30565.24" + } + }, + "AXI_11_ACLK": { + "hide_name": 0, + "bits": [ 16575 ], + "attributes": { + "invertible_pin": "IS_AXI_11_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30567.11-30567.22" + } + }, + "AXI_11_ARADDR": { + "hide_name": 0, + "bits": [ 16576, 16577, 16578, 16579, 16580, 16581, 16582, 16583, 16584, 16585, 16586, 16587, 16588, 16589, 16590, 16591, 16592, 16593, 16594, 16595, 16596, 16597, 16598, 16599, 16600, 16601, 16602, 16603, 16604, 16605, 16606, 16607, 16608, 16609, 16610, 16611, 16612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30568.18-30568.31" + } + }, + "AXI_11_ARBURST": { + "hide_name": 0, + "bits": [ 16613, 16614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30569.17-30569.31" + } + }, + "AXI_11_ARESET_N": { + "hide_name": 0, + "bits": [ 16615 ], + "attributes": { + "invertible_pin": "IS_AXI_11_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30571.11-30571.26" + } + }, + "AXI_11_ARID": { + "hide_name": 0, + "bits": [ 16616, 16617, 16618, 16619, 16620, 16621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30572.17-30572.28" + } + }, + "AXI_11_ARLEN": { + "hide_name": 0, + "bits": [ 16622, 16623, 16624, 16625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30573.17-30573.29" + } + }, + "AXI_11_ARREADY": { + "hide_name": 0, + "bits": [ 4070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29798.12-29798.26" + } + }, + "AXI_11_ARSIZE": { + "hide_name": 0, + "bits": [ 16626, 16627, 16628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30574.17-30574.30" + } + }, + "AXI_11_ARVALID": { + "hide_name": 0, + "bits": [ 16629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30575.11-30575.25" + } + }, + "AXI_11_AWADDR": { + "hide_name": 0, + "bits": [ 16630, 16631, 16632, 16633, 16634, 16635, 16636, 16637, 16638, 16639, 16640, 16641, 16642, 16643, 16644, 16645, 16646, 16647, 16648, 16649, 16650, 16651, 16652, 16653, 16654, 16655, 16656, 16657, 16658, 16659, 16660, 16661, 16662, 16663, 16664, 16665, 16666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30576.18-30576.31" + } + }, + "AXI_11_AWBURST": { + "hide_name": 0, + "bits": [ 16667, 16668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30577.17-30577.31" + } + }, + "AXI_11_AWID": { + "hide_name": 0, + "bits": [ 16669, 16670, 16671, 16672, 16673, 16674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30578.17-30578.28" + } + }, + "AXI_11_AWLEN": { + "hide_name": 0, + "bits": [ 16675, 16676, 16677, 16678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30579.17-30579.29" + } + }, + "AXI_11_AWREADY": { + "hide_name": 0, + "bits": [ 4071 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29799.12-29799.26" + } + }, + "AXI_11_AWSIZE": { + "hide_name": 0, + "bits": [ 16679, 16680, 16681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30580.17-30580.30" + } + }, + "AXI_11_AWVALID": { + "hide_name": 0, + "bits": [ 16682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30581.11-30581.25" + } + }, + "AXI_11_BID": { + "hide_name": 0, + "bits": [ 4072, 4073, 4074, 4075, 4076, 4077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29800.18-29800.28" + } + }, + "AXI_11_BREADY": { + "hide_name": 0, + "bits": [ 16683 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30582.11-30582.24" + } + }, + "AXI_11_BRESP": { + "hide_name": 0, + "bits": [ 4078, 4079 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29801.18-29801.30" + } + }, + "AXI_11_BVALID": { + "hide_name": 0, + "bits": [ 4080 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29802.12-29802.25" + } + }, + "AXI_11_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 4081, 4082 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29803.18-29803.38" + } + }, + "AXI_11_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 4083 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29804.12-29804.30" + } + }, + "AXI_11_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29805.18-29805.45" + } + }, + "AXI_11_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29806.19-29806.43" + } + }, + "AXI_11_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29807.18-29807.43" + } + }, + "AXI_11_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 4121, 4122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29808.18-29808.44" + } + }, + "AXI_11_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 4123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29809.12-29809.36" + } + }, + "AXI_11_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 16684 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30583.11-30583.34" + } + }, + "AXI_11_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 4124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29810.12-29810.33" + } + }, + "AXI_11_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 4125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29811.12-29811.35" + } + }, + "AXI_11_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 4126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29812.12-29812.32" + } + }, + "AXI_11_RDATA": { + "hide_name": 0, + "bits": [ 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29813.20-29813.32" + } + }, + "AXI_11_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29814.19-29814.38" + } + }, + "AXI_11_RID": { + "hide_name": 0, + "bits": [ 4415, 4416, 4417, 4418, 4419, 4420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29815.18-29815.28" + } + }, + "AXI_11_RLAST": { + "hide_name": 0, + "bits": [ 4421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29816.12-29816.24" + } + }, + "AXI_11_RREADY": { + "hide_name": 0, + "bits": [ 16685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30584.11-30584.24" + } + }, + "AXI_11_RRESP": { + "hide_name": 0, + "bits": [ 4422, 4423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29817.18-29817.30" + } + }, + "AXI_11_RVALID": { + "hide_name": 0, + "bits": [ 4424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29818.12-29818.25" + } + }, + "AXI_11_WDATA": { + "hide_name": 0, + "bits": [ 16686, 16687, 16688, 16689, 16690, 16691, 16692, 16693, 16694, 16695, 16696, 16697, 16698, 16699, 16700, 16701, 16702, 16703, 16704, 16705, 16706, 16707, 16708, 16709, 16710, 16711, 16712, 16713, 16714, 16715, 16716, 16717, 16718, 16719, 16720, 16721, 16722, 16723, 16724, 16725, 16726, 16727, 16728, 16729, 16730, 16731, 16732, 16733, 16734, 16735, 16736, 16737, 16738, 16739, 16740, 16741, 16742, 16743, 16744, 16745, 16746, 16747, 16748, 16749, 16750, 16751, 16752, 16753, 16754, 16755, 16756, 16757, 16758, 16759, 16760, 16761, 16762, 16763, 16764, 16765, 16766, 16767, 16768, 16769, 16770, 16771, 16772, 16773, 16774, 16775, 16776, 16777, 16778, 16779, 16780, 16781, 16782, 16783, 16784, 16785, 16786, 16787, 16788, 16789, 16790, 16791, 16792, 16793, 16794, 16795, 16796, 16797, 16798, 16799, 16800, 16801, 16802, 16803, 16804, 16805, 16806, 16807, 16808, 16809, 16810, 16811, 16812, 16813, 16814, 16815, 16816, 16817, 16818, 16819, 16820, 16821, 16822, 16823, 16824, 16825, 16826, 16827, 16828, 16829, 16830, 16831, 16832, 16833, 16834, 16835, 16836, 16837, 16838, 16839, 16840, 16841, 16842, 16843, 16844, 16845, 16846, 16847, 16848, 16849, 16850, 16851, 16852, 16853, 16854, 16855, 16856, 16857, 16858, 16859, 16860, 16861, 16862, 16863, 16864, 16865, 16866, 16867, 16868, 16869, 16870, 16871, 16872, 16873, 16874, 16875, 16876, 16877, 16878, 16879, 16880, 16881, 16882, 16883, 16884, 16885, 16886, 16887, 16888, 16889, 16890, 16891, 16892, 16893, 16894, 16895, 16896, 16897, 16898, 16899, 16900, 16901, 16902, 16903, 16904, 16905, 16906, 16907, 16908, 16909, 16910, 16911, 16912, 16913, 16914, 16915, 16916, 16917, 16918, 16919, 16920, 16921, 16922, 16923, 16924, 16925, 16926, 16927, 16928, 16929, 16930, 16931, 16932, 16933, 16934, 16935, 16936, 16937, 16938, 16939, 16940, 16941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30585.19-30585.31" + } + }, + "AXI_11_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 16942, 16943, 16944, 16945, 16946, 16947, 16948, 16949, 16950, 16951, 16952, 16953, 16954, 16955, 16956, 16957, 16958, 16959, 16960, 16961, 16962, 16963, 16964, 16965, 16966, 16967, 16968, 16969, 16970, 16971, 16972, 16973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30586.18-30586.37" + } + }, + "AXI_11_WLAST": { + "hide_name": 0, + "bits": [ 16974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30587.11-30587.23" + } + }, + "AXI_11_WREADY": { + "hide_name": 0, + "bits": [ 4425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29819.12-29819.25" + } + }, + "AXI_11_WSTRB": { + "hide_name": 0, + "bits": [ 16975, 16976, 16977, 16978, 16979, 16980, 16981, 16982, 16983, 16984, 16985, 16986, 16987, 16988, 16989, 16990, 16991, 16992, 16993, 16994, 16995, 16996, 16997, 16998, 16999, 17000, 17001, 17002, 17003, 17004, 17005, 17006 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30588.18-30588.30" + } + }, + "AXI_11_WVALID": { + "hide_name": 0, + "bits": [ 17007 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30589.11-30589.24" + } + }, + "AXI_12_ACLK": { + "hide_name": 0, + "bits": [ 17008 ], + "attributes": { + "invertible_pin": "IS_AXI_12_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30591.11-30591.22" + } + }, + "AXI_12_ARADDR": { + "hide_name": 0, + "bits": [ 17009, 17010, 17011, 17012, 17013, 17014, 17015, 17016, 17017, 17018, 17019, 17020, 17021, 17022, 17023, 17024, 17025, 17026, 17027, 17028, 17029, 17030, 17031, 17032, 17033, 17034, 17035, 17036, 17037, 17038, 17039, 17040, 17041, 17042, 17043, 17044, 17045 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30592.18-30592.31" + } + }, + "AXI_12_ARBURST": { + "hide_name": 0, + "bits": [ 17046, 17047 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30593.17-30593.31" + } + }, + "AXI_12_ARESET_N": { + "hide_name": 0, + "bits": [ 17048 ], + "attributes": { + "invertible_pin": "IS_AXI_12_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30595.11-30595.26" + } + }, + "AXI_12_ARID": { + "hide_name": 0, + "bits": [ 17049, 17050, 17051, 17052, 17053, 17054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30596.17-30596.28" + } + }, + "AXI_12_ARLEN": { + "hide_name": 0, + "bits": [ 17055, 17056, 17057, 17058 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30597.17-30597.29" + } + }, + "AXI_12_ARREADY": { + "hide_name": 0, + "bits": [ 4426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29820.12-29820.26" + } + }, + "AXI_12_ARSIZE": { + "hide_name": 0, + "bits": [ 17059, 17060, 17061 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30598.17-30598.30" + } + }, + "AXI_12_ARVALID": { + "hide_name": 0, + "bits": [ 17062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30599.11-30599.25" + } + }, + "AXI_12_AWADDR": { + "hide_name": 0, + "bits": [ 17063, 17064, 17065, 17066, 17067, 17068, 17069, 17070, 17071, 17072, 17073, 17074, 17075, 17076, 17077, 17078, 17079, 17080, 17081, 17082, 17083, 17084, 17085, 17086, 17087, 17088, 17089, 17090, 17091, 17092, 17093, 17094, 17095, 17096, 17097, 17098, 17099 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30600.18-30600.31" + } + }, + "AXI_12_AWBURST": { + "hide_name": 0, + "bits": [ 17100, 17101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30601.17-30601.31" + } + }, + "AXI_12_AWID": { + "hide_name": 0, + "bits": [ 17102, 17103, 17104, 17105, 17106, 17107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30602.17-30602.28" + } + }, + "AXI_12_AWLEN": { + "hide_name": 0, + "bits": [ 17108, 17109, 17110, 17111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30603.17-30603.29" + } + }, + "AXI_12_AWREADY": { + "hide_name": 0, + "bits": [ 4427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29821.12-29821.26" + } + }, + "AXI_12_AWSIZE": { + "hide_name": 0, + "bits": [ 17112, 17113, 17114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30604.17-30604.30" + } + }, + "AXI_12_AWVALID": { + "hide_name": 0, + "bits": [ 17115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30605.11-30605.25" + } + }, + "AXI_12_BID": { + "hide_name": 0, + "bits": [ 4428, 4429, 4430, 4431, 4432, 4433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29822.18-29822.28" + } + }, + "AXI_12_BREADY": { + "hide_name": 0, + "bits": [ 17116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30606.11-30606.24" + } + }, + "AXI_12_BRESP": { + "hide_name": 0, + "bits": [ 4434, 4435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29823.18-29823.30" + } + }, + "AXI_12_BVALID": { + "hide_name": 0, + "bits": [ 4436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29824.12-29824.25" + } + }, + "AXI_12_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 4437, 4438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29825.18-29825.38" + } + }, + "AXI_12_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 4439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29826.12-29826.30" + } + }, + "AXI_12_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29827.18-29827.45" + } + }, + "AXI_12_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29828.19-29828.43" + } + }, + "AXI_12_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29829.18-29829.43" + } + }, + "AXI_12_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 4477, 4478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29830.18-29830.44" + } + }, + "AXI_12_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 4479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29831.12-29831.36" + } + }, + "AXI_12_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 17117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30607.11-30607.34" + } + }, + "AXI_12_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 4480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29832.12-29832.33" + } + }, + "AXI_12_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 4481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29833.12-29833.35" + } + }, + "AXI_12_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 4482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29834.12-29834.32" + } + }, + "AXI_12_MC_STATUS": { + "hide_name": 0, + "bits": [ 4483, 4484, 4485, 4486, 4487, 4488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29835.18-29835.34" + } + }, + "AXI_12_PHY_STATUS": { + "hide_name": 0, + "bits": [ 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29836.18-29836.35" + } + }, + "AXI_12_RDATA": { + "hide_name": 0, + "bits": [ 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29837.20-29837.32" + } + }, + "AXI_12_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29838.19-29838.38" + } + }, + "AXI_12_RID": { + "hide_name": 0, + "bits": [ 4785, 4786, 4787, 4788, 4789, 4790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29839.18-29839.28" + } + }, + "AXI_12_RLAST": { + "hide_name": 0, + "bits": [ 4791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29840.12-29840.24" + } + }, + "AXI_12_RREADY": { + "hide_name": 0, + "bits": [ 17118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30608.11-30608.24" + } + }, + "AXI_12_RRESP": { + "hide_name": 0, + "bits": [ 4792, 4793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29841.18-29841.30" + } + }, + "AXI_12_RVALID": { + "hide_name": 0, + "bits": [ 4794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29842.12-29842.25" + } + }, + "AXI_12_WDATA": { + "hide_name": 0, + "bits": [ 17119, 17120, 17121, 17122, 17123, 17124, 17125, 17126, 17127, 17128, 17129, 17130, 17131, 17132, 17133, 17134, 17135, 17136, 17137, 17138, 17139, 17140, 17141, 17142, 17143, 17144, 17145, 17146, 17147, 17148, 17149, 17150, 17151, 17152, 17153, 17154, 17155, 17156, 17157, 17158, 17159, 17160, 17161, 17162, 17163, 17164, 17165, 17166, 17167, 17168, 17169, 17170, 17171, 17172, 17173, 17174, 17175, 17176, 17177, 17178, 17179, 17180, 17181, 17182, 17183, 17184, 17185, 17186, 17187, 17188, 17189, 17190, 17191, 17192, 17193, 17194, 17195, 17196, 17197, 17198, 17199, 17200, 17201, 17202, 17203, 17204, 17205, 17206, 17207, 17208, 17209, 17210, 17211, 17212, 17213, 17214, 17215, 17216, 17217, 17218, 17219, 17220, 17221, 17222, 17223, 17224, 17225, 17226, 17227, 17228, 17229, 17230, 17231, 17232, 17233, 17234, 17235, 17236, 17237, 17238, 17239, 17240, 17241, 17242, 17243, 17244, 17245, 17246, 17247, 17248, 17249, 17250, 17251, 17252, 17253, 17254, 17255, 17256, 17257, 17258, 17259, 17260, 17261, 17262, 17263, 17264, 17265, 17266, 17267, 17268, 17269, 17270, 17271, 17272, 17273, 17274, 17275, 17276, 17277, 17278, 17279, 17280, 17281, 17282, 17283, 17284, 17285, 17286, 17287, 17288, 17289, 17290, 17291, 17292, 17293, 17294, 17295, 17296, 17297, 17298, 17299, 17300, 17301, 17302, 17303, 17304, 17305, 17306, 17307, 17308, 17309, 17310, 17311, 17312, 17313, 17314, 17315, 17316, 17317, 17318, 17319, 17320, 17321, 17322, 17323, 17324, 17325, 17326, 17327, 17328, 17329, 17330, 17331, 17332, 17333, 17334, 17335, 17336, 17337, 17338, 17339, 17340, 17341, 17342, 17343, 17344, 17345, 17346, 17347, 17348, 17349, 17350, 17351, 17352, 17353, 17354, 17355, 17356, 17357, 17358, 17359, 17360, 17361, 17362, 17363, 17364, 17365, 17366, 17367, 17368, 17369, 17370, 17371, 17372, 17373, 17374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30609.19-30609.31" + } + }, + "AXI_12_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 17375, 17376, 17377, 17378, 17379, 17380, 17381, 17382, 17383, 17384, 17385, 17386, 17387, 17388, 17389, 17390, 17391, 17392, 17393, 17394, 17395, 17396, 17397, 17398, 17399, 17400, 17401, 17402, 17403, 17404, 17405, 17406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30610.18-30610.37" + } + }, + "AXI_12_WLAST": { + "hide_name": 0, + "bits": [ 17407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30611.11-30611.23" + } + }, + "AXI_12_WREADY": { + "hide_name": 0, + "bits": [ 4795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29843.12-29843.25" + } + }, + "AXI_12_WSTRB": { + "hide_name": 0, + "bits": [ 17408, 17409, 17410, 17411, 17412, 17413, 17414, 17415, 17416, 17417, 17418, 17419, 17420, 17421, 17422, 17423, 17424, 17425, 17426, 17427, 17428, 17429, 17430, 17431, 17432, 17433, 17434, 17435, 17436, 17437, 17438, 17439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30612.18-30612.30" + } + }, + "AXI_12_WVALID": { + "hide_name": 0, + "bits": [ 17440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30613.11-30613.24" + } + }, + "AXI_13_ACLK": { + "hide_name": 0, + "bits": [ 17441 ], + "attributes": { + "invertible_pin": "IS_AXI_13_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30615.11-30615.22" + } + }, + "AXI_13_ARADDR": { + "hide_name": 0, + "bits": [ 17442, 17443, 17444, 17445, 17446, 17447, 17448, 17449, 17450, 17451, 17452, 17453, 17454, 17455, 17456, 17457, 17458, 17459, 17460, 17461, 17462, 17463, 17464, 17465, 17466, 17467, 17468, 17469, 17470, 17471, 17472, 17473, 17474, 17475, 17476, 17477, 17478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30616.18-30616.31" + } + }, + "AXI_13_ARBURST": { + "hide_name": 0, + "bits": [ 17479, 17480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30617.17-30617.31" + } + }, + "AXI_13_ARESET_N": { + "hide_name": 0, + "bits": [ 17481 ], + "attributes": { + "invertible_pin": "IS_AXI_13_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30619.11-30619.26" + } + }, + "AXI_13_ARID": { + "hide_name": 0, + "bits": [ 17482, 17483, 17484, 17485, 17486, 17487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30620.17-30620.28" + } + }, + "AXI_13_ARLEN": { + "hide_name": 0, + "bits": [ 17488, 17489, 17490, 17491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30621.17-30621.29" + } + }, + "AXI_13_ARREADY": { + "hide_name": 0, + "bits": [ 4796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29844.12-29844.26" + } + }, + "AXI_13_ARSIZE": { + "hide_name": 0, + "bits": [ 17492, 17493, 17494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30622.17-30622.30" + } + }, + "AXI_13_ARVALID": { + "hide_name": 0, + "bits": [ 17495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30623.11-30623.25" + } + }, + "AXI_13_AWADDR": { + "hide_name": 0, + "bits": [ 17496, 17497, 17498, 17499, 17500, 17501, 17502, 17503, 17504, 17505, 17506, 17507, 17508, 17509, 17510, 17511, 17512, 17513, 17514, 17515, 17516, 17517, 17518, 17519, 17520, 17521, 17522, 17523, 17524, 17525, 17526, 17527, 17528, 17529, 17530, 17531, 17532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30624.18-30624.31" + } + }, + "AXI_13_AWBURST": { + "hide_name": 0, + "bits": [ 17533, 17534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30625.17-30625.31" + } + }, + "AXI_13_AWID": { + "hide_name": 0, + "bits": [ 17535, 17536, 17537, 17538, 17539, 17540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30626.17-30626.28" + } + }, + "AXI_13_AWLEN": { + "hide_name": 0, + "bits": [ 17541, 17542, 17543, 17544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30627.17-30627.29" + } + }, + "AXI_13_AWREADY": { + "hide_name": 0, + "bits": [ 4797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29845.12-29845.26" + } + }, + "AXI_13_AWSIZE": { + "hide_name": 0, + "bits": [ 17545, 17546, 17547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30628.17-30628.30" + } + }, + "AXI_13_AWVALID": { + "hide_name": 0, + "bits": [ 17548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30629.11-30629.25" + } + }, + "AXI_13_BID": { + "hide_name": 0, + "bits": [ 4798, 4799, 4800, 4801, 4802, 4803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29846.18-29846.28" + } + }, + "AXI_13_BREADY": { + "hide_name": 0, + "bits": [ 17549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30630.11-30630.24" + } + }, + "AXI_13_BRESP": { + "hide_name": 0, + "bits": [ 4804, 4805 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29847.18-29847.30" + } + }, + "AXI_13_BVALID": { + "hide_name": 0, + "bits": [ 4806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29848.12-29848.25" + } + }, + "AXI_13_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 4807, 4808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29849.18-29849.38" + } + }, + "AXI_13_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 4809 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29850.12-29850.30" + } + }, + "AXI_13_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29851.18-29851.45" + } + }, + "AXI_13_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29852.19-29852.43" + } + }, + "AXI_13_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29853.18-29853.43" + } + }, + "AXI_13_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 4847, 4848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29854.18-29854.44" + } + }, + "AXI_13_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 4849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29855.12-29855.36" + } + }, + "AXI_13_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 17550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30631.11-30631.34" + } + }, + "AXI_13_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 4850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29856.12-29856.33" + } + }, + "AXI_13_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 4851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29857.12-29857.35" + } + }, + "AXI_13_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 4852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29858.12-29858.32" + } + }, + "AXI_13_RDATA": { + "hide_name": 0, + "bits": [ 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29859.20-29859.32" + } + }, + "AXI_13_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29860.19-29860.38" + } + }, + "AXI_13_RID": { + "hide_name": 0, + "bits": [ 5141, 5142, 5143, 5144, 5145, 5146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29861.18-29861.28" + } + }, + "AXI_13_RLAST": { + "hide_name": 0, + "bits": [ 5147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29862.12-29862.24" + } + }, + "AXI_13_RREADY": { + "hide_name": 0, + "bits": [ 17551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30632.11-30632.24" + } + }, + "AXI_13_RRESP": { + "hide_name": 0, + "bits": [ 5148, 5149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29863.18-29863.30" + } + }, + "AXI_13_RVALID": { + "hide_name": 0, + "bits": [ 5150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29864.12-29864.25" + } + }, + "AXI_13_WDATA": { + "hide_name": 0, + "bits": [ 17552, 17553, 17554, 17555, 17556, 17557, 17558, 17559, 17560, 17561, 17562, 17563, 17564, 17565, 17566, 17567, 17568, 17569, 17570, 17571, 17572, 17573, 17574, 17575, 17576, 17577, 17578, 17579, 17580, 17581, 17582, 17583, 17584, 17585, 17586, 17587, 17588, 17589, 17590, 17591, 17592, 17593, 17594, 17595, 17596, 17597, 17598, 17599, 17600, 17601, 17602, 17603, 17604, 17605, 17606, 17607, 17608, 17609, 17610, 17611, 17612, 17613, 17614, 17615, 17616, 17617, 17618, 17619, 17620, 17621, 17622, 17623, 17624, 17625, 17626, 17627, 17628, 17629, 17630, 17631, 17632, 17633, 17634, 17635, 17636, 17637, 17638, 17639, 17640, 17641, 17642, 17643, 17644, 17645, 17646, 17647, 17648, 17649, 17650, 17651, 17652, 17653, 17654, 17655, 17656, 17657, 17658, 17659, 17660, 17661, 17662, 17663, 17664, 17665, 17666, 17667, 17668, 17669, 17670, 17671, 17672, 17673, 17674, 17675, 17676, 17677, 17678, 17679, 17680, 17681, 17682, 17683, 17684, 17685, 17686, 17687, 17688, 17689, 17690, 17691, 17692, 17693, 17694, 17695, 17696, 17697, 17698, 17699, 17700, 17701, 17702, 17703, 17704, 17705, 17706, 17707, 17708, 17709, 17710, 17711, 17712, 17713, 17714, 17715, 17716, 17717, 17718, 17719, 17720, 17721, 17722, 17723, 17724, 17725, 17726, 17727, 17728, 17729, 17730, 17731, 17732, 17733, 17734, 17735, 17736, 17737, 17738, 17739, 17740, 17741, 17742, 17743, 17744, 17745, 17746, 17747, 17748, 17749, 17750, 17751, 17752, 17753, 17754, 17755, 17756, 17757, 17758, 17759, 17760, 17761, 17762, 17763, 17764, 17765, 17766, 17767, 17768, 17769, 17770, 17771, 17772, 17773, 17774, 17775, 17776, 17777, 17778, 17779, 17780, 17781, 17782, 17783, 17784, 17785, 17786, 17787, 17788, 17789, 17790, 17791, 17792, 17793, 17794, 17795, 17796, 17797, 17798, 17799, 17800, 17801, 17802, 17803, 17804, 17805, 17806, 17807 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30633.19-30633.31" + } + }, + "AXI_13_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 17808, 17809, 17810, 17811, 17812, 17813, 17814, 17815, 17816, 17817, 17818, 17819, 17820, 17821, 17822, 17823, 17824, 17825, 17826, 17827, 17828, 17829, 17830, 17831, 17832, 17833, 17834, 17835, 17836, 17837, 17838, 17839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30634.18-30634.37" + } + }, + "AXI_13_WLAST": { + "hide_name": 0, + "bits": [ 17840 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30635.11-30635.23" + } + }, + "AXI_13_WREADY": { + "hide_name": 0, + "bits": [ 5151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29865.12-29865.25" + } + }, + "AXI_13_WSTRB": { + "hide_name": 0, + "bits": [ 17841, 17842, 17843, 17844, 17845, 17846, 17847, 17848, 17849, 17850, 17851, 17852, 17853, 17854, 17855, 17856, 17857, 17858, 17859, 17860, 17861, 17862, 17863, 17864, 17865, 17866, 17867, 17868, 17869, 17870, 17871, 17872 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30636.18-30636.30" + } + }, + "AXI_13_WVALID": { + "hide_name": 0, + "bits": [ 17873 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30637.11-30637.24" + } + }, + "AXI_14_ACLK": { + "hide_name": 0, + "bits": [ 17874 ], + "attributes": { + "invertible_pin": "IS_AXI_14_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30639.11-30639.22" + } + }, + "AXI_14_ARADDR": { + "hide_name": 0, + "bits": [ 17875, 17876, 17877, 17878, 17879, 17880, 17881, 17882, 17883, 17884, 17885, 17886, 17887, 17888, 17889, 17890, 17891, 17892, 17893, 17894, 17895, 17896, 17897, 17898, 17899, 17900, 17901, 17902, 17903, 17904, 17905, 17906, 17907, 17908, 17909, 17910, 17911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30640.18-30640.31" + } + }, + "AXI_14_ARBURST": { + "hide_name": 0, + "bits": [ 17912, 17913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30641.17-30641.31" + } + }, + "AXI_14_ARESET_N": { + "hide_name": 0, + "bits": [ 17914 ], + "attributes": { + "invertible_pin": "IS_AXI_14_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30643.11-30643.26" + } + }, + "AXI_14_ARID": { + "hide_name": 0, + "bits": [ 17915, 17916, 17917, 17918, 17919, 17920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30644.17-30644.28" + } + }, + "AXI_14_ARLEN": { + "hide_name": 0, + "bits": [ 17921, 17922, 17923, 17924 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30645.17-30645.29" + } + }, + "AXI_14_ARREADY": { + "hide_name": 0, + "bits": [ 5152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29866.12-29866.26" + } + }, + "AXI_14_ARSIZE": { + "hide_name": 0, + "bits": [ 17925, 17926, 17927 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30646.17-30646.30" + } + }, + "AXI_14_ARVALID": { + "hide_name": 0, + "bits": [ 17928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30647.11-30647.25" + } + }, + "AXI_14_AWADDR": { + "hide_name": 0, + "bits": [ 17929, 17930, 17931, 17932, 17933, 17934, 17935, 17936, 17937, 17938, 17939, 17940, 17941, 17942, 17943, 17944, 17945, 17946, 17947, 17948, 17949, 17950, 17951, 17952, 17953, 17954, 17955, 17956, 17957, 17958, 17959, 17960, 17961, 17962, 17963, 17964, 17965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30648.18-30648.31" + } + }, + "AXI_14_AWBURST": { + "hide_name": 0, + "bits": [ 17966, 17967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30649.17-30649.31" + } + }, + "AXI_14_AWID": { + "hide_name": 0, + "bits": [ 17968, 17969, 17970, 17971, 17972, 17973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30650.17-30650.28" + } + }, + "AXI_14_AWLEN": { + "hide_name": 0, + "bits": [ 17974, 17975, 17976, 17977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30651.17-30651.29" + } + }, + "AXI_14_AWREADY": { + "hide_name": 0, + "bits": [ 5153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29867.12-29867.26" + } + }, + "AXI_14_AWSIZE": { + "hide_name": 0, + "bits": [ 17978, 17979, 17980 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30652.17-30652.30" + } + }, + "AXI_14_AWVALID": { + "hide_name": 0, + "bits": [ 17981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30653.11-30653.25" + } + }, + "AXI_14_BID": { + "hide_name": 0, + "bits": [ 5154, 5155, 5156, 5157, 5158, 5159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29868.18-29868.28" + } + }, + "AXI_14_BREADY": { + "hide_name": 0, + "bits": [ 17982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30654.11-30654.24" + } + }, + "AXI_14_BRESP": { + "hide_name": 0, + "bits": [ 5160, 5161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29869.18-29869.30" + } + }, + "AXI_14_BVALID": { + "hide_name": 0, + "bits": [ 5162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29870.12-29870.25" + } + }, + "AXI_14_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 5163, 5164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29871.18-29871.38" + } + }, + "AXI_14_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 5165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29872.12-29872.30" + } + }, + "AXI_14_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29873.18-29873.45" + } + }, + "AXI_14_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29874.19-29874.43" + } + }, + "AXI_14_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29875.18-29875.43" + } + }, + "AXI_14_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 5203, 5204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29876.18-29876.44" + } + }, + "AXI_14_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 5205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29877.12-29877.36" + } + }, + "AXI_14_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 17983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30655.11-30655.34" + } + }, + "AXI_14_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 5206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29878.12-29878.33" + } + }, + "AXI_14_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 5207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29879.12-29879.35" + } + }, + "AXI_14_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 5208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29880.12-29880.32" + } + }, + "AXI_14_MC_STATUS": { + "hide_name": 0, + "bits": [ 5209, 5210, 5211, 5212, 5213, 5214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29881.18-29881.34" + } + }, + "AXI_14_PHY_STATUS": { + "hide_name": 0, + "bits": [ 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29882.18-29882.35" + } + }, + "AXI_14_RDATA": { + "hide_name": 0, + "bits": [ 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394, 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29883.20-29883.32" + } + }, + "AXI_14_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29884.19-29884.38" + } + }, + "AXI_14_RID": { + "hide_name": 0, + "bits": [ 5511, 5512, 5513, 5514, 5515, 5516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29885.18-29885.28" + } + }, + "AXI_14_RLAST": { + "hide_name": 0, + "bits": [ 5517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29886.12-29886.24" + } + }, + "AXI_14_RREADY": { + "hide_name": 0, + "bits": [ 17984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30656.11-30656.24" + } + }, + "AXI_14_RRESP": { + "hide_name": 0, + "bits": [ 5518, 5519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29887.18-29887.30" + } + }, + "AXI_14_RVALID": { + "hide_name": 0, + "bits": [ 5520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29888.12-29888.25" + } + }, + "AXI_14_WDATA": { + "hide_name": 0, + "bits": [ 17985, 17986, 17987, 17988, 17989, 17990, 17991, 17992, 17993, 17994, 17995, 17996, 17997, 17998, 17999, 18000, 18001, 18002, 18003, 18004, 18005, 18006, 18007, 18008, 18009, 18010, 18011, 18012, 18013, 18014, 18015, 18016, 18017, 18018, 18019, 18020, 18021, 18022, 18023, 18024, 18025, 18026, 18027, 18028, 18029, 18030, 18031, 18032, 18033, 18034, 18035, 18036, 18037, 18038, 18039, 18040, 18041, 18042, 18043, 18044, 18045, 18046, 18047, 18048, 18049, 18050, 18051, 18052, 18053, 18054, 18055, 18056, 18057, 18058, 18059, 18060, 18061, 18062, 18063, 18064, 18065, 18066, 18067, 18068, 18069, 18070, 18071, 18072, 18073, 18074, 18075, 18076, 18077, 18078, 18079, 18080, 18081, 18082, 18083, 18084, 18085, 18086, 18087, 18088, 18089, 18090, 18091, 18092, 18093, 18094, 18095, 18096, 18097, 18098, 18099, 18100, 18101, 18102, 18103, 18104, 18105, 18106, 18107, 18108, 18109, 18110, 18111, 18112, 18113, 18114, 18115, 18116, 18117, 18118, 18119, 18120, 18121, 18122, 18123, 18124, 18125, 18126, 18127, 18128, 18129, 18130, 18131, 18132, 18133, 18134, 18135, 18136, 18137, 18138, 18139, 18140, 18141, 18142, 18143, 18144, 18145, 18146, 18147, 18148, 18149, 18150, 18151, 18152, 18153, 18154, 18155, 18156, 18157, 18158, 18159, 18160, 18161, 18162, 18163, 18164, 18165, 18166, 18167, 18168, 18169, 18170, 18171, 18172, 18173, 18174, 18175, 18176, 18177, 18178, 18179, 18180, 18181, 18182, 18183, 18184, 18185, 18186, 18187, 18188, 18189, 18190, 18191, 18192, 18193, 18194, 18195, 18196, 18197, 18198, 18199, 18200, 18201, 18202, 18203, 18204, 18205, 18206, 18207, 18208, 18209, 18210, 18211, 18212, 18213, 18214, 18215, 18216, 18217, 18218, 18219, 18220, 18221, 18222, 18223, 18224, 18225, 18226, 18227, 18228, 18229, 18230, 18231, 18232, 18233, 18234, 18235, 18236, 18237, 18238, 18239, 18240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30657.19-30657.31" + } + }, + "AXI_14_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 18241, 18242, 18243, 18244, 18245, 18246, 18247, 18248, 18249, 18250, 18251, 18252, 18253, 18254, 18255, 18256, 18257, 18258, 18259, 18260, 18261, 18262, 18263, 18264, 18265, 18266, 18267, 18268, 18269, 18270, 18271, 18272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30658.18-30658.37" + } + }, + "AXI_14_WLAST": { + "hide_name": 0, + "bits": [ 18273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30659.11-30659.23" + } + }, + "AXI_14_WREADY": { + "hide_name": 0, + "bits": [ 5521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29889.12-29889.25" + } + }, + "AXI_14_WSTRB": { + "hide_name": 0, + "bits": [ 18274, 18275, 18276, 18277, 18278, 18279, 18280, 18281, 18282, 18283, 18284, 18285, 18286, 18287, 18288, 18289, 18290, 18291, 18292, 18293, 18294, 18295, 18296, 18297, 18298, 18299, 18300, 18301, 18302, 18303, 18304, 18305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30660.18-30660.30" + } + }, + "AXI_14_WVALID": { + "hide_name": 0, + "bits": [ 18306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30661.11-30661.24" + } + }, + "AXI_15_ACLK": { + "hide_name": 0, + "bits": [ 18307 ], + "attributes": { + "invertible_pin": "IS_AXI_15_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30663.11-30663.22" + } + }, + "AXI_15_ARADDR": { + "hide_name": 0, + "bits": [ 18308, 18309, 18310, 18311, 18312, 18313, 18314, 18315, 18316, 18317, 18318, 18319, 18320, 18321, 18322, 18323, 18324, 18325, 18326, 18327, 18328, 18329, 18330, 18331, 18332, 18333, 18334, 18335, 18336, 18337, 18338, 18339, 18340, 18341, 18342, 18343, 18344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30664.18-30664.31" + } + }, + "AXI_15_ARBURST": { + "hide_name": 0, + "bits": [ 18345, 18346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30665.17-30665.31" + } + }, + "AXI_15_ARESET_N": { + "hide_name": 0, + "bits": [ 18347 ], + "attributes": { + "invertible_pin": "IS_AXI_15_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30667.11-30667.26" + } + }, + "AXI_15_ARID": { + "hide_name": 0, + "bits": [ 18348, 18349, 18350, 18351, 18352, 18353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30668.17-30668.28" + } + }, + "AXI_15_ARLEN": { + "hide_name": 0, + "bits": [ 18354, 18355, 18356, 18357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30669.17-30669.29" + } + }, + "AXI_15_ARREADY": { + "hide_name": 0, + "bits": [ 5522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29890.12-29890.26" + } + }, + "AXI_15_ARSIZE": { + "hide_name": 0, + "bits": [ 18358, 18359, 18360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30670.17-30670.30" + } + }, + "AXI_15_ARVALID": { + "hide_name": 0, + "bits": [ 18361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30671.11-30671.25" + } + }, + "AXI_15_AWADDR": { + "hide_name": 0, + "bits": [ 18362, 18363, 18364, 18365, 18366, 18367, 18368, 18369, 18370, 18371, 18372, 18373, 18374, 18375, 18376, 18377, 18378, 18379, 18380, 18381, 18382, 18383, 18384, 18385, 18386, 18387, 18388, 18389, 18390, 18391, 18392, 18393, 18394, 18395, 18396, 18397, 18398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30672.18-30672.31" + } + }, + "AXI_15_AWBURST": { + "hide_name": 0, + "bits": [ 18399, 18400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30673.17-30673.31" + } + }, + "AXI_15_AWID": { + "hide_name": 0, + "bits": [ 18401, 18402, 18403, 18404, 18405, 18406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30674.17-30674.28" + } + }, + "AXI_15_AWLEN": { + "hide_name": 0, + "bits": [ 18407, 18408, 18409, 18410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30675.17-30675.29" + } + }, + "AXI_15_AWREADY": { + "hide_name": 0, + "bits": [ 5523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29891.12-29891.26" + } + }, + "AXI_15_AWSIZE": { + "hide_name": 0, + "bits": [ 18411, 18412, 18413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30676.17-30676.30" + } + }, + "AXI_15_AWVALID": { + "hide_name": 0, + "bits": [ 18414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30677.11-30677.25" + } + }, + "AXI_15_BID": { + "hide_name": 0, + "bits": [ 5524, 5525, 5526, 5527, 5528, 5529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29892.18-29892.28" + } + }, + "AXI_15_BREADY": { + "hide_name": 0, + "bits": [ 18415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30678.11-30678.24" + } + }, + "AXI_15_BRESP": { + "hide_name": 0, + "bits": [ 5530, 5531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29893.18-29893.30" + } + }, + "AXI_15_BVALID": { + "hide_name": 0, + "bits": [ 5532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29894.12-29894.25" + } + }, + "AXI_15_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 5533, 5534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29895.18-29895.38" + } + }, + "AXI_15_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 5535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29896.12-29896.30" + } + }, + "AXI_15_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29897.18-29897.45" + } + }, + "AXI_15_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29898.19-29898.43" + } + }, + "AXI_15_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29899.18-29899.43" + } + }, + "AXI_15_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 5573, 5574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29900.18-29900.44" + } + }, + "AXI_15_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 5575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29901.12-29901.36" + } + }, + "AXI_15_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 18416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30679.11-30679.34" + } + }, + "AXI_15_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 5576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29902.12-29902.33" + } + }, + "AXI_15_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 5577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29903.12-29903.35" + } + }, + "AXI_15_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 5578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29904.12-29904.32" + } + }, + "AXI_15_RDATA": { + "hide_name": 0, + "bits": [ 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820, 5821, 5822, 5823, 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832, 5833, 5834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29905.20-29905.32" + } + }, + "AXI_15_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842, 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29906.19-29906.38" + } + }, + "AXI_15_RID": { + "hide_name": 0, + "bits": [ 5867, 5868, 5869, 5870, 5871, 5872 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29907.18-29907.28" + } + }, + "AXI_15_RLAST": { + "hide_name": 0, + "bits": [ 5873 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29908.12-29908.24" + } + }, + "AXI_15_RREADY": { + "hide_name": 0, + "bits": [ 18417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30680.11-30680.24" + } + }, + "AXI_15_RRESP": { + "hide_name": 0, + "bits": [ 5874, 5875 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29909.18-29909.30" + } + }, + "AXI_15_RVALID": { + "hide_name": 0, + "bits": [ 5876 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29910.12-29910.25" + } + }, + "AXI_15_WDATA": { + "hide_name": 0, + "bits": [ 18418, 18419, 18420, 18421, 18422, 18423, 18424, 18425, 18426, 18427, 18428, 18429, 18430, 18431, 18432, 18433, 18434, 18435, 18436, 18437, 18438, 18439, 18440, 18441, 18442, 18443, 18444, 18445, 18446, 18447, 18448, 18449, 18450, 18451, 18452, 18453, 18454, 18455, 18456, 18457, 18458, 18459, 18460, 18461, 18462, 18463, 18464, 18465, 18466, 18467, 18468, 18469, 18470, 18471, 18472, 18473, 18474, 18475, 18476, 18477, 18478, 18479, 18480, 18481, 18482, 18483, 18484, 18485, 18486, 18487, 18488, 18489, 18490, 18491, 18492, 18493, 18494, 18495, 18496, 18497, 18498, 18499, 18500, 18501, 18502, 18503, 18504, 18505, 18506, 18507, 18508, 18509, 18510, 18511, 18512, 18513, 18514, 18515, 18516, 18517, 18518, 18519, 18520, 18521, 18522, 18523, 18524, 18525, 18526, 18527, 18528, 18529, 18530, 18531, 18532, 18533, 18534, 18535, 18536, 18537, 18538, 18539, 18540, 18541, 18542, 18543, 18544, 18545, 18546, 18547, 18548, 18549, 18550, 18551, 18552, 18553, 18554, 18555, 18556, 18557, 18558, 18559, 18560, 18561, 18562, 18563, 18564, 18565, 18566, 18567, 18568, 18569, 18570, 18571, 18572, 18573, 18574, 18575, 18576, 18577, 18578, 18579, 18580, 18581, 18582, 18583, 18584, 18585, 18586, 18587, 18588, 18589, 18590, 18591, 18592, 18593, 18594, 18595, 18596, 18597, 18598, 18599, 18600, 18601, 18602, 18603, 18604, 18605, 18606, 18607, 18608, 18609, 18610, 18611, 18612, 18613, 18614, 18615, 18616, 18617, 18618, 18619, 18620, 18621, 18622, 18623, 18624, 18625, 18626, 18627, 18628, 18629, 18630, 18631, 18632, 18633, 18634, 18635, 18636, 18637, 18638, 18639, 18640, 18641, 18642, 18643, 18644, 18645, 18646, 18647, 18648, 18649, 18650, 18651, 18652, 18653, 18654, 18655, 18656, 18657, 18658, 18659, 18660, 18661, 18662, 18663, 18664, 18665, 18666, 18667, 18668, 18669, 18670, 18671, 18672, 18673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30681.19-30681.31" + } + }, + "AXI_15_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 18674, 18675, 18676, 18677, 18678, 18679, 18680, 18681, 18682, 18683, 18684, 18685, 18686, 18687, 18688, 18689, 18690, 18691, 18692, 18693, 18694, 18695, 18696, 18697, 18698, 18699, 18700, 18701, 18702, 18703, 18704, 18705 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30682.18-30682.37" + } + }, + "AXI_15_WLAST": { + "hide_name": 0, + "bits": [ 18706 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30683.11-30683.23" + } + }, + "AXI_15_WREADY": { + "hide_name": 0, + "bits": [ 5877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29911.12-29911.25" + } + }, + "AXI_15_WSTRB": { + "hide_name": 0, + "bits": [ 18707, 18708, 18709, 18710, 18711, 18712, 18713, 18714, 18715, 18716, 18717, 18718, 18719, 18720, 18721, 18722, 18723, 18724, 18725, 18726, 18727, 18728, 18729, 18730, 18731, 18732, 18733, 18734, 18735, 18736, 18737, 18738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30684.18-30684.30" + } + }, + "AXI_15_WVALID": { + "hide_name": 0, + "bits": [ 18739 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30685.11-30685.24" + } + }, + "AXI_16_ACLK": { + "hide_name": 0, + "bits": [ 18740 ], + "attributes": { + "invertible_pin": "IS_AXI_16_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30687.11-30687.22" + } + }, + "AXI_16_ARADDR": { + "hide_name": 0, + "bits": [ 18741, 18742, 18743, 18744, 18745, 18746, 18747, 18748, 18749, 18750, 18751, 18752, 18753, 18754, 18755, 18756, 18757, 18758, 18759, 18760, 18761, 18762, 18763, 18764, 18765, 18766, 18767, 18768, 18769, 18770, 18771, 18772, 18773, 18774, 18775, 18776, 18777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30688.18-30688.31" + } + }, + "AXI_16_ARBURST": { + "hide_name": 0, + "bits": [ 18778, 18779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30689.17-30689.31" + } + }, + "AXI_16_ARESET_N": { + "hide_name": 0, + "bits": [ 18780 ], + "attributes": { + "invertible_pin": "IS_AXI_16_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30691.11-30691.26" + } + }, + "AXI_16_ARID": { + "hide_name": 0, + "bits": [ 18781, 18782, 18783, 18784, 18785, 18786 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30692.17-30692.28" + } + }, + "AXI_16_ARLEN": { + "hide_name": 0, + "bits": [ 18787, 18788, 18789, 18790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30693.17-30693.29" + } + }, + "AXI_16_ARREADY": { + "hide_name": 0, + "bits": [ 5878 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29912.12-29912.26" + } + }, + "AXI_16_ARSIZE": { + "hide_name": 0, + "bits": [ 18791, 18792, 18793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30694.17-30694.30" + } + }, + "AXI_16_ARVALID": { + "hide_name": 0, + "bits": [ 18794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30695.11-30695.25" + } + }, + "AXI_16_AWADDR": { + "hide_name": 0, + "bits": [ 18795, 18796, 18797, 18798, 18799, 18800, 18801, 18802, 18803, 18804, 18805, 18806, 18807, 18808, 18809, 18810, 18811, 18812, 18813, 18814, 18815, 18816, 18817, 18818, 18819, 18820, 18821, 18822, 18823, 18824, 18825, 18826, 18827, 18828, 18829, 18830, 18831 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30696.18-30696.31" + } + }, + "AXI_16_AWBURST": { + "hide_name": 0, + "bits": [ 18832, 18833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30697.17-30697.31" + } + }, + "AXI_16_AWID": { + "hide_name": 0, + "bits": [ 18834, 18835, 18836, 18837, 18838, 18839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30698.17-30698.28" + } + }, + "AXI_16_AWLEN": { + "hide_name": 0, + "bits": [ 18840, 18841, 18842, 18843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30699.17-30699.29" + } + }, + "AXI_16_AWREADY": { + "hide_name": 0, + "bits": [ 5879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29913.12-29913.26" + } + }, + "AXI_16_AWSIZE": { + "hide_name": 0, + "bits": [ 18844, 18845, 18846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30700.17-30700.30" + } + }, + "AXI_16_AWVALID": { + "hide_name": 0, + "bits": [ 18847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30701.11-30701.25" + } + }, + "AXI_16_BID": { + "hide_name": 0, + "bits": [ 5880, 5881, 5882, 5883, 5884, 5885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29914.18-29914.28" + } + }, + "AXI_16_BREADY": { + "hide_name": 0, + "bits": [ 18848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30702.11-30702.24" + } + }, + "AXI_16_BRESP": { + "hide_name": 0, + "bits": [ 5886, 5887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29915.18-29915.30" + } + }, + "AXI_16_BVALID": { + "hide_name": 0, + "bits": [ 5888 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29916.12-29916.25" + } + }, + "AXI_16_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 5889, 5890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29917.18-29917.38" + } + }, + "AXI_16_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 5891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29918.12-29918.30" + } + }, + "AXI_16_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29919.18-29919.45" + } + }, + "AXI_16_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29920.19-29920.43" + } + }, + "AXI_16_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29921.18-29921.43" + } + }, + "AXI_16_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 5929, 5930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29922.18-29922.44" + } + }, + "AXI_16_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 5931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29923.12-29923.36" + } + }, + "AXI_16_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 18849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30703.11-30703.34" + } + }, + "AXI_16_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 5932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29924.12-29924.33" + } + }, + "AXI_16_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 5933 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29925.12-29925.35" + } + }, + "AXI_16_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 5934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29926.12-29926.32" + } + }, + "AXI_16_MC_STATUS": { + "hide_name": 0, + "bits": [ 5935, 5936, 5937, 5938, 5939, 5940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29927.18-29927.34" + } + }, + "AXI_16_PHY_STATUS": { + "hide_name": 0, + "bits": [ 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29928.18-29928.35" + } + }, + "AXI_16_RDATA": { + "hide_name": 0, + "bits": [ 5949, 5950, 5951, 5952, 5953, 5954, 5955, 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983, 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994, 5995, 5996, 5997, 5998, 5999, 6000, 6001, 6002, 6003, 6004, 6005, 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016, 6017, 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027, 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082, 6083, 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152, 6153, 6154, 6155, 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29929.20-29929.32" + } + }, + "AXI_16_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29930.19-29930.38" + } + }, + "AXI_16_RID": { + "hide_name": 0, + "bits": [ 6237, 6238, 6239, 6240, 6241, 6242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29931.18-29931.28" + } + }, + "AXI_16_RLAST": { + "hide_name": 0, + "bits": [ 6243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29932.12-29932.24" + } + }, + "AXI_16_RREADY": { + "hide_name": 0, + "bits": [ 18850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30704.11-30704.24" + } + }, + "AXI_16_RRESP": { + "hide_name": 0, + "bits": [ 6244, 6245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29933.18-29933.30" + } + }, + "AXI_16_RVALID": { + "hide_name": 0, + "bits": [ 6246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29934.12-29934.25" + } + }, + "AXI_16_WDATA": { + "hide_name": 0, + "bits": [ 18851, 18852, 18853, 18854, 18855, 18856, 18857, 18858, 18859, 18860, 18861, 18862, 18863, 18864, 18865, 18866, 18867, 18868, 18869, 18870, 18871, 18872, 18873, 18874, 18875, 18876, 18877, 18878, 18879, 18880, 18881, 18882, 18883, 18884, 18885, 18886, 18887, 18888, 18889, 18890, 18891, 18892, 18893, 18894, 18895, 18896, 18897, 18898, 18899, 18900, 18901, 18902, 18903, 18904, 18905, 18906, 18907, 18908, 18909, 18910, 18911, 18912, 18913, 18914, 18915, 18916, 18917, 18918, 18919, 18920, 18921, 18922, 18923, 18924, 18925, 18926, 18927, 18928, 18929, 18930, 18931, 18932, 18933, 18934, 18935, 18936, 18937, 18938, 18939, 18940, 18941, 18942, 18943, 18944, 18945, 18946, 18947, 18948, 18949, 18950, 18951, 18952, 18953, 18954, 18955, 18956, 18957, 18958, 18959, 18960, 18961, 18962, 18963, 18964, 18965, 18966, 18967, 18968, 18969, 18970, 18971, 18972, 18973, 18974, 18975, 18976, 18977, 18978, 18979, 18980, 18981, 18982, 18983, 18984, 18985, 18986, 18987, 18988, 18989, 18990, 18991, 18992, 18993, 18994, 18995, 18996, 18997, 18998, 18999, 19000, 19001, 19002, 19003, 19004, 19005, 19006, 19007, 19008, 19009, 19010, 19011, 19012, 19013, 19014, 19015, 19016, 19017, 19018, 19019, 19020, 19021, 19022, 19023, 19024, 19025, 19026, 19027, 19028, 19029, 19030, 19031, 19032, 19033, 19034, 19035, 19036, 19037, 19038, 19039, 19040, 19041, 19042, 19043, 19044, 19045, 19046, 19047, 19048, 19049, 19050, 19051, 19052, 19053, 19054, 19055, 19056, 19057, 19058, 19059, 19060, 19061, 19062, 19063, 19064, 19065, 19066, 19067, 19068, 19069, 19070, 19071, 19072, 19073, 19074, 19075, 19076, 19077, 19078, 19079, 19080, 19081, 19082, 19083, 19084, 19085, 19086, 19087, 19088, 19089, 19090, 19091, 19092, 19093, 19094, 19095, 19096, 19097, 19098, 19099, 19100, 19101, 19102, 19103, 19104, 19105, 19106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30705.19-30705.31" + } + }, + "AXI_16_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 19107, 19108, 19109, 19110, 19111, 19112, 19113, 19114, 19115, 19116, 19117, 19118, 19119, 19120, 19121, 19122, 19123, 19124, 19125, 19126, 19127, 19128, 19129, 19130, 19131, 19132, 19133, 19134, 19135, 19136, 19137, 19138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30706.18-30706.37" + } + }, + "AXI_16_WLAST": { + "hide_name": 0, + "bits": [ 19139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30707.11-30707.23" + } + }, + "AXI_16_WREADY": { + "hide_name": 0, + "bits": [ 6247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29935.12-29935.25" + } + }, + "AXI_16_WSTRB": { + "hide_name": 0, + "bits": [ 19140, 19141, 19142, 19143, 19144, 19145, 19146, 19147, 19148, 19149, 19150, 19151, 19152, 19153, 19154, 19155, 19156, 19157, 19158, 19159, 19160, 19161, 19162, 19163, 19164, 19165, 19166, 19167, 19168, 19169, 19170, 19171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30708.18-30708.30" + } + }, + "AXI_16_WVALID": { + "hide_name": 0, + "bits": [ 19172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30709.11-30709.24" + } + }, + "AXI_17_ACLK": { + "hide_name": 0, + "bits": [ 19173 ], + "attributes": { + "invertible_pin": "IS_AXI_17_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30711.11-30711.22" + } + }, + "AXI_17_ARADDR": { + "hide_name": 0, + "bits": [ 19174, 19175, 19176, 19177, 19178, 19179, 19180, 19181, 19182, 19183, 19184, 19185, 19186, 19187, 19188, 19189, 19190, 19191, 19192, 19193, 19194, 19195, 19196, 19197, 19198, 19199, 19200, 19201, 19202, 19203, 19204, 19205, 19206, 19207, 19208, 19209, 19210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30712.18-30712.31" + } + }, + "AXI_17_ARBURST": { + "hide_name": 0, + "bits": [ 19211, 19212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30713.17-30713.31" + } + }, + "AXI_17_ARESET_N": { + "hide_name": 0, + "bits": [ 19213 ], + "attributes": { + "invertible_pin": "IS_AXI_17_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30715.11-30715.26" + } + }, + "AXI_17_ARID": { + "hide_name": 0, + "bits": [ 19214, 19215, 19216, 19217, 19218, 19219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30716.17-30716.28" + } + }, + "AXI_17_ARLEN": { + "hide_name": 0, + "bits": [ 19220, 19221, 19222, 19223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30717.17-30717.29" + } + }, + "AXI_17_ARREADY": { + "hide_name": 0, + "bits": [ 6248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29936.12-29936.26" + } + }, + "AXI_17_ARSIZE": { + "hide_name": 0, + "bits": [ 19224, 19225, 19226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30718.17-30718.30" + } + }, + "AXI_17_ARVALID": { + "hide_name": 0, + "bits": [ 19227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30719.11-30719.25" + } + }, + "AXI_17_AWADDR": { + "hide_name": 0, + "bits": [ 19228, 19229, 19230, 19231, 19232, 19233, 19234, 19235, 19236, 19237, 19238, 19239, 19240, 19241, 19242, 19243, 19244, 19245, 19246, 19247, 19248, 19249, 19250, 19251, 19252, 19253, 19254, 19255, 19256, 19257, 19258, 19259, 19260, 19261, 19262, 19263, 19264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30720.18-30720.31" + } + }, + "AXI_17_AWBURST": { + "hide_name": 0, + "bits": [ 19265, 19266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30721.17-30721.31" + } + }, + "AXI_17_AWID": { + "hide_name": 0, + "bits": [ 19267, 19268, 19269, 19270, 19271, 19272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30722.17-30722.28" + } + }, + "AXI_17_AWLEN": { + "hide_name": 0, + "bits": [ 19273, 19274, 19275, 19276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30723.17-30723.29" + } + }, + "AXI_17_AWREADY": { + "hide_name": 0, + "bits": [ 6249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29937.12-29937.26" + } + }, + "AXI_17_AWSIZE": { + "hide_name": 0, + "bits": [ 19277, 19278, 19279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30724.17-30724.30" + } + }, + "AXI_17_AWVALID": { + "hide_name": 0, + "bits": [ 19280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30725.11-30725.25" + } + }, + "AXI_17_BID": { + "hide_name": 0, + "bits": [ 6250, 6251, 6252, 6253, 6254, 6255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29938.18-29938.28" + } + }, + "AXI_17_BREADY": { + "hide_name": 0, + "bits": [ 19281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30726.11-30726.24" + } + }, + "AXI_17_BRESP": { + "hide_name": 0, + "bits": [ 6256, 6257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29939.18-29939.30" + } + }, + "AXI_17_BVALID": { + "hide_name": 0, + "bits": [ 6258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29940.12-29940.25" + } + }, + "AXI_17_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 6259, 6260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29941.18-29941.38" + } + }, + "AXI_17_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 6261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29942.12-29942.30" + } + }, + "AXI_17_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29943.18-29943.45" + } + }, + "AXI_17_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283, 6284, 6285, 6286, 6287, 6288, 6289, 6290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29944.19-29944.43" + } + }, + "AXI_17_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29945.18-29945.43" + } + }, + "AXI_17_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 6299, 6300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29946.18-29946.44" + } + }, + "AXI_17_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 6301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29947.12-29947.36" + } + }, + "AXI_17_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 19282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30727.11-30727.34" + } + }, + "AXI_17_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 6302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29948.12-29948.33" + } + }, + "AXI_17_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 6303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29949.12-29949.35" + } + }, + "AXI_17_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 6304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29950.12-29950.32" + } + }, + "AXI_17_RDATA": { + "hide_name": 0, + "bits": [ 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384, 6385, 6386, 6387, 6388, 6389, 6390, 6391, 6392, 6393, 6394, 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411, 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449, 6450, 6451, 6452, 6453, 6454, 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478, 6479, 6480, 6481, 6482, 6483, 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539, 6540, 6541, 6542, 6543, 6544, 6545, 6546, 6547, 6548, 6549, 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29951.20-29951.32" + } + }, + "AXI_17_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 6561, 6562, 6563, 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581, 6582, 6583, 6584, 6585, 6586, 6587, 6588, 6589, 6590, 6591, 6592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29952.19-29952.38" + } + }, + "AXI_17_RID": { + "hide_name": 0, + "bits": [ 6593, 6594, 6595, 6596, 6597, 6598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29953.18-29953.28" + } + }, + "AXI_17_RLAST": { + "hide_name": 0, + "bits": [ 6599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29954.12-29954.24" + } + }, + "AXI_17_RREADY": { + "hide_name": 0, + "bits": [ 19283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30728.11-30728.24" + } + }, + "AXI_17_RRESP": { + "hide_name": 0, + "bits": [ 6600, 6601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29955.18-29955.30" + } + }, + "AXI_17_RVALID": { + "hide_name": 0, + "bits": [ 6602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29956.12-29956.25" + } + }, + "AXI_17_WDATA": { + "hide_name": 0, + "bits": [ 19284, 19285, 19286, 19287, 19288, 19289, 19290, 19291, 19292, 19293, 19294, 19295, 19296, 19297, 19298, 19299, 19300, 19301, 19302, 19303, 19304, 19305, 19306, 19307, 19308, 19309, 19310, 19311, 19312, 19313, 19314, 19315, 19316, 19317, 19318, 19319, 19320, 19321, 19322, 19323, 19324, 19325, 19326, 19327, 19328, 19329, 19330, 19331, 19332, 19333, 19334, 19335, 19336, 19337, 19338, 19339, 19340, 19341, 19342, 19343, 19344, 19345, 19346, 19347, 19348, 19349, 19350, 19351, 19352, 19353, 19354, 19355, 19356, 19357, 19358, 19359, 19360, 19361, 19362, 19363, 19364, 19365, 19366, 19367, 19368, 19369, 19370, 19371, 19372, 19373, 19374, 19375, 19376, 19377, 19378, 19379, 19380, 19381, 19382, 19383, 19384, 19385, 19386, 19387, 19388, 19389, 19390, 19391, 19392, 19393, 19394, 19395, 19396, 19397, 19398, 19399, 19400, 19401, 19402, 19403, 19404, 19405, 19406, 19407, 19408, 19409, 19410, 19411, 19412, 19413, 19414, 19415, 19416, 19417, 19418, 19419, 19420, 19421, 19422, 19423, 19424, 19425, 19426, 19427, 19428, 19429, 19430, 19431, 19432, 19433, 19434, 19435, 19436, 19437, 19438, 19439, 19440, 19441, 19442, 19443, 19444, 19445, 19446, 19447, 19448, 19449, 19450, 19451, 19452, 19453, 19454, 19455, 19456, 19457, 19458, 19459, 19460, 19461, 19462, 19463, 19464, 19465, 19466, 19467, 19468, 19469, 19470, 19471, 19472, 19473, 19474, 19475, 19476, 19477, 19478, 19479, 19480, 19481, 19482, 19483, 19484, 19485, 19486, 19487, 19488, 19489, 19490, 19491, 19492, 19493, 19494, 19495, 19496, 19497, 19498, 19499, 19500, 19501, 19502, 19503, 19504, 19505, 19506, 19507, 19508, 19509, 19510, 19511, 19512, 19513, 19514, 19515, 19516, 19517, 19518, 19519, 19520, 19521, 19522, 19523, 19524, 19525, 19526, 19527, 19528, 19529, 19530, 19531, 19532, 19533, 19534, 19535, 19536, 19537, 19538, 19539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30729.19-30729.31" + } + }, + "AXI_17_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 19540, 19541, 19542, 19543, 19544, 19545, 19546, 19547, 19548, 19549, 19550, 19551, 19552, 19553, 19554, 19555, 19556, 19557, 19558, 19559, 19560, 19561, 19562, 19563, 19564, 19565, 19566, 19567, 19568, 19569, 19570, 19571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30730.18-30730.37" + } + }, + "AXI_17_WLAST": { + "hide_name": 0, + "bits": [ 19572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30731.11-30731.23" + } + }, + "AXI_17_WREADY": { + "hide_name": 0, + "bits": [ 6603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29957.12-29957.25" + } + }, + "AXI_17_WSTRB": { + "hide_name": 0, + "bits": [ 19573, 19574, 19575, 19576, 19577, 19578, 19579, 19580, 19581, 19582, 19583, 19584, 19585, 19586, 19587, 19588, 19589, 19590, 19591, 19592, 19593, 19594, 19595, 19596, 19597, 19598, 19599, 19600, 19601, 19602, 19603, 19604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30732.18-30732.30" + } + }, + "AXI_17_WVALID": { + "hide_name": 0, + "bits": [ 19605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30733.11-30733.24" + } + }, + "AXI_18_ACLK": { + "hide_name": 0, + "bits": [ 19606 ], + "attributes": { + "invertible_pin": "IS_AXI_18_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30735.11-30735.22" + } + }, + "AXI_18_ARADDR": { + "hide_name": 0, + "bits": [ 19607, 19608, 19609, 19610, 19611, 19612, 19613, 19614, 19615, 19616, 19617, 19618, 19619, 19620, 19621, 19622, 19623, 19624, 19625, 19626, 19627, 19628, 19629, 19630, 19631, 19632, 19633, 19634, 19635, 19636, 19637, 19638, 19639, 19640, 19641, 19642, 19643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30736.18-30736.31" + } + }, + "AXI_18_ARBURST": { + "hide_name": 0, + "bits": [ 19644, 19645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30737.17-30737.31" + } + }, + "AXI_18_ARESET_N": { + "hide_name": 0, + "bits": [ 19646 ], + "attributes": { + "invertible_pin": "IS_AXI_18_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30739.11-30739.26" + } + }, + "AXI_18_ARID": { + "hide_name": 0, + "bits": [ 19647, 19648, 19649, 19650, 19651, 19652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30740.17-30740.28" + } + }, + "AXI_18_ARLEN": { + "hide_name": 0, + "bits": [ 19653, 19654, 19655, 19656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30741.17-30741.29" + } + }, + "AXI_18_ARREADY": { + "hide_name": 0, + "bits": [ 6604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29958.12-29958.26" + } + }, + "AXI_18_ARSIZE": { + "hide_name": 0, + "bits": [ 19657, 19658, 19659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30742.17-30742.30" + } + }, + "AXI_18_ARVALID": { + "hide_name": 0, + "bits": [ 19660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30743.11-30743.25" + } + }, + "AXI_18_AWADDR": { + "hide_name": 0, + "bits": [ 19661, 19662, 19663, 19664, 19665, 19666, 19667, 19668, 19669, 19670, 19671, 19672, 19673, 19674, 19675, 19676, 19677, 19678, 19679, 19680, 19681, 19682, 19683, 19684, 19685, 19686, 19687, 19688, 19689, 19690, 19691, 19692, 19693, 19694, 19695, 19696, 19697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30744.18-30744.31" + } + }, + "AXI_18_AWBURST": { + "hide_name": 0, + "bits": [ 19698, 19699 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30745.17-30745.31" + } + }, + "AXI_18_AWID": { + "hide_name": 0, + "bits": [ 19700, 19701, 19702, 19703, 19704, 19705 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30746.17-30746.28" + } + }, + "AXI_18_AWLEN": { + "hide_name": 0, + "bits": [ 19706, 19707, 19708, 19709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30747.17-30747.29" + } + }, + "AXI_18_AWREADY": { + "hide_name": 0, + "bits": [ 6605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29959.12-29959.26" + } + }, + "AXI_18_AWSIZE": { + "hide_name": 0, + "bits": [ 19710, 19711, 19712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30748.17-30748.30" + } + }, + "AXI_18_AWVALID": { + "hide_name": 0, + "bits": [ 19713 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30749.11-30749.25" + } + }, + "AXI_18_BID": { + "hide_name": 0, + "bits": [ 6606, 6607, 6608, 6609, 6610, 6611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29960.18-29960.28" + } + }, + "AXI_18_BREADY": { + "hide_name": 0, + "bits": [ 19714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30750.11-30750.24" + } + }, + "AXI_18_BRESP": { + "hide_name": 0, + "bits": [ 6612, 6613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29961.18-29961.30" + } + }, + "AXI_18_BVALID": { + "hide_name": 0, + "bits": [ 6614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29962.12-29962.25" + } + }, + "AXI_18_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 6615, 6616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29963.18-29963.38" + } + }, + "AXI_18_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 6617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29964.12-29964.30" + } + }, + "AXI_18_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 6618, 6619, 6620, 6621, 6622, 6623, 6624, 6625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29965.18-29965.45" + } + }, + "AXI_18_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 6626, 6627, 6628, 6629, 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638, 6639, 6640, 6641, 6642, 6643, 6644, 6645, 6646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29966.19-29966.43" + } + }, + "AXI_18_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 6647, 6648, 6649, 6650, 6651, 6652, 6653, 6654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29967.18-29967.43" + } + }, + "AXI_18_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 6655, 6656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29968.18-29968.44" + } + }, + "AXI_18_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 6657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29969.12-29969.36" + } + }, + "AXI_18_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 19715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30751.11-30751.34" + } + }, + "AXI_18_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 6658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29970.12-29970.33" + } + }, + "AXI_18_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 6659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29971.12-29971.35" + } + }, + "AXI_18_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 6660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29972.12-29972.32" + } + }, + "AXI_18_MC_STATUS": { + "hide_name": 0, + "bits": [ 6661, 6662, 6663, 6664, 6665, 6666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29973.18-29973.34" + } + }, + "AXI_18_PHY_STATUS": { + "hide_name": 0, + "bits": [ 6667, 6668, 6669, 6670, 6671, 6672, 6673, 6674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29974.18-29974.35" + } + }, + "AXI_18_RDATA": { + "hide_name": 0, + "bits": [ 6675, 6676, 6677, 6678, 6679, 6680, 6681, 6682, 6683, 6684, 6685, 6686, 6687, 6688, 6689, 6690, 6691, 6692, 6693, 6694, 6695, 6696, 6697, 6698, 6699, 6700, 6701, 6702, 6703, 6704, 6705, 6706, 6707, 6708, 6709, 6710, 6711, 6712, 6713, 6714, 6715, 6716, 6717, 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733, 6734, 6735, 6736, 6737, 6738, 6739, 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752, 6753, 6754, 6755, 6756, 6757, 6758, 6759, 6760, 6761, 6762, 6763, 6764, 6765, 6766, 6767, 6768, 6769, 6770, 6771, 6772, 6773, 6774, 6775, 6776, 6777, 6778, 6779, 6780, 6781, 6782, 6783, 6784, 6785, 6786, 6787, 6788, 6789, 6790, 6791, 6792, 6793, 6794, 6795, 6796, 6797, 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810, 6811, 6812, 6813, 6814, 6815, 6816, 6817, 6818, 6819, 6820, 6821, 6822, 6823, 6824, 6825, 6826, 6827, 6828, 6829, 6830, 6831, 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849, 6850, 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864, 6865, 6866, 6867, 6868, 6869, 6870, 6871, 6872, 6873, 6874, 6875, 6876, 6877, 6878, 6879, 6880, 6881, 6882, 6883, 6884, 6885, 6886, 6887, 6888, 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906, 6907, 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925, 6926, 6927, 6928, 6929, 6930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29975.20-29975.32" + } + }, + "AXI_18_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942, 6943, 6944, 6945, 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29976.19-29976.38" + } + }, + "AXI_18_RID": { + "hide_name": 0, + "bits": [ 6963, 6964, 6965, 6966, 6967, 6968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29977.18-29977.28" + } + }, + "AXI_18_RLAST": { + "hide_name": 0, + "bits": [ 6969 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29978.12-29978.24" + } + }, + "AXI_18_RREADY": { + "hide_name": 0, + "bits": [ 19716 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30752.11-30752.24" + } + }, + "AXI_18_RRESP": { + "hide_name": 0, + "bits": [ 6970, 6971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29979.18-29979.30" + } + }, + "AXI_18_RVALID": { + "hide_name": 0, + "bits": [ 6972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29980.12-29980.25" + } + }, + "AXI_18_WDATA": { + "hide_name": 0, + "bits": [ 19717, 19718, 19719, 19720, 19721, 19722, 19723, 19724, 19725, 19726, 19727, 19728, 19729, 19730, 19731, 19732, 19733, 19734, 19735, 19736, 19737, 19738, 19739, 19740, 19741, 19742, 19743, 19744, 19745, 19746, 19747, 19748, 19749, 19750, 19751, 19752, 19753, 19754, 19755, 19756, 19757, 19758, 19759, 19760, 19761, 19762, 19763, 19764, 19765, 19766, 19767, 19768, 19769, 19770, 19771, 19772, 19773, 19774, 19775, 19776, 19777, 19778, 19779, 19780, 19781, 19782, 19783, 19784, 19785, 19786, 19787, 19788, 19789, 19790, 19791, 19792, 19793, 19794, 19795, 19796, 19797, 19798, 19799, 19800, 19801, 19802, 19803, 19804, 19805, 19806, 19807, 19808, 19809, 19810, 19811, 19812, 19813, 19814, 19815, 19816, 19817, 19818, 19819, 19820, 19821, 19822, 19823, 19824, 19825, 19826, 19827, 19828, 19829, 19830, 19831, 19832, 19833, 19834, 19835, 19836, 19837, 19838, 19839, 19840, 19841, 19842, 19843, 19844, 19845, 19846, 19847, 19848, 19849, 19850, 19851, 19852, 19853, 19854, 19855, 19856, 19857, 19858, 19859, 19860, 19861, 19862, 19863, 19864, 19865, 19866, 19867, 19868, 19869, 19870, 19871, 19872, 19873, 19874, 19875, 19876, 19877, 19878, 19879, 19880, 19881, 19882, 19883, 19884, 19885, 19886, 19887, 19888, 19889, 19890, 19891, 19892, 19893, 19894, 19895, 19896, 19897, 19898, 19899, 19900, 19901, 19902, 19903, 19904, 19905, 19906, 19907, 19908, 19909, 19910, 19911, 19912, 19913, 19914, 19915, 19916, 19917, 19918, 19919, 19920, 19921, 19922, 19923, 19924, 19925, 19926, 19927, 19928, 19929, 19930, 19931, 19932, 19933, 19934, 19935, 19936, 19937, 19938, 19939, 19940, 19941, 19942, 19943, 19944, 19945, 19946, 19947, 19948, 19949, 19950, 19951, 19952, 19953, 19954, 19955, 19956, 19957, 19958, 19959, 19960, 19961, 19962, 19963, 19964, 19965, 19966, 19967, 19968, 19969, 19970, 19971, 19972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30753.19-30753.31" + } + }, + "AXI_18_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 19973, 19974, 19975, 19976, 19977, 19978, 19979, 19980, 19981, 19982, 19983, 19984, 19985, 19986, 19987, 19988, 19989, 19990, 19991, 19992, 19993, 19994, 19995, 19996, 19997, 19998, 19999, 20000, 20001, 20002, 20003, 20004 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30754.18-30754.37" + } + }, + "AXI_18_WLAST": { + "hide_name": 0, + "bits": [ 20005 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30755.11-30755.23" + } + }, + "AXI_18_WREADY": { + "hide_name": 0, + "bits": [ 6973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29981.12-29981.25" + } + }, + "AXI_18_WSTRB": { + "hide_name": 0, + "bits": [ 20006, 20007, 20008, 20009, 20010, 20011, 20012, 20013, 20014, 20015, 20016, 20017, 20018, 20019, 20020, 20021, 20022, 20023, 20024, 20025, 20026, 20027, 20028, 20029, 20030, 20031, 20032, 20033, 20034, 20035, 20036, 20037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30756.18-30756.30" + } + }, + "AXI_18_WVALID": { + "hide_name": 0, + "bits": [ 20038 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30757.11-30757.24" + } + }, + "AXI_19_ACLK": { + "hide_name": 0, + "bits": [ 20039 ], + "attributes": { + "invertible_pin": "IS_AXI_19_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30759.11-30759.22" + } + }, + "AXI_19_ARADDR": { + "hide_name": 0, + "bits": [ 20040, 20041, 20042, 20043, 20044, 20045, 20046, 20047, 20048, 20049, 20050, 20051, 20052, 20053, 20054, 20055, 20056, 20057, 20058, 20059, 20060, 20061, 20062, 20063, 20064, 20065, 20066, 20067, 20068, 20069, 20070, 20071, 20072, 20073, 20074, 20075, 20076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30760.18-30760.31" + } + }, + "AXI_19_ARBURST": { + "hide_name": 0, + "bits": [ 20077, 20078 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30761.17-30761.31" + } + }, + "AXI_19_ARESET_N": { + "hide_name": 0, + "bits": [ 20079 ], + "attributes": { + "invertible_pin": "IS_AXI_19_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30763.11-30763.26" + } + }, + "AXI_19_ARID": { + "hide_name": 0, + "bits": [ 20080, 20081, 20082, 20083, 20084, 20085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30764.17-30764.28" + } + }, + "AXI_19_ARLEN": { + "hide_name": 0, + "bits": [ 20086, 20087, 20088, 20089 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30765.17-30765.29" + } + }, + "AXI_19_ARREADY": { + "hide_name": 0, + "bits": [ 6974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29982.12-29982.26" + } + }, + "AXI_19_ARSIZE": { + "hide_name": 0, + "bits": [ 20090, 20091, 20092 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30766.17-30766.30" + } + }, + "AXI_19_ARVALID": { + "hide_name": 0, + "bits": [ 20093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30767.11-30767.25" + } + }, + "AXI_19_AWADDR": { + "hide_name": 0, + "bits": [ 20094, 20095, 20096, 20097, 20098, 20099, 20100, 20101, 20102, 20103, 20104, 20105, 20106, 20107, 20108, 20109, 20110, 20111, 20112, 20113, 20114, 20115, 20116, 20117, 20118, 20119, 20120, 20121, 20122, 20123, 20124, 20125, 20126, 20127, 20128, 20129, 20130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30768.18-30768.31" + } + }, + "AXI_19_AWBURST": { + "hide_name": 0, + "bits": [ 20131, 20132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30769.17-30769.31" + } + }, + "AXI_19_AWID": { + "hide_name": 0, + "bits": [ 20133, 20134, 20135, 20136, 20137, 20138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30770.17-30770.28" + } + }, + "AXI_19_AWLEN": { + "hide_name": 0, + "bits": [ 20139, 20140, 20141, 20142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30771.17-30771.29" + } + }, + "AXI_19_AWREADY": { + "hide_name": 0, + "bits": [ 6975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29983.12-29983.26" + } + }, + "AXI_19_AWSIZE": { + "hide_name": 0, + "bits": [ 20143, 20144, 20145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30772.17-30772.30" + } + }, + "AXI_19_AWVALID": { + "hide_name": 0, + "bits": [ 20146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30773.11-30773.25" + } + }, + "AXI_19_BID": { + "hide_name": 0, + "bits": [ 6976, 6977, 6978, 6979, 6980, 6981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29984.18-29984.28" + } + }, + "AXI_19_BREADY": { + "hide_name": 0, + "bits": [ 20147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30774.11-30774.24" + } + }, + "AXI_19_BRESP": { + "hide_name": 0, + "bits": [ 6982, 6983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29985.18-29985.30" + } + }, + "AXI_19_BVALID": { + "hide_name": 0, + "bits": [ 6984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29986.12-29986.25" + } + }, + "AXI_19_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 6985, 6986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29987.18-29987.38" + } + }, + "AXI_19_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 6987 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29988.12-29988.30" + } + }, + "AXI_19_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 6988, 6989, 6990, 6991, 6992, 6993, 6994, 6995 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29989.18-29989.45" + } + }, + "AXI_19_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 6996, 6997, 6998, 6999, 7000, 7001, 7002, 7003, 7004, 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012, 7013, 7014, 7015, 7016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29990.19-29990.43" + } + }, + "AXI_19_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 7017, 7018, 7019, 7020, 7021, 7022, 7023, 7024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29991.18-29991.43" + } + }, + "AXI_19_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 7025, 7026 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29992.18-29992.44" + } + }, + "AXI_19_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 7027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29993.12-29993.36" + } + }, + "AXI_19_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 20148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30775.11-30775.34" + } + }, + "AXI_19_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 7028 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29994.12-29994.33" + } + }, + "AXI_19_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 7029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29995.12-29995.35" + } + }, + "AXI_19_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 7030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29996.12-29996.32" + } + }, + "AXI_19_RDATA": { + "hide_name": 0, + "bits": [ 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052, 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060, 7061, 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080, 7081, 7082, 7083, 7084, 7085, 7086, 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094, 7095, 7096, 7097, 7098, 7099, 7100, 7101, 7102, 7103, 7104, 7105, 7106, 7107, 7108, 7109, 7110, 7111, 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139, 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171, 7172, 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204, 7205, 7206, 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239, 7240, 7241, 7242, 7243, 7244, 7245, 7246, 7247, 7248, 7249, 7250, 7251, 7252, 7253, 7254, 7255, 7256, 7257, 7258, 7259, 7260, 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29997.20-29997.32" + } + }, + "AXI_19_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 7287, 7288, 7289, 7290, 7291, 7292, 7293, 7294, 7295, 7296, 7297, 7298, 7299, 7300, 7301, 7302, 7303, 7304, 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, 7315, 7316, 7317, 7318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29998.19-29998.38" + } + }, + "AXI_19_RID": { + "hide_name": 0, + "bits": [ 7319, 7320, 7321, 7322, 7323, 7324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:29999.18-29999.28" + } + }, + "AXI_19_RLAST": { + "hide_name": 0, + "bits": [ 7325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30000.12-30000.24" + } + }, + "AXI_19_RREADY": { + "hide_name": 0, + "bits": [ 20149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30776.11-30776.24" + } + }, + "AXI_19_RRESP": { + "hide_name": 0, + "bits": [ 7326, 7327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30001.18-30001.30" + } + }, + "AXI_19_RVALID": { + "hide_name": 0, + "bits": [ 7328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30002.12-30002.25" + } + }, + "AXI_19_WDATA": { + "hide_name": 0, + "bits": [ 20150, 20151, 20152, 20153, 20154, 20155, 20156, 20157, 20158, 20159, 20160, 20161, 20162, 20163, 20164, 20165, 20166, 20167, 20168, 20169, 20170, 20171, 20172, 20173, 20174, 20175, 20176, 20177, 20178, 20179, 20180, 20181, 20182, 20183, 20184, 20185, 20186, 20187, 20188, 20189, 20190, 20191, 20192, 20193, 20194, 20195, 20196, 20197, 20198, 20199, 20200, 20201, 20202, 20203, 20204, 20205, 20206, 20207, 20208, 20209, 20210, 20211, 20212, 20213, 20214, 20215, 20216, 20217, 20218, 20219, 20220, 20221, 20222, 20223, 20224, 20225, 20226, 20227, 20228, 20229, 20230, 20231, 20232, 20233, 20234, 20235, 20236, 20237, 20238, 20239, 20240, 20241, 20242, 20243, 20244, 20245, 20246, 20247, 20248, 20249, 20250, 20251, 20252, 20253, 20254, 20255, 20256, 20257, 20258, 20259, 20260, 20261, 20262, 20263, 20264, 20265, 20266, 20267, 20268, 20269, 20270, 20271, 20272, 20273, 20274, 20275, 20276, 20277, 20278, 20279, 20280, 20281, 20282, 20283, 20284, 20285, 20286, 20287, 20288, 20289, 20290, 20291, 20292, 20293, 20294, 20295, 20296, 20297, 20298, 20299, 20300, 20301, 20302, 20303, 20304, 20305, 20306, 20307, 20308, 20309, 20310, 20311, 20312, 20313, 20314, 20315, 20316, 20317, 20318, 20319, 20320, 20321, 20322, 20323, 20324, 20325, 20326, 20327, 20328, 20329, 20330, 20331, 20332, 20333, 20334, 20335, 20336, 20337, 20338, 20339, 20340, 20341, 20342, 20343, 20344, 20345, 20346, 20347, 20348, 20349, 20350, 20351, 20352, 20353, 20354, 20355, 20356, 20357, 20358, 20359, 20360, 20361, 20362, 20363, 20364, 20365, 20366, 20367, 20368, 20369, 20370, 20371, 20372, 20373, 20374, 20375, 20376, 20377, 20378, 20379, 20380, 20381, 20382, 20383, 20384, 20385, 20386, 20387, 20388, 20389, 20390, 20391, 20392, 20393, 20394, 20395, 20396, 20397, 20398, 20399, 20400, 20401, 20402, 20403, 20404, 20405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30777.19-30777.31" + } + }, + "AXI_19_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 20406, 20407, 20408, 20409, 20410, 20411, 20412, 20413, 20414, 20415, 20416, 20417, 20418, 20419, 20420, 20421, 20422, 20423, 20424, 20425, 20426, 20427, 20428, 20429, 20430, 20431, 20432, 20433, 20434, 20435, 20436, 20437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30778.18-30778.37" + } + }, + "AXI_19_WLAST": { + "hide_name": 0, + "bits": [ 20438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30779.11-30779.23" + } + }, + "AXI_19_WREADY": { + "hide_name": 0, + "bits": [ 7329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30003.12-30003.25" + } + }, + "AXI_19_WSTRB": { + "hide_name": 0, + "bits": [ 20439, 20440, 20441, 20442, 20443, 20444, 20445, 20446, 20447, 20448, 20449, 20450, 20451, 20452, 20453, 20454, 20455, 20456, 20457, 20458, 20459, 20460, 20461, 20462, 20463, 20464, 20465, 20466, 20467, 20468, 20469, 20470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30780.18-30780.30" + } + }, + "AXI_19_WVALID": { + "hide_name": 0, + "bits": [ 20471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30781.11-30781.24" + } + }, + "AXI_20_ACLK": { + "hide_name": 0, + "bits": [ 20472 ], + "attributes": { + "invertible_pin": "IS_AXI_20_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30783.11-30783.22" + } + }, + "AXI_20_ARADDR": { + "hide_name": 0, + "bits": [ 20473, 20474, 20475, 20476, 20477, 20478, 20479, 20480, 20481, 20482, 20483, 20484, 20485, 20486, 20487, 20488, 20489, 20490, 20491, 20492, 20493, 20494, 20495, 20496, 20497, 20498, 20499, 20500, 20501, 20502, 20503, 20504, 20505, 20506, 20507, 20508, 20509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30784.18-30784.31" + } + }, + "AXI_20_ARBURST": { + "hide_name": 0, + "bits": [ 20510, 20511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30785.17-30785.31" + } + }, + "AXI_20_ARESET_N": { + "hide_name": 0, + "bits": [ 20512 ], + "attributes": { + "invertible_pin": "IS_AXI_20_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30787.11-30787.26" + } + }, + "AXI_20_ARID": { + "hide_name": 0, + "bits": [ 20513, 20514, 20515, 20516, 20517, 20518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30788.17-30788.28" + } + }, + "AXI_20_ARLEN": { + "hide_name": 0, + "bits": [ 20519, 20520, 20521, 20522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30789.17-30789.29" + } + }, + "AXI_20_ARREADY": { + "hide_name": 0, + "bits": [ 7330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30004.12-30004.26" + } + }, + "AXI_20_ARSIZE": { + "hide_name": 0, + "bits": [ 20523, 20524, 20525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30790.17-30790.30" + } + }, + "AXI_20_ARVALID": { + "hide_name": 0, + "bits": [ 20526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30791.11-30791.25" + } + }, + "AXI_20_AWADDR": { + "hide_name": 0, + "bits": [ 20527, 20528, 20529, 20530, 20531, 20532, 20533, 20534, 20535, 20536, 20537, 20538, 20539, 20540, 20541, 20542, 20543, 20544, 20545, 20546, 20547, 20548, 20549, 20550, 20551, 20552, 20553, 20554, 20555, 20556, 20557, 20558, 20559, 20560, 20561, 20562, 20563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30792.18-30792.31" + } + }, + "AXI_20_AWBURST": { + "hide_name": 0, + "bits": [ 20564, 20565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30793.17-30793.31" + } + }, + "AXI_20_AWID": { + "hide_name": 0, + "bits": [ 20566, 20567, 20568, 20569, 20570, 20571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30794.17-30794.28" + } + }, + "AXI_20_AWLEN": { + "hide_name": 0, + "bits": [ 20572, 20573, 20574, 20575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30795.17-30795.29" + } + }, + "AXI_20_AWREADY": { + "hide_name": 0, + "bits": [ 7331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30005.12-30005.26" + } + }, + "AXI_20_AWSIZE": { + "hide_name": 0, + "bits": [ 20576, 20577, 20578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30796.17-30796.30" + } + }, + "AXI_20_AWVALID": { + "hide_name": 0, + "bits": [ 20579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30797.11-30797.25" + } + }, + "AXI_20_BID": { + "hide_name": 0, + "bits": [ 7332, 7333, 7334, 7335, 7336, 7337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30006.18-30006.28" + } + }, + "AXI_20_BREADY": { + "hide_name": 0, + "bits": [ 20580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30798.11-30798.24" + } + }, + "AXI_20_BRESP": { + "hide_name": 0, + "bits": [ 7338, 7339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30007.18-30007.30" + } + }, + "AXI_20_BVALID": { + "hide_name": 0, + "bits": [ 7340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30008.12-30008.25" + } + }, + "AXI_20_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 7341, 7342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30009.18-30009.38" + } + }, + "AXI_20_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 7343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30010.12-30010.30" + } + }, + "AXI_20_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30011.18-30011.45" + } + }, + "AXI_20_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359, 7360, 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30012.19-30012.43" + } + }, + "AXI_20_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30013.18-30013.43" + } + }, + "AXI_20_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 7381, 7382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30014.18-30014.44" + } + }, + "AXI_20_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 7383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30015.12-30015.36" + } + }, + "AXI_20_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 20581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30799.11-30799.34" + } + }, + "AXI_20_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 7384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30016.12-30016.33" + } + }, + "AXI_20_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 7385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30017.12-30017.35" + } + }, + "AXI_20_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 7386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30018.12-30018.32" + } + }, + "AXI_20_MC_STATUS": { + "hide_name": 0, + "bits": [ 7387, 7388, 7389, 7390, 7391, 7392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30019.18-30019.34" + } + }, + "AXI_20_PHY_STATUS": { + "hide_name": 0, + "bits": [ 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30020.18-30020.35" + } + }, + "AXI_20_RDATA": { + "hide_name": 0, + "bits": [ 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408, 7409, 7410, 7411, 7412, 7413, 7414, 7415, 7416, 7417, 7418, 7419, 7420, 7421, 7422, 7423, 7424, 7425, 7426, 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458, 7459, 7460, 7461, 7462, 7463, 7464, 7465, 7466, 7467, 7468, 7469, 7470, 7471, 7472, 7473, 7474, 7475, 7476, 7477, 7478, 7479, 7480, 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510, 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540, 7541, 7542, 7543, 7544, 7545, 7546, 7547, 7548, 7549, 7550, 7551, 7552, 7553, 7554, 7555, 7556, 7557, 7558, 7559, 7560, 7561, 7562, 7563, 7564, 7565, 7566, 7567, 7568, 7569, 7570, 7571, 7572, 7573, 7574, 7575, 7576, 7577, 7578, 7579, 7580, 7581, 7582, 7583, 7584, 7585, 7586, 7587, 7588, 7589, 7590, 7591, 7592, 7593, 7594, 7595, 7596, 7597, 7598, 7599, 7600, 7601, 7602, 7603, 7604, 7605, 7606, 7607, 7608, 7609, 7610, 7611, 7612, 7613, 7614, 7615, 7616, 7617, 7618, 7619, 7620, 7621, 7622, 7623, 7624, 7625, 7626, 7627, 7628, 7629, 7630, 7631, 7632, 7633, 7634, 7635, 7636, 7637, 7638, 7639, 7640, 7641, 7642, 7643, 7644, 7645, 7646, 7647, 7648, 7649, 7650, 7651, 7652, 7653, 7654, 7655, 7656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30021.20-30021.32" + } + }, + "AXI_20_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 7657, 7658, 7659, 7660, 7661, 7662, 7663, 7664, 7665, 7666, 7667, 7668, 7669, 7670, 7671, 7672, 7673, 7674, 7675, 7676, 7677, 7678, 7679, 7680, 7681, 7682, 7683, 7684, 7685, 7686, 7687, 7688 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30022.19-30022.38" + } + }, + "AXI_20_RID": { + "hide_name": 0, + "bits": [ 7689, 7690, 7691, 7692, 7693, 7694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30023.18-30023.28" + } + }, + "AXI_20_RLAST": { + "hide_name": 0, + "bits": [ 7695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30024.12-30024.24" + } + }, + "AXI_20_RREADY": { + "hide_name": 0, + "bits": [ 20582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30800.11-30800.24" + } + }, + "AXI_20_RRESP": { + "hide_name": 0, + "bits": [ 7696, 7697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30025.18-30025.30" + } + }, + "AXI_20_RVALID": { + "hide_name": 0, + "bits": [ 7698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30026.12-30026.25" + } + }, + "AXI_20_WDATA": { + "hide_name": 0, + "bits": [ 20583, 20584, 20585, 20586, 20587, 20588, 20589, 20590, 20591, 20592, 20593, 20594, 20595, 20596, 20597, 20598, 20599, 20600, 20601, 20602, 20603, 20604, 20605, 20606, 20607, 20608, 20609, 20610, 20611, 20612, 20613, 20614, 20615, 20616, 20617, 20618, 20619, 20620, 20621, 20622, 20623, 20624, 20625, 20626, 20627, 20628, 20629, 20630, 20631, 20632, 20633, 20634, 20635, 20636, 20637, 20638, 20639, 20640, 20641, 20642, 20643, 20644, 20645, 20646, 20647, 20648, 20649, 20650, 20651, 20652, 20653, 20654, 20655, 20656, 20657, 20658, 20659, 20660, 20661, 20662, 20663, 20664, 20665, 20666, 20667, 20668, 20669, 20670, 20671, 20672, 20673, 20674, 20675, 20676, 20677, 20678, 20679, 20680, 20681, 20682, 20683, 20684, 20685, 20686, 20687, 20688, 20689, 20690, 20691, 20692, 20693, 20694, 20695, 20696, 20697, 20698, 20699, 20700, 20701, 20702, 20703, 20704, 20705, 20706, 20707, 20708, 20709, 20710, 20711, 20712, 20713, 20714, 20715, 20716, 20717, 20718, 20719, 20720, 20721, 20722, 20723, 20724, 20725, 20726, 20727, 20728, 20729, 20730, 20731, 20732, 20733, 20734, 20735, 20736, 20737, 20738, 20739, 20740, 20741, 20742, 20743, 20744, 20745, 20746, 20747, 20748, 20749, 20750, 20751, 20752, 20753, 20754, 20755, 20756, 20757, 20758, 20759, 20760, 20761, 20762, 20763, 20764, 20765, 20766, 20767, 20768, 20769, 20770, 20771, 20772, 20773, 20774, 20775, 20776, 20777, 20778, 20779, 20780, 20781, 20782, 20783, 20784, 20785, 20786, 20787, 20788, 20789, 20790, 20791, 20792, 20793, 20794, 20795, 20796, 20797, 20798, 20799, 20800, 20801, 20802, 20803, 20804, 20805, 20806, 20807, 20808, 20809, 20810, 20811, 20812, 20813, 20814, 20815, 20816, 20817, 20818, 20819, 20820, 20821, 20822, 20823, 20824, 20825, 20826, 20827, 20828, 20829, 20830, 20831, 20832, 20833, 20834, 20835, 20836, 20837, 20838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30801.19-30801.31" + } + }, + "AXI_20_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 20839, 20840, 20841, 20842, 20843, 20844, 20845, 20846, 20847, 20848, 20849, 20850, 20851, 20852, 20853, 20854, 20855, 20856, 20857, 20858, 20859, 20860, 20861, 20862, 20863, 20864, 20865, 20866, 20867, 20868, 20869, 20870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30802.18-30802.37" + } + }, + "AXI_20_WLAST": { + "hide_name": 0, + "bits": [ 20871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30803.11-30803.23" + } + }, + "AXI_20_WREADY": { + "hide_name": 0, + "bits": [ 7699 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30027.12-30027.25" + } + }, + "AXI_20_WSTRB": { + "hide_name": 0, + "bits": [ 20872, 20873, 20874, 20875, 20876, 20877, 20878, 20879, 20880, 20881, 20882, 20883, 20884, 20885, 20886, 20887, 20888, 20889, 20890, 20891, 20892, 20893, 20894, 20895, 20896, 20897, 20898, 20899, 20900, 20901, 20902, 20903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30804.18-30804.30" + } + }, + "AXI_20_WVALID": { + "hide_name": 0, + "bits": [ 20904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30805.11-30805.24" + } + }, + "AXI_21_ACLK": { + "hide_name": 0, + "bits": [ 20905 ], + "attributes": { + "invertible_pin": "IS_AXI_21_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30807.11-30807.22" + } + }, + "AXI_21_ARADDR": { + "hide_name": 0, + "bits": [ 20906, 20907, 20908, 20909, 20910, 20911, 20912, 20913, 20914, 20915, 20916, 20917, 20918, 20919, 20920, 20921, 20922, 20923, 20924, 20925, 20926, 20927, 20928, 20929, 20930, 20931, 20932, 20933, 20934, 20935, 20936, 20937, 20938, 20939, 20940, 20941, 20942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30808.18-30808.31" + } + }, + "AXI_21_ARBURST": { + "hide_name": 0, + "bits": [ 20943, 20944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30809.17-30809.31" + } + }, + "AXI_21_ARESET_N": { + "hide_name": 0, + "bits": [ 20945 ], + "attributes": { + "invertible_pin": "IS_AXI_21_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30811.11-30811.26" + } + }, + "AXI_21_ARID": { + "hide_name": 0, + "bits": [ 20946, 20947, 20948, 20949, 20950, 20951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30812.17-30812.28" + } + }, + "AXI_21_ARLEN": { + "hide_name": 0, + "bits": [ 20952, 20953, 20954, 20955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30813.17-30813.29" + } + }, + "AXI_21_ARREADY": { + "hide_name": 0, + "bits": [ 7700 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30028.12-30028.26" + } + }, + "AXI_21_ARSIZE": { + "hide_name": 0, + "bits": [ 20956, 20957, 20958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30814.17-30814.30" + } + }, + "AXI_21_ARVALID": { + "hide_name": 0, + "bits": [ 20959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30815.11-30815.25" + } + }, + "AXI_21_AWADDR": { + "hide_name": 0, + "bits": [ 20960, 20961, 20962, 20963, 20964, 20965, 20966, 20967, 20968, 20969, 20970, 20971, 20972, 20973, 20974, 20975, 20976, 20977, 20978, 20979, 20980, 20981, 20982, 20983, 20984, 20985, 20986, 20987, 20988, 20989, 20990, 20991, 20992, 20993, 20994, 20995, 20996 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30816.18-30816.31" + } + }, + "AXI_21_AWBURST": { + "hide_name": 0, + "bits": [ 20997, 20998 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30817.17-30817.31" + } + }, + "AXI_21_AWID": { + "hide_name": 0, + "bits": [ 20999, 21000, 21001, 21002, 21003, 21004 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30818.17-30818.28" + } + }, + "AXI_21_AWLEN": { + "hide_name": 0, + "bits": [ 21005, 21006, 21007, 21008 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30819.17-30819.29" + } + }, + "AXI_21_AWREADY": { + "hide_name": 0, + "bits": [ 7701 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30029.12-30029.26" + } + }, + "AXI_21_AWSIZE": { + "hide_name": 0, + "bits": [ 21009, 21010, 21011 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30820.17-30820.30" + } + }, + "AXI_21_AWVALID": { + "hide_name": 0, + "bits": [ 21012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30821.11-30821.25" + } + }, + "AXI_21_BID": { + "hide_name": 0, + "bits": [ 7702, 7703, 7704, 7705, 7706, 7707 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30030.18-30030.28" + } + }, + "AXI_21_BREADY": { + "hide_name": 0, + "bits": [ 21013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30822.11-30822.24" + } + }, + "AXI_21_BRESP": { + "hide_name": 0, + "bits": [ 7708, 7709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30031.18-30031.30" + } + }, + "AXI_21_BVALID": { + "hide_name": 0, + "bits": [ 7710 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30032.12-30032.25" + } + }, + "AXI_21_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 7711, 7712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30033.18-30033.38" + } + }, + "AXI_21_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 7713 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30034.12-30034.30" + } + }, + "AXI_21_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 7714, 7715, 7716, 7717, 7718, 7719, 7720, 7721 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30035.18-30035.45" + } + }, + "AXI_21_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 7722, 7723, 7724, 7725, 7726, 7727, 7728, 7729, 7730, 7731, 7732, 7733, 7734, 7735, 7736, 7737, 7738, 7739, 7740, 7741, 7742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30036.19-30036.43" + } + }, + "AXI_21_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 7743, 7744, 7745, 7746, 7747, 7748, 7749, 7750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30037.18-30037.43" + } + }, + "AXI_21_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 7751, 7752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30038.18-30038.44" + } + }, + "AXI_21_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 7753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30039.12-30039.36" + } + }, + "AXI_21_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 21014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30823.11-30823.34" + } + }, + "AXI_21_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 7754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30040.12-30040.33" + } + }, + "AXI_21_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 7755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30041.12-30041.35" + } + }, + "AXI_21_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 7756 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30042.12-30042.32" + } + }, + "AXI_21_RDATA": { + "hide_name": 0, + "bits": [ 7757, 7758, 7759, 7760, 7761, 7762, 7763, 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 7828, 7829, 7830, 7831, 7832, 7833, 7834, 7835, 7836, 7837, 7838, 7839, 7840, 7841, 7842, 7843, 7844, 7845, 7846, 7847, 7848, 7849, 7850, 7851, 7852, 7853, 7854, 7855, 7856, 7857, 7858, 7859, 7860, 7861, 7862, 7863, 7864, 7865, 7866, 7867, 7868, 7869, 7870, 7871, 7872, 7873, 7874, 7875, 7876, 7877, 7878, 7879, 7880, 7881, 7882, 7883, 7884, 7885, 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 7898, 7899, 7900, 7901, 7902, 7903, 7904, 7905, 7906, 7907, 7908, 7909, 7910, 7911, 7912, 7913, 7914, 7915, 7916, 7917, 7918, 7919, 7920, 7921, 7922, 7923, 7924, 7925, 7926, 7927, 7928, 7929, 7930, 7931, 7932, 7933, 7934, 7935, 7936, 7937, 7938, 7939, 7940, 7941, 7942, 7943, 7944, 7945, 7946, 7947, 7948, 7949, 7950, 7951, 7952, 7953, 7954, 7955, 7956, 7957, 7958, 7959, 7960, 7961, 7962, 7963, 7964, 7965, 7966, 7967, 7968, 7969, 7970, 7971, 7972, 7973, 7974, 7975, 7976, 7977, 7978, 7979, 7980, 7981, 7982, 7983, 7984, 7985, 7986, 7987, 7988, 7989, 7990, 7991, 7992, 7993, 7994, 7995, 7996, 7997, 7998, 7999, 8000, 8001, 8002, 8003, 8004, 8005, 8006, 8007, 8008, 8009, 8010, 8011, 8012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30043.20-30043.32" + } + }, + "AXI_21_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 8013, 8014, 8015, 8016, 8017, 8018, 8019, 8020, 8021, 8022, 8023, 8024, 8025, 8026, 8027, 8028, 8029, 8030, 8031, 8032, 8033, 8034, 8035, 8036, 8037, 8038, 8039, 8040, 8041, 8042, 8043, 8044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30044.19-30044.38" + } + }, + "AXI_21_RID": { + "hide_name": 0, + "bits": [ 8045, 8046, 8047, 8048, 8049, 8050 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30045.18-30045.28" + } + }, + "AXI_21_RLAST": { + "hide_name": 0, + "bits": [ 8051 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30046.12-30046.24" + } + }, + "AXI_21_RREADY": { + "hide_name": 0, + "bits": [ 21015 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30824.11-30824.24" + } + }, + "AXI_21_RRESP": { + "hide_name": 0, + "bits": [ 8052, 8053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30047.18-30047.30" + } + }, + "AXI_21_RVALID": { + "hide_name": 0, + "bits": [ 8054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30048.12-30048.25" + } + }, + "AXI_21_WDATA": { + "hide_name": 0, + "bits": [ 21016, 21017, 21018, 21019, 21020, 21021, 21022, 21023, 21024, 21025, 21026, 21027, 21028, 21029, 21030, 21031, 21032, 21033, 21034, 21035, 21036, 21037, 21038, 21039, 21040, 21041, 21042, 21043, 21044, 21045, 21046, 21047, 21048, 21049, 21050, 21051, 21052, 21053, 21054, 21055, 21056, 21057, 21058, 21059, 21060, 21061, 21062, 21063, 21064, 21065, 21066, 21067, 21068, 21069, 21070, 21071, 21072, 21073, 21074, 21075, 21076, 21077, 21078, 21079, 21080, 21081, 21082, 21083, 21084, 21085, 21086, 21087, 21088, 21089, 21090, 21091, 21092, 21093, 21094, 21095, 21096, 21097, 21098, 21099, 21100, 21101, 21102, 21103, 21104, 21105, 21106, 21107, 21108, 21109, 21110, 21111, 21112, 21113, 21114, 21115, 21116, 21117, 21118, 21119, 21120, 21121, 21122, 21123, 21124, 21125, 21126, 21127, 21128, 21129, 21130, 21131, 21132, 21133, 21134, 21135, 21136, 21137, 21138, 21139, 21140, 21141, 21142, 21143, 21144, 21145, 21146, 21147, 21148, 21149, 21150, 21151, 21152, 21153, 21154, 21155, 21156, 21157, 21158, 21159, 21160, 21161, 21162, 21163, 21164, 21165, 21166, 21167, 21168, 21169, 21170, 21171, 21172, 21173, 21174, 21175, 21176, 21177, 21178, 21179, 21180, 21181, 21182, 21183, 21184, 21185, 21186, 21187, 21188, 21189, 21190, 21191, 21192, 21193, 21194, 21195, 21196, 21197, 21198, 21199, 21200, 21201, 21202, 21203, 21204, 21205, 21206, 21207, 21208, 21209, 21210, 21211, 21212, 21213, 21214, 21215, 21216, 21217, 21218, 21219, 21220, 21221, 21222, 21223, 21224, 21225, 21226, 21227, 21228, 21229, 21230, 21231, 21232, 21233, 21234, 21235, 21236, 21237, 21238, 21239, 21240, 21241, 21242, 21243, 21244, 21245, 21246, 21247, 21248, 21249, 21250, 21251, 21252, 21253, 21254, 21255, 21256, 21257, 21258, 21259, 21260, 21261, 21262, 21263, 21264, 21265, 21266, 21267, 21268, 21269, 21270, 21271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30825.19-30825.31" + } + }, + "AXI_21_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 21272, 21273, 21274, 21275, 21276, 21277, 21278, 21279, 21280, 21281, 21282, 21283, 21284, 21285, 21286, 21287, 21288, 21289, 21290, 21291, 21292, 21293, 21294, 21295, 21296, 21297, 21298, 21299, 21300, 21301, 21302, 21303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30826.18-30826.37" + } + }, + "AXI_21_WLAST": { + "hide_name": 0, + "bits": [ 21304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30827.11-30827.23" + } + }, + "AXI_21_WREADY": { + "hide_name": 0, + "bits": [ 8055 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30049.12-30049.25" + } + }, + "AXI_21_WSTRB": { + "hide_name": 0, + "bits": [ 21305, 21306, 21307, 21308, 21309, 21310, 21311, 21312, 21313, 21314, 21315, 21316, 21317, 21318, 21319, 21320, 21321, 21322, 21323, 21324, 21325, 21326, 21327, 21328, 21329, 21330, 21331, 21332, 21333, 21334, 21335, 21336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30828.18-30828.30" + } + }, + "AXI_21_WVALID": { + "hide_name": 0, + "bits": [ 21337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30829.11-30829.24" + } + }, + "AXI_22_ACLK": { + "hide_name": 0, + "bits": [ 21338 ], + "attributes": { + "invertible_pin": "IS_AXI_22_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30831.11-30831.22" + } + }, + "AXI_22_ARADDR": { + "hide_name": 0, + "bits": [ 21339, 21340, 21341, 21342, 21343, 21344, 21345, 21346, 21347, 21348, 21349, 21350, 21351, 21352, 21353, 21354, 21355, 21356, 21357, 21358, 21359, 21360, 21361, 21362, 21363, 21364, 21365, 21366, 21367, 21368, 21369, 21370, 21371, 21372, 21373, 21374, 21375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30832.18-30832.31" + } + }, + "AXI_22_ARBURST": { + "hide_name": 0, + "bits": [ 21376, 21377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30833.17-30833.31" + } + }, + "AXI_22_ARESET_N": { + "hide_name": 0, + "bits": [ 21378 ], + "attributes": { + "invertible_pin": "IS_AXI_22_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30835.11-30835.26" + } + }, + "AXI_22_ARID": { + "hide_name": 0, + "bits": [ 21379, 21380, 21381, 21382, 21383, 21384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30836.17-30836.28" + } + }, + "AXI_22_ARLEN": { + "hide_name": 0, + "bits": [ 21385, 21386, 21387, 21388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30837.17-30837.29" + } + }, + "AXI_22_ARREADY": { + "hide_name": 0, + "bits": [ 8056 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30050.12-30050.26" + } + }, + "AXI_22_ARSIZE": { + "hide_name": 0, + "bits": [ 21389, 21390, 21391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30838.17-30838.30" + } + }, + "AXI_22_ARVALID": { + "hide_name": 0, + "bits": [ 21392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30839.11-30839.25" + } + }, + "AXI_22_AWADDR": { + "hide_name": 0, + "bits": [ 21393, 21394, 21395, 21396, 21397, 21398, 21399, 21400, 21401, 21402, 21403, 21404, 21405, 21406, 21407, 21408, 21409, 21410, 21411, 21412, 21413, 21414, 21415, 21416, 21417, 21418, 21419, 21420, 21421, 21422, 21423, 21424, 21425, 21426, 21427, 21428, 21429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30840.18-30840.31" + } + }, + "AXI_22_AWBURST": { + "hide_name": 0, + "bits": [ 21430, 21431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30841.17-30841.31" + } + }, + "AXI_22_AWID": { + "hide_name": 0, + "bits": [ 21432, 21433, 21434, 21435, 21436, 21437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30842.17-30842.28" + } + }, + "AXI_22_AWLEN": { + "hide_name": 0, + "bits": [ 21438, 21439, 21440, 21441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30843.17-30843.29" + } + }, + "AXI_22_AWREADY": { + "hide_name": 0, + "bits": [ 8057 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30051.12-30051.26" + } + }, + "AXI_22_AWSIZE": { + "hide_name": 0, + "bits": [ 21442, 21443, 21444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30844.17-30844.30" + } + }, + "AXI_22_AWVALID": { + "hide_name": 0, + "bits": [ 21445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30845.11-30845.25" + } + }, + "AXI_22_BID": { + "hide_name": 0, + "bits": [ 8058, 8059, 8060, 8061, 8062, 8063 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30052.18-30052.28" + } + }, + "AXI_22_BREADY": { + "hide_name": 0, + "bits": [ 21446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30846.11-30846.24" + } + }, + "AXI_22_BRESP": { + "hide_name": 0, + "bits": [ 8064, 8065 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30053.18-30053.30" + } + }, + "AXI_22_BVALID": { + "hide_name": 0, + "bits": [ 8066 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30054.12-30054.25" + } + }, + "AXI_22_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 8067, 8068 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30055.18-30055.38" + } + }, + "AXI_22_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 8069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30056.12-30056.30" + } + }, + "AXI_22_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 8070, 8071, 8072, 8073, 8074, 8075, 8076, 8077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30057.18-30057.45" + } + }, + "AXI_22_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 8078, 8079, 8080, 8081, 8082, 8083, 8084, 8085, 8086, 8087, 8088, 8089, 8090, 8091, 8092, 8093, 8094, 8095, 8096, 8097, 8098 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30058.19-30058.43" + } + }, + "AXI_22_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 8099, 8100, 8101, 8102, 8103, 8104, 8105, 8106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30059.18-30059.43" + } + }, + "AXI_22_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 8107, 8108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30060.18-30060.44" + } + }, + "AXI_22_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 8109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30061.12-30061.36" + } + }, + "AXI_22_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 21447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30847.11-30847.34" + } + }, + "AXI_22_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 8110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30062.12-30062.33" + } + }, + "AXI_22_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 8111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30063.12-30063.35" + } + }, + "AXI_22_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 8112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30064.12-30064.32" + } + }, + "AXI_22_MC_STATUS": { + "hide_name": 0, + "bits": [ 8113, 8114, 8115, 8116, 8117, 8118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30065.18-30065.34" + } + }, + "AXI_22_PHY_STATUS": { + "hide_name": 0, + "bits": [ 8119, 8120, 8121, 8122, 8123, 8124, 8125, 8126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30066.18-30066.35" + } + }, + "AXI_22_RDATA": { + "hide_name": 0, + "bits": [ 8127, 8128, 8129, 8130, 8131, 8132, 8133, 8134, 8135, 8136, 8137, 8138, 8139, 8140, 8141, 8142, 8143, 8144, 8145, 8146, 8147, 8148, 8149, 8150, 8151, 8152, 8153, 8154, 8155, 8156, 8157, 8158, 8159, 8160, 8161, 8162, 8163, 8164, 8165, 8166, 8167, 8168, 8169, 8170, 8171, 8172, 8173, 8174, 8175, 8176, 8177, 8178, 8179, 8180, 8181, 8182, 8183, 8184, 8185, 8186, 8187, 8188, 8189, 8190, 8191, 8192, 8193, 8194, 8195, 8196, 8197, 8198, 8199, 8200, 8201, 8202, 8203, 8204, 8205, 8206, 8207, 8208, 8209, 8210, 8211, 8212, 8213, 8214, 8215, 8216, 8217, 8218, 8219, 8220, 8221, 8222, 8223, 8224, 8225, 8226, 8227, 8228, 8229, 8230, 8231, 8232, 8233, 8234, 8235, 8236, 8237, 8238, 8239, 8240, 8241, 8242, 8243, 8244, 8245, 8246, 8247, 8248, 8249, 8250, 8251, 8252, 8253, 8254, 8255, 8256, 8257, 8258, 8259, 8260, 8261, 8262, 8263, 8264, 8265, 8266, 8267, 8268, 8269, 8270, 8271, 8272, 8273, 8274, 8275, 8276, 8277, 8278, 8279, 8280, 8281, 8282, 8283, 8284, 8285, 8286, 8287, 8288, 8289, 8290, 8291, 8292, 8293, 8294, 8295, 8296, 8297, 8298, 8299, 8300, 8301, 8302, 8303, 8304, 8305, 8306, 8307, 8308, 8309, 8310, 8311, 8312, 8313, 8314, 8315, 8316, 8317, 8318, 8319, 8320, 8321, 8322, 8323, 8324, 8325, 8326, 8327, 8328, 8329, 8330, 8331, 8332, 8333, 8334, 8335, 8336, 8337, 8338, 8339, 8340, 8341, 8342, 8343, 8344, 8345, 8346, 8347, 8348, 8349, 8350, 8351, 8352, 8353, 8354, 8355, 8356, 8357, 8358, 8359, 8360, 8361, 8362, 8363, 8364, 8365, 8366, 8367, 8368, 8369, 8370, 8371, 8372, 8373, 8374, 8375, 8376, 8377, 8378, 8379, 8380, 8381, 8382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30067.20-30067.32" + } + }, + "AXI_22_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 8383, 8384, 8385, 8386, 8387, 8388, 8389, 8390, 8391, 8392, 8393, 8394, 8395, 8396, 8397, 8398, 8399, 8400, 8401, 8402, 8403, 8404, 8405, 8406, 8407, 8408, 8409, 8410, 8411, 8412, 8413, 8414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30068.19-30068.38" + } + }, + "AXI_22_RID": { + "hide_name": 0, + "bits": [ 8415, 8416, 8417, 8418, 8419, 8420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30069.18-30069.28" + } + }, + "AXI_22_RLAST": { + "hide_name": 0, + "bits": [ 8421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30070.12-30070.24" + } + }, + "AXI_22_RREADY": { + "hide_name": 0, + "bits": [ 21448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30848.11-30848.24" + } + }, + "AXI_22_RRESP": { + "hide_name": 0, + "bits": [ 8422, 8423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30071.18-30071.30" + } + }, + "AXI_22_RVALID": { + "hide_name": 0, + "bits": [ 8424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30072.12-30072.25" + } + }, + "AXI_22_WDATA": { + "hide_name": 0, + "bits": [ 21449, 21450, 21451, 21452, 21453, 21454, 21455, 21456, 21457, 21458, 21459, 21460, 21461, 21462, 21463, 21464, 21465, 21466, 21467, 21468, 21469, 21470, 21471, 21472, 21473, 21474, 21475, 21476, 21477, 21478, 21479, 21480, 21481, 21482, 21483, 21484, 21485, 21486, 21487, 21488, 21489, 21490, 21491, 21492, 21493, 21494, 21495, 21496, 21497, 21498, 21499, 21500, 21501, 21502, 21503, 21504, 21505, 21506, 21507, 21508, 21509, 21510, 21511, 21512, 21513, 21514, 21515, 21516, 21517, 21518, 21519, 21520, 21521, 21522, 21523, 21524, 21525, 21526, 21527, 21528, 21529, 21530, 21531, 21532, 21533, 21534, 21535, 21536, 21537, 21538, 21539, 21540, 21541, 21542, 21543, 21544, 21545, 21546, 21547, 21548, 21549, 21550, 21551, 21552, 21553, 21554, 21555, 21556, 21557, 21558, 21559, 21560, 21561, 21562, 21563, 21564, 21565, 21566, 21567, 21568, 21569, 21570, 21571, 21572, 21573, 21574, 21575, 21576, 21577, 21578, 21579, 21580, 21581, 21582, 21583, 21584, 21585, 21586, 21587, 21588, 21589, 21590, 21591, 21592, 21593, 21594, 21595, 21596, 21597, 21598, 21599, 21600, 21601, 21602, 21603, 21604, 21605, 21606, 21607, 21608, 21609, 21610, 21611, 21612, 21613, 21614, 21615, 21616, 21617, 21618, 21619, 21620, 21621, 21622, 21623, 21624, 21625, 21626, 21627, 21628, 21629, 21630, 21631, 21632, 21633, 21634, 21635, 21636, 21637, 21638, 21639, 21640, 21641, 21642, 21643, 21644, 21645, 21646, 21647, 21648, 21649, 21650, 21651, 21652, 21653, 21654, 21655, 21656, 21657, 21658, 21659, 21660, 21661, 21662, 21663, 21664, 21665, 21666, 21667, 21668, 21669, 21670, 21671, 21672, 21673, 21674, 21675, 21676, 21677, 21678, 21679, 21680, 21681, 21682, 21683, 21684, 21685, 21686, 21687, 21688, 21689, 21690, 21691, 21692, 21693, 21694, 21695, 21696, 21697, 21698, 21699, 21700, 21701, 21702, 21703, 21704 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30849.19-30849.31" + } + }, + "AXI_22_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 21705, 21706, 21707, 21708, 21709, 21710, 21711, 21712, 21713, 21714, 21715, 21716, 21717, 21718, 21719, 21720, 21721, 21722, 21723, 21724, 21725, 21726, 21727, 21728, 21729, 21730, 21731, 21732, 21733, 21734, 21735, 21736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30850.18-30850.37" + } + }, + "AXI_22_WLAST": { + "hide_name": 0, + "bits": [ 21737 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30851.11-30851.23" + } + }, + "AXI_22_WREADY": { + "hide_name": 0, + "bits": [ 8425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30073.12-30073.25" + } + }, + "AXI_22_WSTRB": { + "hide_name": 0, + "bits": [ 21738, 21739, 21740, 21741, 21742, 21743, 21744, 21745, 21746, 21747, 21748, 21749, 21750, 21751, 21752, 21753, 21754, 21755, 21756, 21757, 21758, 21759, 21760, 21761, 21762, 21763, 21764, 21765, 21766, 21767, 21768, 21769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30852.18-30852.30" + } + }, + "AXI_22_WVALID": { + "hide_name": 0, + "bits": [ 21770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30853.11-30853.24" + } + }, + "AXI_23_ACLK": { + "hide_name": 0, + "bits": [ 21771 ], + "attributes": { + "invertible_pin": "IS_AXI_23_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30855.11-30855.22" + } + }, + "AXI_23_ARADDR": { + "hide_name": 0, + "bits": [ 21772, 21773, 21774, 21775, 21776, 21777, 21778, 21779, 21780, 21781, 21782, 21783, 21784, 21785, 21786, 21787, 21788, 21789, 21790, 21791, 21792, 21793, 21794, 21795, 21796, 21797, 21798, 21799, 21800, 21801, 21802, 21803, 21804, 21805, 21806, 21807, 21808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30856.18-30856.31" + } + }, + "AXI_23_ARBURST": { + "hide_name": 0, + "bits": [ 21809, 21810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30857.17-30857.31" + } + }, + "AXI_23_ARESET_N": { + "hide_name": 0, + "bits": [ 21811 ], + "attributes": { + "invertible_pin": "IS_AXI_23_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30859.11-30859.26" + } + }, + "AXI_23_ARID": { + "hide_name": 0, + "bits": [ 21812, 21813, 21814, 21815, 21816, 21817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30860.17-30860.28" + } + }, + "AXI_23_ARLEN": { + "hide_name": 0, + "bits": [ 21818, 21819, 21820, 21821 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30861.17-30861.29" + } + }, + "AXI_23_ARREADY": { + "hide_name": 0, + "bits": [ 8426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30074.12-30074.26" + } + }, + "AXI_23_ARSIZE": { + "hide_name": 0, + "bits": [ 21822, 21823, 21824 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30862.17-30862.30" + } + }, + "AXI_23_ARVALID": { + "hide_name": 0, + "bits": [ 21825 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30863.11-30863.25" + } + }, + "AXI_23_AWADDR": { + "hide_name": 0, + "bits": [ 21826, 21827, 21828, 21829, 21830, 21831, 21832, 21833, 21834, 21835, 21836, 21837, 21838, 21839, 21840, 21841, 21842, 21843, 21844, 21845, 21846, 21847, 21848, 21849, 21850, 21851, 21852, 21853, 21854, 21855, 21856, 21857, 21858, 21859, 21860, 21861, 21862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30864.18-30864.31" + } + }, + "AXI_23_AWBURST": { + "hide_name": 0, + "bits": [ 21863, 21864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30865.17-30865.31" + } + }, + "AXI_23_AWID": { + "hide_name": 0, + "bits": [ 21865, 21866, 21867, 21868, 21869, 21870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30866.17-30866.28" + } + }, + "AXI_23_AWLEN": { + "hide_name": 0, + "bits": [ 21871, 21872, 21873, 21874 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30867.17-30867.29" + } + }, + "AXI_23_AWREADY": { + "hide_name": 0, + "bits": [ 8427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30075.12-30075.26" + } + }, + "AXI_23_AWSIZE": { + "hide_name": 0, + "bits": [ 21875, 21876, 21877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30868.17-30868.30" + } + }, + "AXI_23_AWVALID": { + "hide_name": 0, + "bits": [ 21878 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30869.11-30869.25" + } + }, + "AXI_23_BID": { + "hide_name": 0, + "bits": [ 8428, 8429, 8430, 8431, 8432, 8433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30076.18-30076.28" + } + }, + "AXI_23_BREADY": { + "hide_name": 0, + "bits": [ 21879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30870.11-30870.24" + } + }, + "AXI_23_BRESP": { + "hide_name": 0, + "bits": [ 8434, 8435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30077.18-30077.30" + } + }, + "AXI_23_BVALID": { + "hide_name": 0, + "bits": [ 8436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30078.12-30078.25" + } + }, + "AXI_23_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 8437, 8438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30079.18-30079.38" + } + }, + "AXI_23_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 8439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30080.12-30080.30" + } + }, + "AXI_23_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 8440, 8441, 8442, 8443, 8444, 8445, 8446, 8447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30081.18-30081.45" + } + }, + "AXI_23_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 8448, 8449, 8450, 8451, 8452, 8453, 8454, 8455, 8456, 8457, 8458, 8459, 8460, 8461, 8462, 8463, 8464, 8465, 8466, 8467, 8468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30082.19-30082.43" + } + }, + "AXI_23_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 8469, 8470, 8471, 8472, 8473, 8474, 8475, 8476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30083.18-30083.43" + } + }, + "AXI_23_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 8477, 8478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30084.18-30084.44" + } + }, + "AXI_23_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 8479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30085.12-30085.36" + } + }, + "AXI_23_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 21880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30871.11-30871.34" + } + }, + "AXI_23_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 8480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30086.12-30086.33" + } + }, + "AXI_23_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 8481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30087.12-30087.35" + } + }, + "AXI_23_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 8482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30088.12-30088.32" + } + }, + "AXI_23_RDATA": { + "hide_name": 0, + "bits": [ 8483, 8484, 8485, 8486, 8487, 8488, 8489, 8490, 8491, 8492, 8493, 8494, 8495, 8496, 8497, 8498, 8499, 8500, 8501, 8502, 8503, 8504, 8505, 8506, 8507, 8508, 8509, 8510, 8511, 8512, 8513, 8514, 8515, 8516, 8517, 8518, 8519, 8520, 8521, 8522, 8523, 8524, 8525, 8526, 8527, 8528, 8529, 8530, 8531, 8532, 8533, 8534, 8535, 8536, 8537, 8538, 8539, 8540, 8541, 8542, 8543, 8544, 8545, 8546, 8547, 8548, 8549, 8550, 8551, 8552, 8553, 8554, 8555, 8556, 8557, 8558, 8559, 8560, 8561, 8562, 8563, 8564, 8565, 8566, 8567, 8568, 8569, 8570, 8571, 8572, 8573, 8574, 8575, 8576, 8577, 8578, 8579, 8580, 8581, 8582, 8583, 8584, 8585, 8586, 8587, 8588, 8589, 8590, 8591, 8592, 8593, 8594, 8595, 8596, 8597, 8598, 8599, 8600, 8601, 8602, 8603, 8604, 8605, 8606, 8607, 8608, 8609, 8610, 8611, 8612, 8613, 8614, 8615, 8616, 8617, 8618, 8619, 8620, 8621, 8622, 8623, 8624, 8625, 8626, 8627, 8628, 8629, 8630, 8631, 8632, 8633, 8634, 8635, 8636, 8637, 8638, 8639, 8640, 8641, 8642, 8643, 8644, 8645, 8646, 8647, 8648, 8649, 8650, 8651, 8652, 8653, 8654, 8655, 8656, 8657, 8658, 8659, 8660, 8661, 8662, 8663, 8664, 8665, 8666, 8667, 8668, 8669, 8670, 8671, 8672, 8673, 8674, 8675, 8676, 8677, 8678, 8679, 8680, 8681, 8682, 8683, 8684, 8685, 8686, 8687, 8688, 8689, 8690, 8691, 8692, 8693, 8694, 8695, 8696, 8697, 8698, 8699, 8700, 8701, 8702, 8703, 8704, 8705, 8706, 8707, 8708, 8709, 8710, 8711, 8712, 8713, 8714, 8715, 8716, 8717, 8718, 8719, 8720, 8721, 8722, 8723, 8724, 8725, 8726, 8727, 8728, 8729, 8730, 8731, 8732, 8733, 8734, 8735, 8736, 8737, 8738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30089.20-30089.32" + } + }, + "AXI_23_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 8739, 8740, 8741, 8742, 8743, 8744, 8745, 8746, 8747, 8748, 8749, 8750, 8751, 8752, 8753, 8754, 8755, 8756, 8757, 8758, 8759, 8760, 8761, 8762, 8763, 8764, 8765, 8766, 8767, 8768, 8769, 8770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30090.19-30090.38" + } + }, + "AXI_23_RID": { + "hide_name": 0, + "bits": [ 8771, 8772, 8773, 8774, 8775, 8776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30091.18-30091.28" + } + }, + "AXI_23_RLAST": { + "hide_name": 0, + "bits": [ 8777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30092.12-30092.24" + } + }, + "AXI_23_RREADY": { + "hide_name": 0, + "bits": [ 21881 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30872.11-30872.24" + } + }, + "AXI_23_RRESP": { + "hide_name": 0, + "bits": [ 8778, 8779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30093.18-30093.30" + } + }, + "AXI_23_RVALID": { + "hide_name": 0, + "bits": [ 8780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30094.12-30094.25" + } + }, + "AXI_23_WDATA": { + "hide_name": 0, + "bits": [ 21882, 21883, 21884, 21885, 21886, 21887, 21888, 21889, 21890, 21891, 21892, 21893, 21894, 21895, 21896, 21897, 21898, 21899, 21900, 21901, 21902, 21903, 21904, 21905, 21906, 21907, 21908, 21909, 21910, 21911, 21912, 21913, 21914, 21915, 21916, 21917, 21918, 21919, 21920, 21921, 21922, 21923, 21924, 21925, 21926, 21927, 21928, 21929, 21930, 21931, 21932, 21933, 21934, 21935, 21936, 21937, 21938, 21939, 21940, 21941, 21942, 21943, 21944, 21945, 21946, 21947, 21948, 21949, 21950, 21951, 21952, 21953, 21954, 21955, 21956, 21957, 21958, 21959, 21960, 21961, 21962, 21963, 21964, 21965, 21966, 21967, 21968, 21969, 21970, 21971, 21972, 21973, 21974, 21975, 21976, 21977, 21978, 21979, 21980, 21981, 21982, 21983, 21984, 21985, 21986, 21987, 21988, 21989, 21990, 21991, 21992, 21993, 21994, 21995, 21996, 21997, 21998, 21999, 22000, 22001, 22002, 22003, 22004, 22005, 22006, 22007, 22008, 22009, 22010, 22011, 22012, 22013, 22014, 22015, 22016, 22017, 22018, 22019, 22020, 22021, 22022, 22023, 22024, 22025, 22026, 22027, 22028, 22029, 22030, 22031, 22032, 22033, 22034, 22035, 22036, 22037, 22038, 22039, 22040, 22041, 22042, 22043, 22044, 22045, 22046, 22047, 22048, 22049, 22050, 22051, 22052, 22053, 22054, 22055, 22056, 22057, 22058, 22059, 22060, 22061, 22062, 22063, 22064, 22065, 22066, 22067, 22068, 22069, 22070, 22071, 22072, 22073, 22074, 22075, 22076, 22077, 22078, 22079, 22080, 22081, 22082, 22083, 22084, 22085, 22086, 22087, 22088, 22089, 22090, 22091, 22092, 22093, 22094, 22095, 22096, 22097, 22098, 22099, 22100, 22101, 22102, 22103, 22104, 22105, 22106, 22107, 22108, 22109, 22110, 22111, 22112, 22113, 22114, 22115, 22116, 22117, 22118, 22119, 22120, 22121, 22122, 22123, 22124, 22125, 22126, 22127, 22128, 22129, 22130, 22131, 22132, 22133, 22134, 22135, 22136, 22137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30873.19-30873.31" + } + }, + "AXI_23_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 22138, 22139, 22140, 22141, 22142, 22143, 22144, 22145, 22146, 22147, 22148, 22149, 22150, 22151, 22152, 22153, 22154, 22155, 22156, 22157, 22158, 22159, 22160, 22161, 22162, 22163, 22164, 22165, 22166, 22167, 22168, 22169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30874.18-30874.37" + } + }, + "AXI_23_WLAST": { + "hide_name": 0, + "bits": [ 22170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30875.11-30875.23" + } + }, + "AXI_23_WREADY": { + "hide_name": 0, + "bits": [ 8781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30095.12-30095.25" + } + }, + "AXI_23_WSTRB": { + "hide_name": 0, + "bits": [ 22171, 22172, 22173, 22174, 22175, 22176, 22177, 22178, 22179, 22180, 22181, 22182, 22183, 22184, 22185, 22186, 22187, 22188, 22189, 22190, 22191, 22192, 22193, 22194, 22195, 22196, 22197, 22198, 22199, 22200, 22201, 22202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30876.18-30876.30" + } + }, + "AXI_23_WVALID": { + "hide_name": 0, + "bits": [ 22203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30877.11-30877.24" + } + }, + "AXI_24_ACLK": { + "hide_name": 0, + "bits": [ 22204 ], + "attributes": { + "invertible_pin": "IS_AXI_24_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30879.11-30879.22" + } + }, + "AXI_24_ARADDR": { + "hide_name": 0, + "bits": [ 22205, 22206, 22207, 22208, 22209, 22210, 22211, 22212, 22213, 22214, 22215, 22216, 22217, 22218, 22219, 22220, 22221, 22222, 22223, 22224, 22225, 22226, 22227, 22228, 22229, 22230, 22231, 22232, 22233, 22234, 22235, 22236, 22237, 22238, 22239, 22240, 22241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30880.18-30880.31" + } + }, + "AXI_24_ARBURST": { + "hide_name": 0, + "bits": [ 22242, 22243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30881.17-30881.31" + } + }, + "AXI_24_ARESET_N": { + "hide_name": 0, + "bits": [ 22244 ], + "attributes": { + "invertible_pin": "IS_AXI_24_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30883.11-30883.26" + } + }, + "AXI_24_ARID": { + "hide_name": 0, + "bits": [ 22245, 22246, 22247, 22248, 22249, 22250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30884.17-30884.28" + } + }, + "AXI_24_ARLEN": { + "hide_name": 0, + "bits": [ 22251, 22252, 22253, 22254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30885.17-30885.29" + } + }, + "AXI_24_ARREADY": { + "hide_name": 0, + "bits": [ 8782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30096.12-30096.26" + } + }, + "AXI_24_ARSIZE": { + "hide_name": 0, + "bits": [ 22255, 22256, 22257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30886.17-30886.30" + } + }, + "AXI_24_ARVALID": { + "hide_name": 0, + "bits": [ 22258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30887.11-30887.25" + } + }, + "AXI_24_AWADDR": { + "hide_name": 0, + "bits": [ 22259, 22260, 22261, 22262, 22263, 22264, 22265, 22266, 22267, 22268, 22269, 22270, 22271, 22272, 22273, 22274, 22275, 22276, 22277, 22278, 22279, 22280, 22281, 22282, 22283, 22284, 22285, 22286, 22287, 22288, 22289, 22290, 22291, 22292, 22293, 22294, 22295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30888.18-30888.31" + } + }, + "AXI_24_AWBURST": { + "hide_name": 0, + "bits": [ 22296, 22297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30889.17-30889.31" + } + }, + "AXI_24_AWID": { + "hide_name": 0, + "bits": [ 22298, 22299, 22300, 22301, 22302, 22303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30890.17-30890.28" + } + }, + "AXI_24_AWLEN": { + "hide_name": 0, + "bits": [ 22304, 22305, 22306, 22307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30891.17-30891.29" + } + }, + "AXI_24_AWREADY": { + "hide_name": 0, + "bits": [ 8783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30097.12-30097.26" + } + }, + "AXI_24_AWSIZE": { + "hide_name": 0, + "bits": [ 22308, 22309, 22310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30892.17-30892.30" + } + }, + "AXI_24_AWVALID": { + "hide_name": 0, + "bits": [ 22311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30893.11-30893.25" + } + }, + "AXI_24_BID": { + "hide_name": 0, + "bits": [ 8784, 8785, 8786, 8787, 8788, 8789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30098.18-30098.28" + } + }, + "AXI_24_BREADY": { + "hide_name": 0, + "bits": [ 22312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30894.11-30894.24" + } + }, + "AXI_24_BRESP": { + "hide_name": 0, + "bits": [ 8790, 8791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30099.18-30099.30" + } + }, + "AXI_24_BVALID": { + "hide_name": 0, + "bits": [ 8792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30100.12-30100.25" + } + }, + "AXI_24_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 8793, 8794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30101.18-30101.38" + } + }, + "AXI_24_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 8795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30102.12-30102.30" + } + }, + "AXI_24_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 8796, 8797, 8798, 8799, 8800, 8801, 8802, 8803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30103.18-30103.45" + } + }, + "AXI_24_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 8804, 8805, 8806, 8807, 8808, 8809, 8810, 8811, 8812, 8813, 8814, 8815, 8816, 8817, 8818, 8819, 8820, 8821, 8822, 8823, 8824 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30104.19-30104.43" + } + }, + "AXI_24_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 8825, 8826, 8827, 8828, 8829, 8830, 8831, 8832 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30105.18-30105.43" + } + }, + "AXI_24_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 8833, 8834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30106.18-30106.44" + } + }, + "AXI_24_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 8835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30107.12-30107.36" + } + }, + "AXI_24_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 22313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30895.11-30895.34" + } + }, + "AXI_24_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 8836 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30108.12-30108.33" + } + }, + "AXI_24_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 8837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30109.12-30109.35" + } + }, + "AXI_24_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 8838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30110.12-30110.32" + } + }, + "AXI_24_MC_STATUS": { + "hide_name": 0, + "bits": [ 8839, 8840, 8841, 8842, 8843, 8844 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30111.18-30111.34" + } + }, + "AXI_24_PHY_STATUS": { + "hide_name": 0, + "bits": [ 8845, 8846, 8847, 8848, 8849, 8850, 8851, 8852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30112.18-30112.35" + } + }, + "AXI_24_RDATA": { + "hide_name": 0, + "bits": [ 8853, 8854, 8855, 8856, 8857, 8858, 8859, 8860, 8861, 8862, 8863, 8864, 8865, 8866, 8867, 8868, 8869, 8870, 8871, 8872, 8873, 8874, 8875, 8876, 8877, 8878, 8879, 8880, 8881, 8882, 8883, 8884, 8885, 8886, 8887, 8888, 8889, 8890, 8891, 8892, 8893, 8894, 8895, 8896, 8897, 8898, 8899, 8900, 8901, 8902, 8903, 8904, 8905, 8906, 8907, 8908, 8909, 8910, 8911, 8912, 8913, 8914, 8915, 8916, 8917, 8918, 8919, 8920, 8921, 8922, 8923, 8924, 8925, 8926, 8927, 8928, 8929, 8930, 8931, 8932, 8933, 8934, 8935, 8936, 8937, 8938, 8939, 8940, 8941, 8942, 8943, 8944, 8945, 8946, 8947, 8948, 8949, 8950, 8951, 8952, 8953, 8954, 8955, 8956, 8957, 8958, 8959, 8960, 8961, 8962, 8963, 8964, 8965, 8966, 8967, 8968, 8969, 8970, 8971, 8972, 8973, 8974, 8975, 8976, 8977, 8978, 8979, 8980, 8981, 8982, 8983, 8984, 8985, 8986, 8987, 8988, 8989, 8990, 8991, 8992, 8993, 8994, 8995, 8996, 8997, 8998, 8999, 9000, 9001, 9002, 9003, 9004, 9005, 9006, 9007, 9008, 9009, 9010, 9011, 9012, 9013, 9014, 9015, 9016, 9017, 9018, 9019, 9020, 9021, 9022, 9023, 9024, 9025, 9026, 9027, 9028, 9029, 9030, 9031, 9032, 9033, 9034, 9035, 9036, 9037, 9038, 9039, 9040, 9041, 9042, 9043, 9044, 9045, 9046, 9047, 9048, 9049, 9050, 9051, 9052, 9053, 9054, 9055, 9056, 9057, 9058, 9059, 9060, 9061, 9062, 9063, 9064, 9065, 9066, 9067, 9068, 9069, 9070, 9071, 9072, 9073, 9074, 9075, 9076, 9077, 9078, 9079, 9080, 9081, 9082, 9083, 9084, 9085, 9086, 9087, 9088, 9089, 9090, 9091, 9092, 9093, 9094, 9095, 9096, 9097, 9098, 9099, 9100, 9101, 9102, 9103, 9104, 9105, 9106, 9107, 9108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30113.20-30113.32" + } + }, + "AXI_24_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 9109, 9110, 9111, 9112, 9113, 9114, 9115, 9116, 9117, 9118, 9119, 9120, 9121, 9122, 9123, 9124, 9125, 9126, 9127, 9128, 9129, 9130, 9131, 9132, 9133, 9134, 9135, 9136, 9137, 9138, 9139, 9140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30114.19-30114.38" + } + }, + "AXI_24_RID": { + "hide_name": 0, + "bits": [ 9141, 9142, 9143, 9144, 9145, 9146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30115.18-30115.28" + } + }, + "AXI_24_RLAST": { + "hide_name": 0, + "bits": [ 9147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30116.12-30116.24" + } + }, + "AXI_24_RREADY": { + "hide_name": 0, + "bits": [ 22314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30896.11-30896.24" + } + }, + "AXI_24_RRESP": { + "hide_name": 0, + "bits": [ 9148, 9149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30117.18-30117.30" + } + }, + "AXI_24_RVALID": { + "hide_name": 0, + "bits": [ 9150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30118.12-30118.25" + } + }, + "AXI_24_WDATA": { + "hide_name": 0, + "bits": [ 22315, 22316, 22317, 22318, 22319, 22320, 22321, 22322, 22323, 22324, 22325, 22326, 22327, 22328, 22329, 22330, 22331, 22332, 22333, 22334, 22335, 22336, 22337, 22338, 22339, 22340, 22341, 22342, 22343, 22344, 22345, 22346, 22347, 22348, 22349, 22350, 22351, 22352, 22353, 22354, 22355, 22356, 22357, 22358, 22359, 22360, 22361, 22362, 22363, 22364, 22365, 22366, 22367, 22368, 22369, 22370, 22371, 22372, 22373, 22374, 22375, 22376, 22377, 22378, 22379, 22380, 22381, 22382, 22383, 22384, 22385, 22386, 22387, 22388, 22389, 22390, 22391, 22392, 22393, 22394, 22395, 22396, 22397, 22398, 22399, 22400, 22401, 22402, 22403, 22404, 22405, 22406, 22407, 22408, 22409, 22410, 22411, 22412, 22413, 22414, 22415, 22416, 22417, 22418, 22419, 22420, 22421, 22422, 22423, 22424, 22425, 22426, 22427, 22428, 22429, 22430, 22431, 22432, 22433, 22434, 22435, 22436, 22437, 22438, 22439, 22440, 22441, 22442, 22443, 22444, 22445, 22446, 22447, 22448, 22449, 22450, 22451, 22452, 22453, 22454, 22455, 22456, 22457, 22458, 22459, 22460, 22461, 22462, 22463, 22464, 22465, 22466, 22467, 22468, 22469, 22470, 22471, 22472, 22473, 22474, 22475, 22476, 22477, 22478, 22479, 22480, 22481, 22482, 22483, 22484, 22485, 22486, 22487, 22488, 22489, 22490, 22491, 22492, 22493, 22494, 22495, 22496, 22497, 22498, 22499, 22500, 22501, 22502, 22503, 22504, 22505, 22506, 22507, 22508, 22509, 22510, 22511, 22512, 22513, 22514, 22515, 22516, 22517, 22518, 22519, 22520, 22521, 22522, 22523, 22524, 22525, 22526, 22527, 22528, 22529, 22530, 22531, 22532, 22533, 22534, 22535, 22536, 22537, 22538, 22539, 22540, 22541, 22542, 22543, 22544, 22545, 22546, 22547, 22548, 22549, 22550, 22551, 22552, 22553, 22554, 22555, 22556, 22557, 22558, 22559, 22560, 22561, 22562, 22563, 22564, 22565, 22566, 22567, 22568, 22569, 22570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30897.19-30897.31" + } + }, + "AXI_24_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 22571, 22572, 22573, 22574, 22575, 22576, 22577, 22578, 22579, 22580, 22581, 22582, 22583, 22584, 22585, 22586, 22587, 22588, 22589, 22590, 22591, 22592, 22593, 22594, 22595, 22596, 22597, 22598, 22599, 22600, 22601, 22602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30898.18-30898.37" + } + }, + "AXI_24_WLAST": { + "hide_name": 0, + "bits": [ 22603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30899.11-30899.23" + } + }, + "AXI_24_WREADY": { + "hide_name": 0, + "bits": [ 9151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30119.12-30119.25" + } + }, + "AXI_24_WSTRB": { + "hide_name": 0, + "bits": [ 22604, 22605, 22606, 22607, 22608, 22609, 22610, 22611, 22612, 22613, 22614, 22615, 22616, 22617, 22618, 22619, 22620, 22621, 22622, 22623, 22624, 22625, 22626, 22627, 22628, 22629, 22630, 22631, 22632, 22633, 22634, 22635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30900.18-30900.30" + } + }, + "AXI_24_WVALID": { + "hide_name": 0, + "bits": [ 22636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30901.11-30901.24" + } + }, + "AXI_25_ACLK": { + "hide_name": 0, + "bits": [ 22637 ], + "attributes": { + "invertible_pin": "IS_AXI_25_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30903.11-30903.22" + } + }, + "AXI_25_ARADDR": { + "hide_name": 0, + "bits": [ 22638, 22639, 22640, 22641, 22642, 22643, 22644, 22645, 22646, 22647, 22648, 22649, 22650, 22651, 22652, 22653, 22654, 22655, 22656, 22657, 22658, 22659, 22660, 22661, 22662, 22663, 22664, 22665, 22666, 22667, 22668, 22669, 22670, 22671, 22672, 22673, 22674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30904.18-30904.31" + } + }, + "AXI_25_ARBURST": { + "hide_name": 0, + "bits": [ 22675, 22676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30905.17-30905.31" + } + }, + "AXI_25_ARESET_N": { + "hide_name": 0, + "bits": [ 22677 ], + "attributes": { + "invertible_pin": "IS_AXI_25_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30907.11-30907.26" + } + }, + "AXI_25_ARID": { + "hide_name": 0, + "bits": [ 22678, 22679, 22680, 22681, 22682, 22683 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30908.17-30908.28" + } + }, + "AXI_25_ARLEN": { + "hide_name": 0, + "bits": [ 22684, 22685, 22686, 22687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30909.17-30909.29" + } + }, + "AXI_25_ARREADY": { + "hide_name": 0, + "bits": [ 9152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30120.12-30120.26" + } + }, + "AXI_25_ARSIZE": { + "hide_name": 0, + "bits": [ 22688, 22689, 22690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30910.17-30910.30" + } + }, + "AXI_25_ARVALID": { + "hide_name": 0, + "bits": [ 22691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30911.11-30911.25" + } + }, + "AXI_25_AWADDR": { + "hide_name": 0, + "bits": [ 22692, 22693, 22694, 22695, 22696, 22697, 22698, 22699, 22700, 22701, 22702, 22703, 22704, 22705, 22706, 22707, 22708, 22709, 22710, 22711, 22712, 22713, 22714, 22715, 22716, 22717, 22718, 22719, 22720, 22721, 22722, 22723, 22724, 22725, 22726, 22727, 22728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30912.18-30912.31" + } + }, + "AXI_25_AWBURST": { + "hide_name": 0, + "bits": [ 22729, 22730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30913.17-30913.31" + } + }, + "AXI_25_AWID": { + "hide_name": 0, + "bits": [ 22731, 22732, 22733, 22734, 22735, 22736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30914.17-30914.28" + } + }, + "AXI_25_AWLEN": { + "hide_name": 0, + "bits": [ 22737, 22738, 22739, 22740 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30915.17-30915.29" + } + }, + "AXI_25_AWREADY": { + "hide_name": 0, + "bits": [ 9153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30121.12-30121.26" + } + }, + "AXI_25_AWSIZE": { + "hide_name": 0, + "bits": [ 22741, 22742, 22743 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30916.17-30916.30" + } + }, + "AXI_25_AWVALID": { + "hide_name": 0, + "bits": [ 22744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30917.11-30917.25" + } + }, + "AXI_25_BID": { + "hide_name": 0, + "bits": [ 9154, 9155, 9156, 9157, 9158, 9159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30122.18-30122.28" + } + }, + "AXI_25_BREADY": { + "hide_name": 0, + "bits": [ 22745 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30918.11-30918.24" + } + }, + "AXI_25_BRESP": { + "hide_name": 0, + "bits": [ 9160, 9161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30123.18-30123.30" + } + }, + "AXI_25_BVALID": { + "hide_name": 0, + "bits": [ 9162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30124.12-30124.25" + } + }, + "AXI_25_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 9163, 9164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30125.18-30125.38" + } + }, + "AXI_25_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 9165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30126.12-30126.30" + } + }, + "AXI_25_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 9166, 9167, 9168, 9169, 9170, 9171, 9172, 9173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30127.18-30127.45" + } + }, + "AXI_25_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 9174, 9175, 9176, 9177, 9178, 9179, 9180, 9181, 9182, 9183, 9184, 9185, 9186, 9187, 9188, 9189, 9190, 9191, 9192, 9193, 9194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30128.19-30128.43" + } + }, + "AXI_25_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 9195, 9196, 9197, 9198, 9199, 9200, 9201, 9202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30129.18-30129.43" + } + }, + "AXI_25_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 9203, 9204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30130.18-30130.44" + } + }, + "AXI_25_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 9205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30131.12-30131.36" + } + }, + "AXI_25_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 22746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30919.11-30919.34" + } + }, + "AXI_25_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 9206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30132.12-30132.33" + } + }, + "AXI_25_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 9207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30133.12-30133.35" + } + }, + "AXI_25_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 9208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30134.12-30134.32" + } + }, + "AXI_25_RDATA": { + "hide_name": 0, + "bits": [ 9209, 9210, 9211, 9212, 9213, 9214, 9215, 9216, 9217, 9218, 9219, 9220, 9221, 9222, 9223, 9224, 9225, 9226, 9227, 9228, 9229, 9230, 9231, 9232, 9233, 9234, 9235, 9236, 9237, 9238, 9239, 9240, 9241, 9242, 9243, 9244, 9245, 9246, 9247, 9248, 9249, 9250, 9251, 9252, 9253, 9254, 9255, 9256, 9257, 9258, 9259, 9260, 9261, 9262, 9263, 9264, 9265, 9266, 9267, 9268, 9269, 9270, 9271, 9272, 9273, 9274, 9275, 9276, 9277, 9278, 9279, 9280, 9281, 9282, 9283, 9284, 9285, 9286, 9287, 9288, 9289, 9290, 9291, 9292, 9293, 9294, 9295, 9296, 9297, 9298, 9299, 9300, 9301, 9302, 9303, 9304, 9305, 9306, 9307, 9308, 9309, 9310, 9311, 9312, 9313, 9314, 9315, 9316, 9317, 9318, 9319, 9320, 9321, 9322, 9323, 9324, 9325, 9326, 9327, 9328, 9329, 9330, 9331, 9332, 9333, 9334, 9335, 9336, 9337, 9338, 9339, 9340, 9341, 9342, 9343, 9344, 9345, 9346, 9347, 9348, 9349, 9350, 9351, 9352, 9353, 9354, 9355, 9356, 9357, 9358, 9359, 9360, 9361, 9362, 9363, 9364, 9365, 9366, 9367, 9368, 9369, 9370, 9371, 9372, 9373, 9374, 9375, 9376, 9377, 9378, 9379, 9380, 9381, 9382, 9383, 9384, 9385, 9386, 9387, 9388, 9389, 9390, 9391, 9392, 9393, 9394, 9395, 9396, 9397, 9398, 9399, 9400, 9401, 9402, 9403, 9404, 9405, 9406, 9407, 9408, 9409, 9410, 9411, 9412, 9413, 9414, 9415, 9416, 9417, 9418, 9419, 9420, 9421, 9422, 9423, 9424, 9425, 9426, 9427, 9428, 9429, 9430, 9431, 9432, 9433, 9434, 9435, 9436, 9437, 9438, 9439, 9440, 9441, 9442, 9443, 9444, 9445, 9446, 9447, 9448, 9449, 9450, 9451, 9452, 9453, 9454, 9455, 9456, 9457, 9458, 9459, 9460, 9461, 9462, 9463, 9464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30135.20-30135.32" + } + }, + "AXI_25_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 9465, 9466, 9467, 9468, 9469, 9470, 9471, 9472, 9473, 9474, 9475, 9476, 9477, 9478, 9479, 9480, 9481, 9482, 9483, 9484, 9485, 9486, 9487, 9488, 9489, 9490, 9491, 9492, 9493, 9494, 9495, 9496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30136.19-30136.38" + } + }, + "AXI_25_RID": { + "hide_name": 0, + "bits": [ 9497, 9498, 9499, 9500, 9501, 9502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30137.18-30137.28" + } + }, + "AXI_25_RLAST": { + "hide_name": 0, + "bits": [ 9503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30138.12-30138.24" + } + }, + "AXI_25_RREADY": { + "hide_name": 0, + "bits": [ 22747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30920.11-30920.24" + } + }, + "AXI_25_RRESP": { + "hide_name": 0, + "bits": [ 9504, 9505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30139.18-30139.30" + } + }, + "AXI_25_RVALID": { + "hide_name": 0, + "bits": [ 9506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30140.12-30140.25" + } + }, + "AXI_25_WDATA": { + "hide_name": 0, + "bits": [ 22748, 22749, 22750, 22751, 22752, 22753, 22754, 22755, 22756, 22757, 22758, 22759, 22760, 22761, 22762, 22763, 22764, 22765, 22766, 22767, 22768, 22769, 22770, 22771, 22772, 22773, 22774, 22775, 22776, 22777, 22778, 22779, 22780, 22781, 22782, 22783, 22784, 22785, 22786, 22787, 22788, 22789, 22790, 22791, 22792, 22793, 22794, 22795, 22796, 22797, 22798, 22799, 22800, 22801, 22802, 22803, 22804, 22805, 22806, 22807, 22808, 22809, 22810, 22811, 22812, 22813, 22814, 22815, 22816, 22817, 22818, 22819, 22820, 22821, 22822, 22823, 22824, 22825, 22826, 22827, 22828, 22829, 22830, 22831, 22832, 22833, 22834, 22835, 22836, 22837, 22838, 22839, 22840, 22841, 22842, 22843, 22844, 22845, 22846, 22847, 22848, 22849, 22850, 22851, 22852, 22853, 22854, 22855, 22856, 22857, 22858, 22859, 22860, 22861, 22862, 22863, 22864, 22865, 22866, 22867, 22868, 22869, 22870, 22871, 22872, 22873, 22874, 22875, 22876, 22877, 22878, 22879, 22880, 22881, 22882, 22883, 22884, 22885, 22886, 22887, 22888, 22889, 22890, 22891, 22892, 22893, 22894, 22895, 22896, 22897, 22898, 22899, 22900, 22901, 22902, 22903, 22904, 22905, 22906, 22907, 22908, 22909, 22910, 22911, 22912, 22913, 22914, 22915, 22916, 22917, 22918, 22919, 22920, 22921, 22922, 22923, 22924, 22925, 22926, 22927, 22928, 22929, 22930, 22931, 22932, 22933, 22934, 22935, 22936, 22937, 22938, 22939, 22940, 22941, 22942, 22943, 22944, 22945, 22946, 22947, 22948, 22949, 22950, 22951, 22952, 22953, 22954, 22955, 22956, 22957, 22958, 22959, 22960, 22961, 22962, 22963, 22964, 22965, 22966, 22967, 22968, 22969, 22970, 22971, 22972, 22973, 22974, 22975, 22976, 22977, 22978, 22979, 22980, 22981, 22982, 22983, 22984, 22985, 22986, 22987, 22988, 22989, 22990, 22991, 22992, 22993, 22994, 22995, 22996, 22997, 22998, 22999, 23000, 23001, 23002, 23003 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30921.19-30921.31" + } + }, + "AXI_25_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 23004, 23005, 23006, 23007, 23008, 23009, 23010, 23011, 23012, 23013, 23014, 23015, 23016, 23017, 23018, 23019, 23020, 23021, 23022, 23023, 23024, 23025, 23026, 23027, 23028, 23029, 23030, 23031, 23032, 23033, 23034, 23035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30922.18-30922.37" + } + }, + "AXI_25_WLAST": { + "hide_name": 0, + "bits": [ 23036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30923.11-30923.23" + } + }, + "AXI_25_WREADY": { + "hide_name": 0, + "bits": [ 9507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30141.12-30141.25" + } + }, + "AXI_25_WSTRB": { + "hide_name": 0, + "bits": [ 23037, 23038, 23039, 23040, 23041, 23042, 23043, 23044, 23045, 23046, 23047, 23048, 23049, 23050, 23051, 23052, 23053, 23054, 23055, 23056, 23057, 23058, 23059, 23060, 23061, 23062, 23063, 23064, 23065, 23066, 23067, 23068 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30924.18-30924.30" + } + }, + "AXI_25_WVALID": { + "hide_name": 0, + "bits": [ 23069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30925.11-30925.24" + } + }, + "AXI_26_ACLK": { + "hide_name": 0, + "bits": [ 23070 ], + "attributes": { + "invertible_pin": "IS_AXI_26_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30927.11-30927.22" + } + }, + "AXI_26_ARADDR": { + "hide_name": 0, + "bits": [ 23071, 23072, 23073, 23074, 23075, 23076, 23077, 23078, 23079, 23080, 23081, 23082, 23083, 23084, 23085, 23086, 23087, 23088, 23089, 23090, 23091, 23092, 23093, 23094, 23095, 23096, 23097, 23098, 23099, 23100, 23101, 23102, 23103, 23104, 23105, 23106, 23107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30928.18-30928.31" + } + }, + "AXI_26_ARBURST": { + "hide_name": 0, + "bits": [ 23108, 23109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30929.17-30929.31" + } + }, + "AXI_26_ARESET_N": { + "hide_name": 0, + "bits": [ 23110 ], + "attributes": { + "invertible_pin": "IS_AXI_26_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30931.11-30931.26" + } + }, + "AXI_26_ARID": { + "hide_name": 0, + "bits": [ 23111, 23112, 23113, 23114, 23115, 23116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30932.17-30932.28" + } + }, + "AXI_26_ARLEN": { + "hide_name": 0, + "bits": [ 23117, 23118, 23119, 23120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30933.17-30933.29" + } + }, + "AXI_26_ARREADY": { + "hide_name": 0, + "bits": [ 9508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30142.12-30142.26" + } + }, + "AXI_26_ARSIZE": { + "hide_name": 0, + "bits": [ 23121, 23122, 23123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30934.17-30934.30" + } + }, + "AXI_26_ARVALID": { + "hide_name": 0, + "bits": [ 23124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30935.11-30935.25" + } + }, + "AXI_26_AWADDR": { + "hide_name": 0, + "bits": [ 23125, 23126, 23127, 23128, 23129, 23130, 23131, 23132, 23133, 23134, 23135, 23136, 23137, 23138, 23139, 23140, 23141, 23142, 23143, 23144, 23145, 23146, 23147, 23148, 23149, 23150, 23151, 23152, 23153, 23154, 23155, 23156, 23157, 23158, 23159, 23160, 23161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30936.18-30936.31" + } + }, + "AXI_26_AWBURST": { + "hide_name": 0, + "bits": [ 23162, 23163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30937.17-30937.31" + } + }, + "AXI_26_AWID": { + "hide_name": 0, + "bits": [ 23164, 23165, 23166, 23167, 23168, 23169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30938.17-30938.28" + } + }, + "AXI_26_AWLEN": { + "hide_name": 0, + "bits": [ 23170, 23171, 23172, 23173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30939.17-30939.29" + } + }, + "AXI_26_AWREADY": { + "hide_name": 0, + "bits": [ 9509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30143.12-30143.26" + } + }, + "AXI_26_AWSIZE": { + "hide_name": 0, + "bits": [ 23174, 23175, 23176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30940.17-30940.30" + } + }, + "AXI_26_AWVALID": { + "hide_name": 0, + "bits": [ 23177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30941.11-30941.25" + } + }, + "AXI_26_BID": { + "hide_name": 0, + "bits": [ 9510, 9511, 9512, 9513, 9514, 9515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30144.18-30144.28" + } + }, + "AXI_26_BREADY": { + "hide_name": 0, + "bits": [ 23178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30942.11-30942.24" + } + }, + "AXI_26_BRESP": { + "hide_name": 0, + "bits": [ 9516, 9517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30145.18-30145.30" + } + }, + "AXI_26_BVALID": { + "hide_name": 0, + "bits": [ 9518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30146.12-30146.25" + } + }, + "AXI_26_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 9519, 9520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30147.18-30147.38" + } + }, + "AXI_26_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 9521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30148.12-30148.30" + } + }, + "AXI_26_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 9522, 9523, 9524, 9525, 9526, 9527, 9528, 9529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30149.18-30149.45" + } + }, + "AXI_26_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 9530, 9531, 9532, 9533, 9534, 9535, 9536, 9537, 9538, 9539, 9540, 9541, 9542, 9543, 9544, 9545, 9546, 9547, 9548, 9549, 9550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30150.19-30150.43" + } + }, + "AXI_26_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 9551, 9552, 9553, 9554, 9555, 9556, 9557, 9558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30151.18-30151.43" + } + }, + "AXI_26_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 9559, 9560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30152.18-30152.44" + } + }, + "AXI_26_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 9561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30153.12-30153.36" + } + }, + "AXI_26_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 23179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30943.11-30943.34" + } + }, + "AXI_26_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 9562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30154.12-30154.33" + } + }, + "AXI_26_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 9563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30155.12-30155.35" + } + }, + "AXI_26_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 9564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30156.12-30156.32" + } + }, + "AXI_26_MC_STATUS": { + "hide_name": 0, + "bits": [ 9565, 9566, 9567, 9568, 9569, 9570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30157.18-30157.34" + } + }, + "AXI_26_PHY_STATUS": { + "hide_name": 0, + "bits": [ 9571, 9572, 9573, 9574, 9575, 9576, 9577, 9578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30158.18-30158.35" + } + }, + "AXI_26_RDATA": { + "hide_name": 0, + "bits": [ 9579, 9580, 9581, 9582, 9583, 9584, 9585, 9586, 9587, 9588, 9589, 9590, 9591, 9592, 9593, 9594, 9595, 9596, 9597, 9598, 9599, 9600, 9601, 9602, 9603, 9604, 9605, 9606, 9607, 9608, 9609, 9610, 9611, 9612, 9613, 9614, 9615, 9616, 9617, 9618, 9619, 9620, 9621, 9622, 9623, 9624, 9625, 9626, 9627, 9628, 9629, 9630, 9631, 9632, 9633, 9634, 9635, 9636, 9637, 9638, 9639, 9640, 9641, 9642, 9643, 9644, 9645, 9646, 9647, 9648, 9649, 9650, 9651, 9652, 9653, 9654, 9655, 9656, 9657, 9658, 9659, 9660, 9661, 9662, 9663, 9664, 9665, 9666, 9667, 9668, 9669, 9670, 9671, 9672, 9673, 9674, 9675, 9676, 9677, 9678, 9679, 9680, 9681, 9682, 9683, 9684, 9685, 9686, 9687, 9688, 9689, 9690, 9691, 9692, 9693, 9694, 9695, 9696, 9697, 9698, 9699, 9700, 9701, 9702, 9703, 9704, 9705, 9706, 9707, 9708, 9709, 9710, 9711, 9712, 9713, 9714, 9715, 9716, 9717, 9718, 9719, 9720, 9721, 9722, 9723, 9724, 9725, 9726, 9727, 9728, 9729, 9730, 9731, 9732, 9733, 9734, 9735, 9736, 9737, 9738, 9739, 9740, 9741, 9742, 9743, 9744, 9745, 9746, 9747, 9748, 9749, 9750, 9751, 9752, 9753, 9754, 9755, 9756, 9757, 9758, 9759, 9760, 9761, 9762, 9763, 9764, 9765, 9766, 9767, 9768, 9769, 9770, 9771, 9772, 9773, 9774, 9775, 9776, 9777, 9778, 9779, 9780, 9781, 9782, 9783, 9784, 9785, 9786, 9787, 9788, 9789, 9790, 9791, 9792, 9793, 9794, 9795, 9796, 9797, 9798, 9799, 9800, 9801, 9802, 9803, 9804, 9805, 9806, 9807, 9808, 9809, 9810, 9811, 9812, 9813, 9814, 9815, 9816, 9817, 9818, 9819, 9820, 9821, 9822, 9823, 9824, 9825, 9826, 9827, 9828, 9829, 9830, 9831, 9832, 9833, 9834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30159.20-30159.32" + } + }, + "AXI_26_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 9835, 9836, 9837, 9838, 9839, 9840, 9841, 9842, 9843, 9844, 9845, 9846, 9847, 9848, 9849, 9850, 9851, 9852, 9853, 9854, 9855, 9856, 9857, 9858, 9859, 9860, 9861, 9862, 9863, 9864, 9865, 9866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30160.19-30160.38" + } + }, + "AXI_26_RID": { + "hide_name": 0, + "bits": [ 9867, 9868, 9869, 9870, 9871, 9872 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30161.18-30161.28" + } + }, + "AXI_26_RLAST": { + "hide_name": 0, + "bits": [ 9873 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30162.12-30162.24" + } + }, + "AXI_26_RREADY": { + "hide_name": 0, + "bits": [ 23180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30944.11-30944.24" + } + }, + "AXI_26_RRESP": { + "hide_name": 0, + "bits": [ 9874, 9875 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30163.18-30163.30" + } + }, + "AXI_26_RVALID": { + "hide_name": 0, + "bits": [ 9876 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30164.12-30164.25" + } + }, + "AXI_26_WDATA": { + "hide_name": 0, + "bits": [ 23181, 23182, 23183, 23184, 23185, 23186, 23187, 23188, 23189, 23190, 23191, 23192, 23193, 23194, 23195, 23196, 23197, 23198, 23199, 23200, 23201, 23202, 23203, 23204, 23205, 23206, 23207, 23208, 23209, 23210, 23211, 23212, 23213, 23214, 23215, 23216, 23217, 23218, 23219, 23220, 23221, 23222, 23223, 23224, 23225, 23226, 23227, 23228, 23229, 23230, 23231, 23232, 23233, 23234, 23235, 23236, 23237, 23238, 23239, 23240, 23241, 23242, 23243, 23244, 23245, 23246, 23247, 23248, 23249, 23250, 23251, 23252, 23253, 23254, 23255, 23256, 23257, 23258, 23259, 23260, 23261, 23262, 23263, 23264, 23265, 23266, 23267, 23268, 23269, 23270, 23271, 23272, 23273, 23274, 23275, 23276, 23277, 23278, 23279, 23280, 23281, 23282, 23283, 23284, 23285, 23286, 23287, 23288, 23289, 23290, 23291, 23292, 23293, 23294, 23295, 23296, 23297, 23298, 23299, 23300, 23301, 23302, 23303, 23304, 23305, 23306, 23307, 23308, 23309, 23310, 23311, 23312, 23313, 23314, 23315, 23316, 23317, 23318, 23319, 23320, 23321, 23322, 23323, 23324, 23325, 23326, 23327, 23328, 23329, 23330, 23331, 23332, 23333, 23334, 23335, 23336, 23337, 23338, 23339, 23340, 23341, 23342, 23343, 23344, 23345, 23346, 23347, 23348, 23349, 23350, 23351, 23352, 23353, 23354, 23355, 23356, 23357, 23358, 23359, 23360, 23361, 23362, 23363, 23364, 23365, 23366, 23367, 23368, 23369, 23370, 23371, 23372, 23373, 23374, 23375, 23376, 23377, 23378, 23379, 23380, 23381, 23382, 23383, 23384, 23385, 23386, 23387, 23388, 23389, 23390, 23391, 23392, 23393, 23394, 23395, 23396, 23397, 23398, 23399, 23400, 23401, 23402, 23403, 23404, 23405, 23406, 23407, 23408, 23409, 23410, 23411, 23412, 23413, 23414, 23415, 23416, 23417, 23418, 23419, 23420, 23421, 23422, 23423, 23424, 23425, 23426, 23427, 23428, 23429, 23430, 23431, 23432, 23433, 23434, 23435, 23436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30945.19-30945.31" + } + }, + "AXI_26_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 23437, 23438, 23439, 23440, 23441, 23442, 23443, 23444, 23445, 23446, 23447, 23448, 23449, 23450, 23451, 23452, 23453, 23454, 23455, 23456, 23457, 23458, 23459, 23460, 23461, 23462, 23463, 23464, 23465, 23466, 23467, 23468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30946.18-30946.37" + } + }, + "AXI_26_WLAST": { + "hide_name": 0, + "bits": [ 23469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30947.11-30947.23" + } + }, + "AXI_26_WREADY": { + "hide_name": 0, + "bits": [ 9877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30165.12-30165.25" + } + }, + "AXI_26_WSTRB": { + "hide_name": 0, + "bits": [ 23470, 23471, 23472, 23473, 23474, 23475, 23476, 23477, 23478, 23479, 23480, 23481, 23482, 23483, 23484, 23485, 23486, 23487, 23488, 23489, 23490, 23491, 23492, 23493, 23494, 23495, 23496, 23497, 23498, 23499, 23500, 23501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30948.18-30948.30" + } + }, + "AXI_26_WVALID": { + "hide_name": 0, + "bits": [ 23502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30949.11-30949.24" + } + }, + "AXI_27_ACLK": { + "hide_name": 0, + "bits": [ 23503 ], + "attributes": { + "invertible_pin": "IS_AXI_27_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30951.11-30951.22" + } + }, + "AXI_27_ARADDR": { + "hide_name": 0, + "bits": [ 23504, 23505, 23506, 23507, 23508, 23509, 23510, 23511, 23512, 23513, 23514, 23515, 23516, 23517, 23518, 23519, 23520, 23521, 23522, 23523, 23524, 23525, 23526, 23527, 23528, 23529, 23530, 23531, 23532, 23533, 23534, 23535, 23536, 23537, 23538, 23539, 23540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30952.18-30952.31" + } + }, + "AXI_27_ARBURST": { + "hide_name": 0, + "bits": [ 23541, 23542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30953.17-30953.31" + } + }, + "AXI_27_ARESET_N": { + "hide_name": 0, + "bits": [ 23543 ], + "attributes": { + "invertible_pin": "IS_AXI_27_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30955.11-30955.26" + } + }, + "AXI_27_ARID": { + "hide_name": 0, + "bits": [ 23544, 23545, 23546, 23547, 23548, 23549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30956.17-30956.28" + } + }, + "AXI_27_ARLEN": { + "hide_name": 0, + "bits": [ 23550, 23551, 23552, 23553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30957.17-30957.29" + } + }, + "AXI_27_ARREADY": { + "hide_name": 0, + "bits": [ 9878 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30166.12-30166.26" + } + }, + "AXI_27_ARSIZE": { + "hide_name": 0, + "bits": [ 23554, 23555, 23556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30958.17-30958.30" + } + }, + "AXI_27_ARVALID": { + "hide_name": 0, + "bits": [ 23557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30959.11-30959.25" + } + }, + "AXI_27_AWADDR": { + "hide_name": 0, + "bits": [ 23558, 23559, 23560, 23561, 23562, 23563, 23564, 23565, 23566, 23567, 23568, 23569, 23570, 23571, 23572, 23573, 23574, 23575, 23576, 23577, 23578, 23579, 23580, 23581, 23582, 23583, 23584, 23585, 23586, 23587, 23588, 23589, 23590, 23591, 23592, 23593, 23594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30960.18-30960.31" + } + }, + "AXI_27_AWBURST": { + "hide_name": 0, + "bits": [ 23595, 23596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30961.17-30961.31" + } + }, + "AXI_27_AWID": { + "hide_name": 0, + "bits": [ 23597, 23598, 23599, 23600, 23601, 23602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30962.17-30962.28" + } + }, + "AXI_27_AWLEN": { + "hide_name": 0, + "bits": [ 23603, 23604, 23605, 23606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30963.17-30963.29" + } + }, + "AXI_27_AWREADY": { + "hide_name": 0, + "bits": [ 9879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30167.12-30167.26" + } + }, + "AXI_27_AWSIZE": { + "hide_name": 0, + "bits": [ 23607, 23608, 23609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30964.17-30964.30" + } + }, + "AXI_27_AWVALID": { + "hide_name": 0, + "bits": [ 23610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30965.11-30965.25" + } + }, + "AXI_27_BID": { + "hide_name": 0, + "bits": [ 9880, 9881, 9882, 9883, 9884, 9885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30168.18-30168.28" + } + }, + "AXI_27_BREADY": { + "hide_name": 0, + "bits": [ 23611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30966.11-30966.24" + } + }, + "AXI_27_BRESP": { + "hide_name": 0, + "bits": [ 9886, 9887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30169.18-30169.30" + } + }, + "AXI_27_BVALID": { + "hide_name": 0, + "bits": [ 9888 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30170.12-30170.25" + } + }, + "AXI_27_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 9889, 9890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30171.18-30171.38" + } + }, + "AXI_27_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 9891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30172.12-30172.30" + } + }, + "AXI_27_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 9892, 9893, 9894, 9895, 9896, 9897, 9898, 9899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30173.18-30173.45" + } + }, + "AXI_27_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 9900, 9901, 9902, 9903, 9904, 9905, 9906, 9907, 9908, 9909, 9910, 9911, 9912, 9913, 9914, 9915, 9916, 9917, 9918, 9919, 9920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30174.19-30174.43" + } + }, + "AXI_27_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 9921, 9922, 9923, 9924, 9925, 9926, 9927, 9928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30175.18-30175.43" + } + }, + "AXI_27_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 9929, 9930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30176.18-30176.44" + } + }, + "AXI_27_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 9931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30177.12-30177.36" + } + }, + "AXI_27_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 23612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30967.11-30967.34" + } + }, + "AXI_27_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 9932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30178.12-30178.33" + } + }, + "AXI_27_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 9933 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30179.12-30179.35" + } + }, + "AXI_27_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 9934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30180.12-30180.32" + } + }, + "AXI_27_RDATA": { + "hide_name": 0, + "bits": [ 9935, 9936, 9937, 9938, 9939, 9940, 9941, 9942, 9943, 9944, 9945, 9946, 9947, 9948, 9949, 9950, 9951, 9952, 9953, 9954, 9955, 9956, 9957, 9958, 9959, 9960, 9961, 9962, 9963, 9964, 9965, 9966, 9967, 9968, 9969, 9970, 9971, 9972, 9973, 9974, 9975, 9976, 9977, 9978, 9979, 9980, 9981, 9982, 9983, 9984, 9985, 9986, 9987, 9988, 9989, 9990, 9991, 9992, 9993, 9994, 9995, 9996, 9997, 9998, 9999, 10000, 10001, 10002, 10003, 10004, 10005, 10006, 10007, 10008, 10009, 10010, 10011, 10012, 10013, 10014, 10015, 10016, 10017, 10018, 10019, 10020, 10021, 10022, 10023, 10024, 10025, 10026, 10027, 10028, 10029, 10030, 10031, 10032, 10033, 10034, 10035, 10036, 10037, 10038, 10039, 10040, 10041, 10042, 10043, 10044, 10045, 10046, 10047, 10048, 10049, 10050, 10051, 10052, 10053, 10054, 10055, 10056, 10057, 10058, 10059, 10060, 10061, 10062, 10063, 10064, 10065, 10066, 10067, 10068, 10069, 10070, 10071, 10072, 10073, 10074, 10075, 10076, 10077, 10078, 10079, 10080, 10081, 10082, 10083, 10084, 10085, 10086, 10087, 10088, 10089, 10090, 10091, 10092, 10093, 10094, 10095, 10096, 10097, 10098, 10099, 10100, 10101, 10102, 10103, 10104, 10105, 10106, 10107, 10108, 10109, 10110, 10111, 10112, 10113, 10114, 10115, 10116, 10117, 10118, 10119, 10120, 10121, 10122, 10123, 10124, 10125, 10126, 10127, 10128, 10129, 10130, 10131, 10132, 10133, 10134, 10135, 10136, 10137, 10138, 10139, 10140, 10141, 10142, 10143, 10144, 10145, 10146, 10147, 10148, 10149, 10150, 10151, 10152, 10153, 10154, 10155, 10156, 10157, 10158, 10159, 10160, 10161, 10162, 10163, 10164, 10165, 10166, 10167, 10168, 10169, 10170, 10171, 10172, 10173, 10174, 10175, 10176, 10177, 10178, 10179, 10180, 10181, 10182, 10183, 10184, 10185, 10186, 10187, 10188, 10189, 10190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30181.20-30181.32" + } + }, + "AXI_27_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 10191, 10192, 10193, 10194, 10195, 10196, 10197, 10198, 10199, 10200, 10201, 10202, 10203, 10204, 10205, 10206, 10207, 10208, 10209, 10210, 10211, 10212, 10213, 10214, 10215, 10216, 10217, 10218, 10219, 10220, 10221, 10222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30182.19-30182.38" + } + }, + "AXI_27_RID": { + "hide_name": 0, + "bits": [ 10223, 10224, 10225, 10226, 10227, 10228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30183.18-30183.28" + } + }, + "AXI_27_RLAST": { + "hide_name": 0, + "bits": [ 10229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30184.12-30184.24" + } + }, + "AXI_27_RREADY": { + "hide_name": 0, + "bits": [ 23613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30968.11-30968.24" + } + }, + "AXI_27_RRESP": { + "hide_name": 0, + "bits": [ 10230, 10231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30185.18-30185.30" + } + }, + "AXI_27_RVALID": { + "hide_name": 0, + "bits": [ 10232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30186.12-30186.25" + } + }, + "AXI_27_WDATA": { + "hide_name": 0, + "bits": [ 23614, 23615, 23616, 23617, 23618, 23619, 23620, 23621, 23622, 23623, 23624, 23625, 23626, 23627, 23628, 23629, 23630, 23631, 23632, 23633, 23634, 23635, 23636, 23637, 23638, 23639, 23640, 23641, 23642, 23643, 23644, 23645, 23646, 23647, 23648, 23649, 23650, 23651, 23652, 23653, 23654, 23655, 23656, 23657, 23658, 23659, 23660, 23661, 23662, 23663, 23664, 23665, 23666, 23667, 23668, 23669, 23670, 23671, 23672, 23673, 23674, 23675, 23676, 23677, 23678, 23679, 23680, 23681, 23682, 23683, 23684, 23685, 23686, 23687, 23688, 23689, 23690, 23691, 23692, 23693, 23694, 23695, 23696, 23697, 23698, 23699, 23700, 23701, 23702, 23703, 23704, 23705, 23706, 23707, 23708, 23709, 23710, 23711, 23712, 23713, 23714, 23715, 23716, 23717, 23718, 23719, 23720, 23721, 23722, 23723, 23724, 23725, 23726, 23727, 23728, 23729, 23730, 23731, 23732, 23733, 23734, 23735, 23736, 23737, 23738, 23739, 23740, 23741, 23742, 23743, 23744, 23745, 23746, 23747, 23748, 23749, 23750, 23751, 23752, 23753, 23754, 23755, 23756, 23757, 23758, 23759, 23760, 23761, 23762, 23763, 23764, 23765, 23766, 23767, 23768, 23769, 23770, 23771, 23772, 23773, 23774, 23775, 23776, 23777, 23778, 23779, 23780, 23781, 23782, 23783, 23784, 23785, 23786, 23787, 23788, 23789, 23790, 23791, 23792, 23793, 23794, 23795, 23796, 23797, 23798, 23799, 23800, 23801, 23802, 23803, 23804, 23805, 23806, 23807, 23808, 23809, 23810, 23811, 23812, 23813, 23814, 23815, 23816, 23817, 23818, 23819, 23820, 23821, 23822, 23823, 23824, 23825, 23826, 23827, 23828, 23829, 23830, 23831, 23832, 23833, 23834, 23835, 23836, 23837, 23838, 23839, 23840, 23841, 23842, 23843, 23844, 23845, 23846, 23847, 23848, 23849, 23850, 23851, 23852, 23853, 23854, 23855, 23856, 23857, 23858, 23859, 23860, 23861, 23862, 23863, 23864, 23865, 23866, 23867, 23868, 23869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30969.19-30969.31" + } + }, + "AXI_27_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 23870, 23871, 23872, 23873, 23874, 23875, 23876, 23877, 23878, 23879, 23880, 23881, 23882, 23883, 23884, 23885, 23886, 23887, 23888, 23889, 23890, 23891, 23892, 23893, 23894, 23895, 23896, 23897, 23898, 23899, 23900, 23901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30970.18-30970.37" + } + }, + "AXI_27_WLAST": { + "hide_name": 0, + "bits": [ 23902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30971.11-30971.23" + } + }, + "AXI_27_WREADY": { + "hide_name": 0, + "bits": [ 10233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30187.12-30187.25" + } + }, + "AXI_27_WSTRB": { + "hide_name": 0, + "bits": [ 23903, 23904, 23905, 23906, 23907, 23908, 23909, 23910, 23911, 23912, 23913, 23914, 23915, 23916, 23917, 23918, 23919, 23920, 23921, 23922, 23923, 23924, 23925, 23926, 23927, 23928, 23929, 23930, 23931, 23932, 23933, 23934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30972.18-30972.30" + } + }, + "AXI_27_WVALID": { + "hide_name": 0, + "bits": [ 23935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30973.11-30973.24" + } + }, + "AXI_28_ACLK": { + "hide_name": 0, + "bits": [ 23936 ], + "attributes": { + "invertible_pin": "IS_AXI_28_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30975.11-30975.22" + } + }, + "AXI_28_ARADDR": { + "hide_name": 0, + "bits": [ 23937, 23938, 23939, 23940, 23941, 23942, 23943, 23944, 23945, 23946, 23947, 23948, 23949, 23950, 23951, 23952, 23953, 23954, 23955, 23956, 23957, 23958, 23959, 23960, 23961, 23962, 23963, 23964, 23965, 23966, 23967, 23968, 23969, 23970, 23971, 23972, 23973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30976.18-30976.31" + } + }, + "AXI_28_ARBURST": { + "hide_name": 0, + "bits": [ 23974, 23975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30977.17-30977.31" + } + }, + "AXI_28_ARESET_N": { + "hide_name": 0, + "bits": [ 23976 ], + "attributes": { + "invertible_pin": "IS_AXI_28_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30979.11-30979.26" + } + }, + "AXI_28_ARID": { + "hide_name": 0, + "bits": [ 23977, 23978, 23979, 23980, 23981, 23982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30980.17-30980.28" + } + }, + "AXI_28_ARLEN": { + "hide_name": 0, + "bits": [ 23983, 23984, 23985, 23986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30981.17-30981.29" + } + }, + "AXI_28_ARREADY": { + "hide_name": 0, + "bits": [ 10234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30188.12-30188.26" + } + }, + "AXI_28_ARSIZE": { + "hide_name": 0, + "bits": [ 23987, 23988, 23989 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30982.17-30982.30" + } + }, + "AXI_28_ARVALID": { + "hide_name": 0, + "bits": [ 23990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30983.11-30983.25" + } + }, + "AXI_28_AWADDR": { + "hide_name": 0, + "bits": [ 23991, 23992, 23993, 23994, 23995, 23996, 23997, 23998, 23999, 24000, 24001, 24002, 24003, 24004, 24005, 24006, 24007, 24008, 24009, 24010, 24011, 24012, 24013, 24014, 24015, 24016, 24017, 24018, 24019, 24020, 24021, 24022, 24023, 24024, 24025, 24026, 24027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30984.18-30984.31" + } + }, + "AXI_28_AWBURST": { + "hide_name": 0, + "bits": [ 24028, 24029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30985.17-30985.31" + } + }, + "AXI_28_AWID": { + "hide_name": 0, + "bits": [ 24030, 24031, 24032, 24033, 24034, 24035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30986.17-30986.28" + } + }, + "AXI_28_AWLEN": { + "hide_name": 0, + "bits": [ 24036, 24037, 24038, 24039 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30987.17-30987.29" + } + }, + "AXI_28_AWREADY": { + "hide_name": 0, + "bits": [ 10235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30189.12-30189.26" + } + }, + "AXI_28_AWSIZE": { + "hide_name": 0, + "bits": [ 24040, 24041, 24042 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30988.17-30988.30" + } + }, + "AXI_28_AWVALID": { + "hide_name": 0, + "bits": [ 24043 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30989.11-30989.25" + } + }, + "AXI_28_BID": { + "hide_name": 0, + "bits": [ 10236, 10237, 10238, 10239, 10240, 10241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30190.18-30190.28" + } + }, + "AXI_28_BREADY": { + "hide_name": 0, + "bits": [ 24044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30990.11-30990.24" + } + }, + "AXI_28_BRESP": { + "hide_name": 0, + "bits": [ 10242, 10243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30191.18-30191.30" + } + }, + "AXI_28_BVALID": { + "hide_name": 0, + "bits": [ 10244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30192.12-30192.25" + } + }, + "AXI_28_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 10245, 10246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30193.18-30193.38" + } + }, + "AXI_28_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 10247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30194.12-30194.30" + } + }, + "AXI_28_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 10248, 10249, 10250, 10251, 10252, 10253, 10254, 10255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30195.18-30195.45" + } + }, + "AXI_28_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 10256, 10257, 10258, 10259, 10260, 10261, 10262, 10263, 10264, 10265, 10266, 10267, 10268, 10269, 10270, 10271, 10272, 10273, 10274, 10275, 10276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30196.19-30196.43" + } + }, + "AXI_28_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 10277, 10278, 10279, 10280, 10281, 10282, 10283, 10284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30197.18-30197.43" + } + }, + "AXI_28_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 10285, 10286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30198.18-30198.44" + } + }, + "AXI_28_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 10287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30199.12-30199.36" + } + }, + "AXI_28_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 24045 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30991.11-30991.34" + } + }, + "AXI_28_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 10288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30200.12-30200.33" + } + }, + "AXI_28_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 10289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30201.12-30201.35" + } + }, + "AXI_28_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 10290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30202.12-30202.32" + } + }, + "AXI_28_MC_STATUS": { + "hide_name": 0, + "bits": [ 10291, 10292, 10293, 10294, 10295, 10296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30203.18-30203.34" + } + }, + "AXI_28_PHY_STATUS": { + "hide_name": 0, + "bits": [ 10297, 10298, 10299, 10300, 10301, 10302, 10303, 10304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30204.18-30204.35" + } + }, + "AXI_28_RDATA": { + "hide_name": 0, + "bits": [ 10305, 10306, 10307, 10308, 10309, 10310, 10311, 10312, 10313, 10314, 10315, 10316, 10317, 10318, 10319, 10320, 10321, 10322, 10323, 10324, 10325, 10326, 10327, 10328, 10329, 10330, 10331, 10332, 10333, 10334, 10335, 10336, 10337, 10338, 10339, 10340, 10341, 10342, 10343, 10344, 10345, 10346, 10347, 10348, 10349, 10350, 10351, 10352, 10353, 10354, 10355, 10356, 10357, 10358, 10359, 10360, 10361, 10362, 10363, 10364, 10365, 10366, 10367, 10368, 10369, 10370, 10371, 10372, 10373, 10374, 10375, 10376, 10377, 10378, 10379, 10380, 10381, 10382, 10383, 10384, 10385, 10386, 10387, 10388, 10389, 10390, 10391, 10392, 10393, 10394, 10395, 10396, 10397, 10398, 10399, 10400, 10401, 10402, 10403, 10404, 10405, 10406, 10407, 10408, 10409, 10410, 10411, 10412, 10413, 10414, 10415, 10416, 10417, 10418, 10419, 10420, 10421, 10422, 10423, 10424, 10425, 10426, 10427, 10428, 10429, 10430, 10431, 10432, 10433, 10434, 10435, 10436, 10437, 10438, 10439, 10440, 10441, 10442, 10443, 10444, 10445, 10446, 10447, 10448, 10449, 10450, 10451, 10452, 10453, 10454, 10455, 10456, 10457, 10458, 10459, 10460, 10461, 10462, 10463, 10464, 10465, 10466, 10467, 10468, 10469, 10470, 10471, 10472, 10473, 10474, 10475, 10476, 10477, 10478, 10479, 10480, 10481, 10482, 10483, 10484, 10485, 10486, 10487, 10488, 10489, 10490, 10491, 10492, 10493, 10494, 10495, 10496, 10497, 10498, 10499, 10500, 10501, 10502, 10503, 10504, 10505, 10506, 10507, 10508, 10509, 10510, 10511, 10512, 10513, 10514, 10515, 10516, 10517, 10518, 10519, 10520, 10521, 10522, 10523, 10524, 10525, 10526, 10527, 10528, 10529, 10530, 10531, 10532, 10533, 10534, 10535, 10536, 10537, 10538, 10539, 10540, 10541, 10542, 10543, 10544, 10545, 10546, 10547, 10548, 10549, 10550, 10551, 10552, 10553, 10554, 10555, 10556, 10557, 10558, 10559, 10560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30205.20-30205.32" + } + }, + "AXI_28_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 10561, 10562, 10563, 10564, 10565, 10566, 10567, 10568, 10569, 10570, 10571, 10572, 10573, 10574, 10575, 10576, 10577, 10578, 10579, 10580, 10581, 10582, 10583, 10584, 10585, 10586, 10587, 10588, 10589, 10590, 10591, 10592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30206.19-30206.38" + } + }, + "AXI_28_RID": { + "hide_name": 0, + "bits": [ 10593, 10594, 10595, 10596, 10597, 10598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30207.18-30207.28" + } + }, + "AXI_28_RLAST": { + "hide_name": 0, + "bits": [ 10599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30208.12-30208.24" + } + }, + "AXI_28_RREADY": { + "hide_name": 0, + "bits": [ 24046 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30992.11-30992.24" + } + }, + "AXI_28_RRESP": { + "hide_name": 0, + "bits": [ 10600, 10601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30209.18-30209.30" + } + }, + "AXI_28_RVALID": { + "hide_name": 0, + "bits": [ 10602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30210.12-30210.25" + } + }, + "AXI_28_WDATA": { + "hide_name": 0, + "bits": [ 24047, 24048, 24049, 24050, 24051, 24052, 24053, 24054, 24055, 24056, 24057, 24058, 24059, 24060, 24061, 24062, 24063, 24064, 24065, 24066, 24067, 24068, 24069, 24070, 24071, 24072, 24073, 24074, 24075, 24076, 24077, 24078, 24079, 24080, 24081, 24082, 24083, 24084, 24085, 24086, 24087, 24088, 24089, 24090, 24091, 24092, 24093, 24094, 24095, 24096, 24097, 24098, 24099, 24100, 24101, 24102, 24103, 24104, 24105, 24106, 24107, 24108, 24109, 24110, 24111, 24112, 24113, 24114, 24115, 24116, 24117, 24118, 24119, 24120, 24121, 24122, 24123, 24124, 24125, 24126, 24127, 24128, 24129, 24130, 24131, 24132, 24133, 24134, 24135, 24136, 24137, 24138, 24139, 24140, 24141, 24142, 24143, 24144, 24145, 24146, 24147, 24148, 24149, 24150, 24151, 24152, 24153, 24154, 24155, 24156, 24157, 24158, 24159, 24160, 24161, 24162, 24163, 24164, 24165, 24166, 24167, 24168, 24169, 24170, 24171, 24172, 24173, 24174, 24175, 24176, 24177, 24178, 24179, 24180, 24181, 24182, 24183, 24184, 24185, 24186, 24187, 24188, 24189, 24190, 24191, 24192, 24193, 24194, 24195, 24196, 24197, 24198, 24199, 24200, 24201, 24202, 24203, 24204, 24205, 24206, 24207, 24208, 24209, 24210, 24211, 24212, 24213, 24214, 24215, 24216, 24217, 24218, 24219, 24220, 24221, 24222, 24223, 24224, 24225, 24226, 24227, 24228, 24229, 24230, 24231, 24232, 24233, 24234, 24235, 24236, 24237, 24238, 24239, 24240, 24241, 24242, 24243, 24244, 24245, 24246, 24247, 24248, 24249, 24250, 24251, 24252, 24253, 24254, 24255, 24256, 24257, 24258, 24259, 24260, 24261, 24262, 24263, 24264, 24265, 24266, 24267, 24268, 24269, 24270, 24271, 24272, 24273, 24274, 24275, 24276, 24277, 24278, 24279, 24280, 24281, 24282, 24283, 24284, 24285, 24286, 24287, 24288, 24289, 24290, 24291, 24292, 24293, 24294, 24295, 24296, 24297, 24298, 24299, 24300, 24301, 24302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30993.19-30993.31" + } + }, + "AXI_28_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 24303, 24304, 24305, 24306, 24307, 24308, 24309, 24310, 24311, 24312, 24313, 24314, 24315, 24316, 24317, 24318, 24319, 24320, 24321, 24322, 24323, 24324, 24325, 24326, 24327, 24328, 24329, 24330, 24331, 24332, 24333, 24334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30994.18-30994.37" + } + }, + "AXI_28_WLAST": { + "hide_name": 0, + "bits": [ 24335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30995.11-30995.23" + } + }, + "AXI_28_WREADY": { + "hide_name": 0, + "bits": [ 10603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30211.12-30211.25" + } + }, + "AXI_28_WSTRB": { + "hide_name": 0, + "bits": [ 24336, 24337, 24338, 24339, 24340, 24341, 24342, 24343, 24344, 24345, 24346, 24347, 24348, 24349, 24350, 24351, 24352, 24353, 24354, 24355, 24356, 24357, 24358, 24359, 24360, 24361, 24362, 24363, 24364, 24365, 24366, 24367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30996.18-30996.30" + } + }, + "AXI_28_WVALID": { + "hide_name": 0, + "bits": [ 24368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30997.11-30997.24" + } + }, + "AXI_29_ACLK": { + "hide_name": 0, + "bits": [ 24369 ], + "attributes": { + "invertible_pin": "IS_AXI_29_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30999.11-30999.22" + } + }, + "AXI_29_ARADDR": { + "hide_name": 0, + "bits": [ 24370, 24371, 24372, 24373, 24374, 24375, 24376, 24377, 24378, 24379, 24380, 24381, 24382, 24383, 24384, 24385, 24386, 24387, 24388, 24389, 24390, 24391, 24392, 24393, 24394, 24395, 24396, 24397, 24398, 24399, 24400, 24401, 24402, 24403, 24404, 24405, 24406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31000.18-31000.31" + } + }, + "AXI_29_ARBURST": { + "hide_name": 0, + "bits": [ 24407, 24408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31001.17-31001.31" + } + }, + "AXI_29_ARESET_N": { + "hide_name": 0, + "bits": [ 24409 ], + "attributes": { + "invertible_pin": "IS_AXI_29_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31003.11-31003.26" + } + }, + "AXI_29_ARID": { + "hide_name": 0, + "bits": [ 24410, 24411, 24412, 24413, 24414, 24415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31004.17-31004.28" + } + }, + "AXI_29_ARLEN": { + "hide_name": 0, + "bits": [ 24416, 24417, 24418, 24419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31005.17-31005.29" + } + }, + "AXI_29_ARREADY": { + "hide_name": 0, + "bits": [ 10604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30212.12-30212.26" + } + }, + "AXI_29_ARSIZE": { + "hide_name": 0, + "bits": [ 24420, 24421, 24422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31006.17-31006.30" + } + }, + "AXI_29_ARVALID": { + "hide_name": 0, + "bits": [ 24423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31007.11-31007.25" + } + }, + "AXI_29_AWADDR": { + "hide_name": 0, + "bits": [ 24424, 24425, 24426, 24427, 24428, 24429, 24430, 24431, 24432, 24433, 24434, 24435, 24436, 24437, 24438, 24439, 24440, 24441, 24442, 24443, 24444, 24445, 24446, 24447, 24448, 24449, 24450, 24451, 24452, 24453, 24454, 24455, 24456, 24457, 24458, 24459, 24460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31008.18-31008.31" + } + }, + "AXI_29_AWBURST": { + "hide_name": 0, + "bits": [ 24461, 24462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31009.17-31009.31" + } + }, + "AXI_29_AWID": { + "hide_name": 0, + "bits": [ 24463, 24464, 24465, 24466, 24467, 24468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31010.17-31010.28" + } + }, + "AXI_29_AWLEN": { + "hide_name": 0, + "bits": [ 24469, 24470, 24471, 24472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31011.17-31011.29" + } + }, + "AXI_29_AWREADY": { + "hide_name": 0, + "bits": [ 10605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30213.12-30213.26" + } + }, + "AXI_29_AWSIZE": { + "hide_name": 0, + "bits": [ 24473, 24474, 24475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31012.17-31012.30" + } + }, + "AXI_29_AWVALID": { + "hide_name": 0, + "bits": [ 24476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31013.11-31013.25" + } + }, + "AXI_29_BID": { + "hide_name": 0, + "bits": [ 10606, 10607, 10608, 10609, 10610, 10611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30214.18-30214.28" + } + }, + "AXI_29_BREADY": { + "hide_name": 0, + "bits": [ 24477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31014.11-31014.24" + } + }, + "AXI_29_BRESP": { + "hide_name": 0, + "bits": [ 10612, 10613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30215.18-30215.30" + } + }, + "AXI_29_BVALID": { + "hide_name": 0, + "bits": [ 10614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30216.12-30216.25" + } + }, + "AXI_29_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 10615, 10616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30217.18-30217.38" + } + }, + "AXI_29_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 10617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30218.12-30218.30" + } + }, + "AXI_29_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 10618, 10619, 10620, 10621, 10622, 10623, 10624, 10625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30219.18-30219.45" + } + }, + "AXI_29_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 10626, 10627, 10628, 10629, 10630, 10631, 10632, 10633, 10634, 10635, 10636, 10637, 10638, 10639, 10640, 10641, 10642, 10643, 10644, 10645, 10646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30220.19-30220.43" + } + }, + "AXI_29_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 10647, 10648, 10649, 10650, 10651, 10652, 10653, 10654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30221.18-30221.43" + } + }, + "AXI_29_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 10655, 10656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30222.18-30222.44" + } + }, + "AXI_29_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 10657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30223.12-30223.36" + } + }, + "AXI_29_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 24478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31015.11-31015.34" + } + }, + "AXI_29_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 10658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30224.12-30224.33" + } + }, + "AXI_29_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 10659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30225.12-30225.35" + } + }, + "AXI_29_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 10660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30226.12-30226.32" + } + }, + "AXI_29_RDATA": { + "hide_name": 0, + "bits": [ 10661, 10662, 10663, 10664, 10665, 10666, 10667, 10668, 10669, 10670, 10671, 10672, 10673, 10674, 10675, 10676, 10677, 10678, 10679, 10680, 10681, 10682, 10683, 10684, 10685, 10686, 10687, 10688, 10689, 10690, 10691, 10692, 10693, 10694, 10695, 10696, 10697, 10698, 10699, 10700, 10701, 10702, 10703, 10704, 10705, 10706, 10707, 10708, 10709, 10710, 10711, 10712, 10713, 10714, 10715, 10716, 10717, 10718, 10719, 10720, 10721, 10722, 10723, 10724, 10725, 10726, 10727, 10728, 10729, 10730, 10731, 10732, 10733, 10734, 10735, 10736, 10737, 10738, 10739, 10740, 10741, 10742, 10743, 10744, 10745, 10746, 10747, 10748, 10749, 10750, 10751, 10752, 10753, 10754, 10755, 10756, 10757, 10758, 10759, 10760, 10761, 10762, 10763, 10764, 10765, 10766, 10767, 10768, 10769, 10770, 10771, 10772, 10773, 10774, 10775, 10776, 10777, 10778, 10779, 10780, 10781, 10782, 10783, 10784, 10785, 10786, 10787, 10788, 10789, 10790, 10791, 10792, 10793, 10794, 10795, 10796, 10797, 10798, 10799, 10800, 10801, 10802, 10803, 10804, 10805, 10806, 10807, 10808, 10809, 10810, 10811, 10812, 10813, 10814, 10815, 10816, 10817, 10818, 10819, 10820, 10821, 10822, 10823, 10824, 10825, 10826, 10827, 10828, 10829, 10830, 10831, 10832, 10833, 10834, 10835, 10836, 10837, 10838, 10839, 10840, 10841, 10842, 10843, 10844, 10845, 10846, 10847, 10848, 10849, 10850, 10851, 10852, 10853, 10854, 10855, 10856, 10857, 10858, 10859, 10860, 10861, 10862, 10863, 10864, 10865, 10866, 10867, 10868, 10869, 10870, 10871, 10872, 10873, 10874, 10875, 10876, 10877, 10878, 10879, 10880, 10881, 10882, 10883, 10884, 10885, 10886, 10887, 10888, 10889, 10890, 10891, 10892, 10893, 10894, 10895, 10896, 10897, 10898, 10899, 10900, 10901, 10902, 10903, 10904, 10905, 10906, 10907, 10908, 10909, 10910, 10911, 10912, 10913, 10914, 10915, 10916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30227.20-30227.32" + } + }, + "AXI_29_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 10917, 10918, 10919, 10920, 10921, 10922, 10923, 10924, 10925, 10926, 10927, 10928, 10929, 10930, 10931, 10932, 10933, 10934, 10935, 10936, 10937, 10938, 10939, 10940, 10941, 10942, 10943, 10944, 10945, 10946, 10947, 10948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30228.19-30228.38" + } + }, + "AXI_29_RID": { + "hide_name": 0, + "bits": [ 10949, 10950, 10951, 10952, 10953, 10954 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30229.18-30229.28" + } + }, + "AXI_29_RLAST": { + "hide_name": 0, + "bits": [ 10955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30230.12-30230.24" + } + }, + "AXI_29_RREADY": { + "hide_name": 0, + "bits": [ 24479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31016.11-31016.24" + } + }, + "AXI_29_RRESP": { + "hide_name": 0, + "bits": [ 10956, 10957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30231.18-30231.30" + } + }, + "AXI_29_RVALID": { + "hide_name": 0, + "bits": [ 10958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30232.12-30232.25" + } + }, + "AXI_29_WDATA": { + "hide_name": 0, + "bits": [ 24480, 24481, 24482, 24483, 24484, 24485, 24486, 24487, 24488, 24489, 24490, 24491, 24492, 24493, 24494, 24495, 24496, 24497, 24498, 24499, 24500, 24501, 24502, 24503, 24504, 24505, 24506, 24507, 24508, 24509, 24510, 24511, 24512, 24513, 24514, 24515, 24516, 24517, 24518, 24519, 24520, 24521, 24522, 24523, 24524, 24525, 24526, 24527, 24528, 24529, 24530, 24531, 24532, 24533, 24534, 24535, 24536, 24537, 24538, 24539, 24540, 24541, 24542, 24543, 24544, 24545, 24546, 24547, 24548, 24549, 24550, 24551, 24552, 24553, 24554, 24555, 24556, 24557, 24558, 24559, 24560, 24561, 24562, 24563, 24564, 24565, 24566, 24567, 24568, 24569, 24570, 24571, 24572, 24573, 24574, 24575, 24576, 24577, 24578, 24579, 24580, 24581, 24582, 24583, 24584, 24585, 24586, 24587, 24588, 24589, 24590, 24591, 24592, 24593, 24594, 24595, 24596, 24597, 24598, 24599, 24600, 24601, 24602, 24603, 24604, 24605, 24606, 24607, 24608, 24609, 24610, 24611, 24612, 24613, 24614, 24615, 24616, 24617, 24618, 24619, 24620, 24621, 24622, 24623, 24624, 24625, 24626, 24627, 24628, 24629, 24630, 24631, 24632, 24633, 24634, 24635, 24636, 24637, 24638, 24639, 24640, 24641, 24642, 24643, 24644, 24645, 24646, 24647, 24648, 24649, 24650, 24651, 24652, 24653, 24654, 24655, 24656, 24657, 24658, 24659, 24660, 24661, 24662, 24663, 24664, 24665, 24666, 24667, 24668, 24669, 24670, 24671, 24672, 24673, 24674, 24675, 24676, 24677, 24678, 24679, 24680, 24681, 24682, 24683, 24684, 24685, 24686, 24687, 24688, 24689, 24690, 24691, 24692, 24693, 24694, 24695, 24696, 24697, 24698, 24699, 24700, 24701, 24702, 24703, 24704, 24705, 24706, 24707, 24708, 24709, 24710, 24711, 24712, 24713, 24714, 24715, 24716, 24717, 24718, 24719, 24720, 24721, 24722, 24723, 24724, 24725, 24726, 24727, 24728, 24729, 24730, 24731, 24732, 24733, 24734, 24735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31017.19-31017.31" + } + }, + "AXI_29_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 24736, 24737, 24738, 24739, 24740, 24741, 24742, 24743, 24744, 24745, 24746, 24747, 24748, 24749, 24750, 24751, 24752, 24753, 24754, 24755, 24756, 24757, 24758, 24759, 24760, 24761, 24762, 24763, 24764, 24765, 24766, 24767 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31018.18-31018.37" + } + }, + "AXI_29_WLAST": { + "hide_name": 0, + "bits": [ 24768 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31019.11-31019.23" + } + }, + "AXI_29_WREADY": { + "hide_name": 0, + "bits": [ 10959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30233.12-30233.25" + } + }, + "AXI_29_WSTRB": { + "hide_name": 0, + "bits": [ 24769, 24770, 24771, 24772, 24773, 24774, 24775, 24776, 24777, 24778, 24779, 24780, 24781, 24782, 24783, 24784, 24785, 24786, 24787, 24788, 24789, 24790, 24791, 24792, 24793, 24794, 24795, 24796, 24797, 24798, 24799, 24800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31020.18-31020.30" + } + }, + "AXI_29_WVALID": { + "hide_name": 0, + "bits": [ 24801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31021.11-31021.24" + } + }, + "AXI_30_ACLK": { + "hide_name": 0, + "bits": [ 24802 ], + "attributes": { + "invertible_pin": "IS_AXI_30_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31023.11-31023.22" + } + }, + "AXI_30_ARADDR": { + "hide_name": 0, + "bits": [ 24803, 24804, 24805, 24806, 24807, 24808, 24809, 24810, 24811, 24812, 24813, 24814, 24815, 24816, 24817, 24818, 24819, 24820, 24821, 24822, 24823, 24824, 24825, 24826, 24827, 24828, 24829, 24830, 24831, 24832, 24833, 24834, 24835, 24836, 24837, 24838, 24839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31024.18-31024.31" + } + }, + "AXI_30_ARBURST": { + "hide_name": 0, + "bits": [ 24840, 24841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31025.17-31025.31" + } + }, + "AXI_30_ARESET_N": { + "hide_name": 0, + "bits": [ 24842 ], + "attributes": { + "invertible_pin": "IS_AXI_30_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31027.11-31027.26" + } + }, + "AXI_30_ARID": { + "hide_name": 0, + "bits": [ 24843, 24844, 24845, 24846, 24847, 24848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31028.17-31028.28" + } + }, + "AXI_30_ARLEN": { + "hide_name": 0, + "bits": [ 24849, 24850, 24851, 24852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31029.17-31029.29" + } + }, + "AXI_30_ARREADY": { + "hide_name": 0, + "bits": [ 10960 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30234.12-30234.26" + } + }, + "AXI_30_ARSIZE": { + "hide_name": 0, + "bits": [ 24853, 24854, 24855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31030.17-31030.30" + } + }, + "AXI_30_ARVALID": { + "hide_name": 0, + "bits": [ 24856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31031.11-31031.25" + } + }, + "AXI_30_AWADDR": { + "hide_name": 0, + "bits": [ 24857, 24858, 24859, 24860, 24861, 24862, 24863, 24864, 24865, 24866, 24867, 24868, 24869, 24870, 24871, 24872, 24873, 24874, 24875, 24876, 24877, 24878, 24879, 24880, 24881, 24882, 24883, 24884, 24885, 24886, 24887, 24888, 24889, 24890, 24891, 24892, 24893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31032.18-31032.31" + } + }, + "AXI_30_AWBURST": { + "hide_name": 0, + "bits": [ 24894, 24895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31033.17-31033.31" + } + }, + "AXI_30_AWID": { + "hide_name": 0, + "bits": [ 24896, 24897, 24898, 24899, 24900, 24901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31034.17-31034.28" + } + }, + "AXI_30_AWLEN": { + "hide_name": 0, + "bits": [ 24902, 24903, 24904, 24905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31035.17-31035.29" + } + }, + "AXI_30_AWREADY": { + "hide_name": 0, + "bits": [ 10961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30235.12-30235.26" + } + }, + "AXI_30_AWSIZE": { + "hide_name": 0, + "bits": [ 24906, 24907, 24908 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31036.17-31036.30" + } + }, + "AXI_30_AWVALID": { + "hide_name": 0, + "bits": [ 24909 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31037.11-31037.25" + } + }, + "AXI_30_BID": { + "hide_name": 0, + "bits": [ 10962, 10963, 10964, 10965, 10966, 10967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30236.18-30236.28" + } + }, + "AXI_30_BREADY": { + "hide_name": 0, + "bits": [ 24910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31038.11-31038.24" + } + }, + "AXI_30_BRESP": { + "hide_name": 0, + "bits": [ 10968, 10969 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30237.18-30237.30" + } + }, + "AXI_30_BVALID": { + "hide_name": 0, + "bits": [ 10970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30238.12-30238.25" + } + }, + "AXI_30_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 10971, 10972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30239.18-30239.38" + } + }, + "AXI_30_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 10973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30240.12-30240.30" + } + }, + "AXI_30_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 10974, 10975, 10976, 10977, 10978, 10979, 10980, 10981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30241.18-30241.45" + } + }, + "AXI_30_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 10982, 10983, 10984, 10985, 10986, 10987, 10988, 10989, 10990, 10991, 10992, 10993, 10994, 10995, 10996, 10997, 10998, 10999, 11000, 11001, 11002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30242.19-30242.43" + } + }, + "AXI_30_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 11003, 11004, 11005, 11006, 11007, 11008, 11009, 11010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30243.18-30243.43" + } + }, + "AXI_30_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 11011, 11012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30244.18-30244.44" + } + }, + "AXI_30_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 11013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30245.12-30245.36" + } + }, + "AXI_30_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 24911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31039.11-31039.34" + } + }, + "AXI_30_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 11014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30246.12-30246.33" + } + }, + "AXI_30_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 11015 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30247.12-30247.35" + } + }, + "AXI_30_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 11016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30248.12-30248.32" + } + }, + "AXI_30_MC_STATUS": { + "hide_name": 0, + "bits": [ 11017, 11018, 11019, 11020, 11021, 11022 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30249.18-30249.34" + } + }, + "AXI_30_PHY_STATUS": { + "hide_name": 0, + "bits": [ 11023, 11024, 11025, 11026, 11027, 11028, 11029, 11030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30250.18-30250.35" + } + }, + "AXI_30_RDATA": { + "hide_name": 0, + "bits": [ 11031, 11032, 11033, 11034, 11035, 11036, 11037, 11038, 11039, 11040, 11041, 11042, 11043, 11044, 11045, 11046, 11047, 11048, 11049, 11050, 11051, 11052, 11053, 11054, 11055, 11056, 11057, 11058, 11059, 11060, 11061, 11062, 11063, 11064, 11065, 11066, 11067, 11068, 11069, 11070, 11071, 11072, 11073, 11074, 11075, 11076, 11077, 11078, 11079, 11080, 11081, 11082, 11083, 11084, 11085, 11086, 11087, 11088, 11089, 11090, 11091, 11092, 11093, 11094, 11095, 11096, 11097, 11098, 11099, 11100, 11101, 11102, 11103, 11104, 11105, 11106, 11107, 11108, 11109, 11110, 11111, 11112, 11113, 11114, 11115, 11116, 11117, 11118, 11119, 11120, 11121, 11122, 11123, 11124, 11125, 11126, 11127, 11128, 11129, 11130, 11131, 11132, 11133, 11134, 11135, 11136, 11137, 11138, 11139, 11140, 11141, 11142, 11143, 11144, 11145, 11146, 11147, 11148, 11149, 11150, 11151, 11152, 11153, 11154, 11155, 11156, 11157, 11158, 11159, 11160, 11161, 11162, 11163, 11164, 11165, 11166, 11167, 11168, 11169, 11170, 11171, 11172, 11173, 11174, 11175, 11176, 11177, 11178, 11179, 11180, 11181, 11182, 11183, 11184, 11185, 11186, 11187, 11188, 11189, 11190, 11191, 11192, 11193, 11194, 11195, 11196, 11197, 11198, 11199, 11200, 11201, 11202, 11203, 11204, 11205, 11206, 11207, 11208, 11209, 11210, 11211, 11212, 11213, 11214, 11215, 11216, 11217, 11218, 11219, 11220, 11221, 11222, 11223, 11224, 11225, 11226, 11227, 11228, 11229, 11230, 11231, 11232, 11233, 11234, 11235, 11236, 11237, 11238, 11239, 11240, 11241, 11242, 11243, 11244, 11245, 11246, 11247, 11248, 11249, 11250, 11251, 11252, 11253, 11254, 11255, 11256, 11257, 11258, 11259, 11260, 11261, 11262, 11263, 11264, 11265, 11266, 11267, 11268, 11269, 11270, 11271, 11272, 11273, 11274, 11275, 11276, 11277, 11278, 11279, 11280, 11281, 11282, 11283, 11284, 11285, 11286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30251.20-30251.32" + } + }, + "AXI_30_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 11287, 11288, 11289, 11290, 11291, 11292, 11293, 11294, 11295, 11296, 11297, 11298, 11299, 11300, 11301, 11302, 11303, 11304, 11305, 11306, 11307, 11308, 11309, 11310, 11311, 11312, 11313, 11314, 11315, 11316, 11317, 11318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30252.19-30252.38" + } + }, + "AXI_30_RID": { + "hide_name": 0, + "bits": [ 11319, 11320, 11321, 11322, 11323, 11324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30253.18-30253.28" + } + }, + "AXI_30_RLAST": { + "hide_name": 0, + "bits": [ 11325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30254.12-30254.24" + } + }, + "AXI_30_RREADY": { + "hide_name": 0, + "bits": [ 24912 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31040.11-31040.24" + } + }, + "AXI_30_RRESP": { + "hide_name": 0, + "bits": [ 11326, 11327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30255.18-30255.30" + } + }, + "AXI_30_RVALID": { + "hide_name": 0, + "bits": [ 11328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30256.12-30256.25" + } + }, + "AXI_30_WDATA": { + "hide_name": 0, + "bits": [ 24913, 24914, 24915, 24916, 24917, 24918, 24919, 24920, 24921, 24922, 24923, 24924, 24925, 24926, 24927, 24928, 24929, 24930, 24931, 24932, 24933, 24934, 24935, 24936, 24937, 24938, 24939, 24940, 24941, 24942, 24943, 24944, 24945, 24946, 24947, 24948, 24949, 24950, 24951, 24952, 24953, 24954, 24955, 24956, 24957, 24958, 24959, 24960, 24961, 24962, 24963, 24964, 24965, 24966, 24967, 24968, 24969, 24970, 24971, 24972, 24973, 24974, 24975, 24976, 24977, 24978, 24979, 24980, 24981, 24982, 24983, 24984, 24985, 24986, 24987, 24988, 24989, 24990, 24991, 24992, 24993, 24994, 24995, 24996, 24997, 24998, 24999, 25000, 25001, 25002, 25003, 25004, 25005, 25006, 25007, 25008, 25009, 25010, 25011, 25012, 25013, 25014, 25015, 25016, 25017, 25018, 25019, 25020, 25021, 25022, 25023, 25024, 25025, 25026, 25027, 25028, 25029, 25030, 25031, 25032, 25033, 25034, 25035, 25036, 25037, 25038, 25039, 25040, 25041, 25042, 25043, 25044, 25045, 25046, 25047, 25048, 25049, 25050, 25051, 25052, 25053, 25054, 25055, 25056, 25057, 25058, 25059, 25060, 25061, 25062, 25063, 25064, 25065, 25066, 25067, 25068, 25069, 25070, 25071, 25072, 25073, 25074, 25075, 25076, 25077, 25078, 25079, 25080, 25081, 25082, 25083, 25084, 25085, 25086, 25087, 25088, 25089, 25090, 25091, 25092, 25093, 25094, 25095, 25096, 25097, 25098, 25099, 25100, 25101, 25102, 25103, 25104, 25105, 25106, 25107, 25108, 25109, 25110, 25111, 25112, 25113, 25114, 25115, 25116, 25117, 25118, 25119, 25120, 25121, 25122, 25123, 25124, 25125, 25126, 25127, 25128, 25129, 25130, 25131, 25132, 25133, 25134, 25135, 25136, 25137, 25138, 25139, 25140, 25141, 25142, 25143, 25144, 25145, 25146, 25147, 25148, 25149, 25150, 25151, 25152, 25153, 25154, 25155, 25156, 25157, 25158, 25159, 25160, 25161, 25162, 25163, 25164, 25165, 25166, 25167, 25168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31041.19-31041.31" + } + }, + "AXI_30_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 25169, 25170, 25171, 25172, 25173, 25174, 25175, 25176, 25177, 25178, 25179, 25180, 25181, 25182, 25183, 25184, 25185, 25186, 25187, 25188, 25189, 25190, 25191, 25192, 25193, 25194, 25195, 25196, 25197, 25198, 25199, 25200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31042.18-31042.37" + } + }, + "AXI_30_WLAST": { + "hide_name": 0, + "bits": [ 25201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31043.11-31043.23" + } + }, + "AXI_30_WREADY": { + "hide_name": 0, + "bits": [ 11329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30257.12-30257.25" + } + }, + "AXI_30_WSTRB": { + "hide_name": 0, + "bits": [ 25202, 25203, 25204, 25205, 25206, 25207, 25208, 25209, 25210, 25211, 25212, 25213, 25214, 25215, 25216, 25217, 25218, 25219, 25220, 25221, 25222, 25223, 25224, 25225, 25226, 25227, 25228, 25229, 25230, 25231, 25232, 25233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31044.18-31044.30" + } + }, + "AXI_30_WVALID": { + "hide_name": 0, + "bits": [ 25234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31045.11-31045.24" + } + }, + "AXI_31_ACLK": { + "hide_name": 0, + "bits": [ 25235 ], + "attributes": { + "invertible_pin": "IS_AXI_31_ACLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31047.11-31047.22" + } + }, + "AXI_31_ARADDR": { + "hide_name": 0, + "bits": [ 25236, 25237, 25238, 25239, 25240, 25241, 25242, 25243, 25244, 25245, 25246, 25247, 25248, 25249, 25250, 25251, 25252, 25253, 25254, 25255, 25256, 25257, 25258, 25259, 25260, 25261, 25262, 25263, 25264, 25265, 25266, 25267, 25268, 25269, 25270, 25271, 25272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31048.18-31048.31" + } + }, + "AXI_31_ARBURST": { + "hide_name": 0, + "bits": [ 25273, 25274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31049.17-31049.31" + } + }, + "AXI_31_ARESET_N": { + "hide_name": 0, + "bits": [ 25275 ], + "attributes": { + "invertible_pin": "IS_AXI_31_ARESET_N_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31051.11-31051.26" + } + }, + "AXI_31_ARID": { + "hide_name": 0, + "bits": [ 25276, 25277, 25278, 25279, 25280, 25281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31052.17-31052.28" + } + }, + "AXI_31_ARLEN": { + "hide_name": 0, + "bits": [ 25282, 25283, 25284, 25285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31053.17-31053.29" + } + }, + "AXI_31_ARREADY": { + "hide_name": 0, + "bits": [ 11330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30258.12-30258.26" + } + }, + "AXI_31_ARSIZE": { + "hide_name": 0, + "bits": [ 25286, 25287, 25288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31054.17-31054.30" + } + }, + "AXI_31_ARVALID": { + "hide_name": 0, + "bits": [ 25289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31055.11-31055.25" + } + }, + "AXI_31_AWADDR": { + "hide_name": 0, + "bits": [ 25290, 25291, 25292, 25293, 25294, 25295, 25296, 25297, 25298, 25299, 25300, 25301, 25302, 25303, 25304, 25305, 25306, 25307, 25308, 25309, 25310, 25311, 25312, 25313, 25314, 25315, 25316, 25317, 25318, 25319, 25320, 25321, 25322, 25323, 25324, 25325, 25326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31056.18-31056.31" + } + }, + "AXI_31_AWBURST": { + "hide_name": 0, + "bits": [ 25327, 25328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31057.17-31057.31" + } + }, + "AXI_31_AWID": { + "hide_name": 0, + "bits": [ 25329, 25330, 25331, 25332, 25333, 25334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31058.17-31058.28" + } + }, + "AXI_31_AWLEN": { + "hide_name": 0, + "bits": [ 25335, 25336, 25337, 25338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31059.17-31059.29" + } + }, + "AXI_31_AWREADY": { + "hide_name": 0, + "bits": [ 11331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30259.12-30259.26" + } + }, + "AXI_31_AWSIZE": { + "hide_name": 0, + "bits": [ 25339, 25340, 25341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31060.17-31060.30" + } + }, + "AXI_31_AWVALID": { + "hide_name": 0, + "bits": [ 25342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31061.11-31061.25" + } + }, + "AXI_31_BID": { + "hide_name": 0, + "bits": [ 11332, 11333, 11334, 11335, 11336, 11337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30260.18-30260.28" + } + }, + "AXI_31_BREADY": { + "hide_name": 0, + "bits": [ 25343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31062.11-31062.24" + } + }, + "AXI_31_BRESP": { + "hide_name": 0, + "bits": [ 11338, 11339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30261.18-30261.30" + } + }, + "AXI_31_BVALID": { + "hide_name": 0, + "bits": [ 11340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30262.12-30262.25" + } + }, + "AXI_31_DFI_AW_AERR_N": { + "hide_name": 0, + "bits": [ 11341, 11342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30263.18-30263.38" + } + }, + "AXI_31_DFI_CLK_BUF": { + "hide_name": 0, + "bits": [ 11343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30264.12-30264.30" + } + }, + "AXI_31_DFI_DBI_BYTE_DISABLE": { + "hide_name": 0, + "bits": [ 11344, 11345, 11346, 11347, 11348, 11349, 11350, 11351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30265.18-30265.45" + } + }, + "AXI_31_DFI_DW_RDDATA_DBI": { + "hide_name": 0, + "bits": [ 11352, 11353, 11354, 11355, 11356, 11357, 11358, 11359, 11360, 11361, 11362, 11363, 11364, 11365, 11366, 11367, 11368, 11369, 11370, 11371, 11372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30266.19-30266.43" + } + }, + "AXI_31_DFI_DW_RDDATA_DERR": { + "hide_name": 0, + "bits": [ 11373, 11374, 11375, 11376, 11377, 11378, 11379, 11380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30267.18-30267.43" + } + }, + "AXI_31_DFI_DW_RDDATA_VALID": { + "hide_name": 0, + "bits": [ 11381, 11382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30268.18-30268.44" + } + }, + "AXI_31_DFI_INIT_COMPLETE": { + "hide_name": 0, + "bits": [ 11383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30269.12-30269.36" + } + }, + "AXI_31_DFI_LP_PWR_X_REQ": { + "hide_name": 0, + "bits": [ 25344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31063.11-31063.34" + } + }, + "AXI_31_DFI_PHYUPD_REQ": { + "hide_name": 0, + "bits": [ 11384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30270.12-30270.33" + } + }, + "AXI_31_DFI_PHY_LP_STATE": { + "hide_name": 0, + "bits": [ 11385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30271.12-30271.35" + } + }, + "AXI_31_DFI_RST_N_BUF": { + "hide_name": 0, + "bits": [ 11386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30272.12-30272.32" + } + }, + "AXI_31_RDATA": { + "hide_name": 0, + "bits": [ 11387, 11388, 11389, 11390, 11391, 11392, 11393, 11394, 11395, 11396, 11397, 11398, 11399, 11400, 11401, 11402, 11403, 11404, 11405, 11406, 11407, 11408, 11409, 11410, 11411, 11412, 11413, 11414, 11415, 11416, 11417, 11418, 11419, 11420, 11421, 11422, 11423, 11424, 11425, 11426, 11427, 11428, 11429, 11430, 11431, 11432, 11433, 11434, 11435, 11436, 11437, 11438, 11439, 11440, 11441, 11442, 11443, 11444, 11445, 11446, 11447, 11448, 11449, 11450, 11451, 11452, 11453, 11454, 11455, 11456, 11457, 11458, 11459, 11460, 11461, 11462, 11463, 11464, 11465, 11466, 11467, 11468, 11469, 11470, 11471, 11472, 11473, 11474, 11475, 11476, 11477, 11478, 11479, 11480, 11481, 11482, 11483, 11484, 11485, 11486, 11487, 11488, 11489, 11490, 11491, 11492, 11493, 11494, 11495, 11496, 11497, 11498, 11499, 11500, 11501, 11502, 11503, 11504, 11505, 11506, 11507, 11508, 11509, 11510, 11511, 11512, 11513, 11514, 11515, 11516, 11517, 11518, 11519, 11520, 11521, 11522, 11523, 11524, 11525, 11526, 11527, 11528, 11529, 11530, 11531, 11532, 11533, 11534, 11535, 11536, 11537, 11538, 11539, 11540, 11541, 11542, 11543, 11544, 11545, 11546, 11547, 11548, 11549, 11550, 11551, 11552, 11553, 11554, 11555, 11556, 11557, 11558, 11559, 11560, 11561, 11562, 11563, 11564, 11565, 11566, 11567, 11568, 11569, 11570, 11571, 11572, 11573, 11574, 11575, 11576, 11577, 11578, 11579, 11580, 11581, 11582, 11583, 11584, 11585, 11586, 11587, 11588, 11589, 11590, 11591, 11592, 11593, 11594, 11595, 11596, 11597, 11598, 11599, 11600, 11601, 11602, 11603, 11604, 11605, 11606, 11607, 11608, 11609, 11610, 11611, 11612, 11613, 11614, 11615, 11616, 11617, 11618, 11619, 11620, 11621, 11622, 11623, 11624, 11625, 11626, 11627, 11628, 11629, 11630, 11631, 11632, 11633, 11634, 11635, 11636, 11637, 11638, 11639, 11640, 11641, 11642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30273.20-30273.32" + } + }, + "AXI_31_RDATA_PARITY": { + "hide_name": 0, + "bits": [ 11643, 11644, 11645, 11646, 11647, 11648, 11649, 11650, 11651, 11652, 11653, 11654, 11655, 11656, 11657, 11658, 11659, 11660, 11661, 11662, 11663, 11664, 11665, 11666, 11667, 11668, 11669, 11670, 11671, 11672, 11673, 11674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30274.19-30274.38" + } + }, + "AXI_31_RID": { + "hide_name": 0, + "bits": [ 11675, 11676, 11677, 11678, 11679, 11680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30275.18-30275.28" + } + }, + "AXI_31_RLAST": { + "hide_name": 0, + "bits": [ 11681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30276.12-30276.24" + } + }, + "AXI_31_RREADY": { + "hide_name": 0, + "bits": [ 25345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31064.11-31064.24" + } + }, + "AXI_31_RRESP": { + "hide_name": 0, + "bits": [ 11682, 11683 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30277.18-30277.30" + } + }, + "AXI_31_RVALID": { + "hide_name": 0, + "bits": [ 11684 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30278.12-30278.25" + } + }, + "AXI_31_WDATA": { + "hide_name": 0, + "bits": [ 25346, 25347, 25348, 25349, 25350, 25351, 25352, 25353, 25354, 25355, 25356, 25357, 25358, 25359, 25360, 25361, 25362, 25363, 25364, 25365, 25366, 25367, 25368, 25369, 25370, 25371, 25372, 25373, 25374, 25375, 25376, 25377, 25378, 25379, 25380, 25381, 25382, 25383, 25384, 25385, 25386, 25387, 25388, 25389, 25390, 25391, 25392, 25393, 25394, 25395, 25396, 25397, 25398, 25399, 25400, 25401, 25402, 25403, 25404, 25405, 25406, 25407, 25408, 25409, 25410, 25411, 25412, 25413, 25414, 25415, 25416, 25417, 25418, 25419, 25420, 25421, 25422, 25423, 25424, 25425, 25426, 25427, 25428, 25429, 25430, 25431, 25432, 25433, 25434, 25435, 25436, 25437, 25438, 25439, 25440, 25441, 25442, 25443, 25444, 25445, 25446, 25447, 25448, 25449, 25450, 25451, 25452, 25453, 25454, 25455, 25456, 25457, 25458, 25459, 25460, 25461, 25462, 25463, 25464, 25465, 25466, 25467, 25468, 25469, 25470, 25471, 25472, 25473, 25474, 25475, 25476, 25477, 25478, 25479, 25480, 25481, 25482, 25483, 25484, 25485, 25486, 25487, 25488, 25489, 25490, 25491, 25492, 25493, 25494, 25495, 25496, 25497, 25498, 25499, 25500, 25501, 25502, 25503, 25504, 25505, 25506, 25507, 25508, 25509, 25510, 25511, 25512, 25513, 25514, 25515, 25516, 25517, 25518, 25519, 25520, 25521, 25522, 25523, 25524, 25525, 25526, 25527, 25528, 25529, 25530, 25531, 25532, 25533, 25534, 25535, 25536, 25537, 25538, 25539, 25540, 25541, 25542, 25543, 25544, 25545, 25546, 25547, 25548, 25549, 25550, 25551, 25552, 25553, 25554, 25555, 25556, 25557, 25558, 25559, 25560, 25561, 25562, 25563, 25564, 25565, 25566, 25567, 25568, 25569, 25570, 25571, 25572, 25573, 25574, 25575, 25576, 25577, 25578, 25579, 25580, 25581, 25582, 25583, 25584, 25585, 25586, 25587, 25588, 25589, 25590, 25591, 25592, 25593, 25594, 25595, 25596, 25597, 25598, 25599, 25600, 25601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31065.19-31065.31" + } + }, + "AXI_31_WDATA_PARITY": { + "hide_name": 0, + "bits": [ 25602, 25603, 25604, 25605, 25606, 25607, 25608, 25609, 25610, 25611, 25612, 25613, 25614, 25615, 25616, 25617, 25618, 25619, 25620, 25621, 25622, 25623, 25624, 25625, 25626, 25627, 25628, 25629, 25630, 25631, 25632, 25633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31066.18-31066.37" + } + }, + "AXI_31_WLAST": { + "hide_name": 0, + "bits": [ 25634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31067.11-31067.23" + } + }, + "AXI_31_WREADY": { + "hide_name": 0, + "bits": [ 11685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30279.12-30279.25" + } + }, + "AXI_31_WSTRB": { + "hide_name": 0, + "bits": [ 25635, 25636, 25637, 25638, 25639, 25640, 25641, 25642, 25643, 25644, 25645, 25646, 25647, 25648, 25649, 25650, 25651, 25652, 25653, 25654, 25655, 25656, 25657, 25658, 25659, 25660, 25661, 25662, 25663, 25664, 25665, 25666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31068.18-31068.30" + } + }, + "AXI_31_WVALID": { + "hide_name": 0, + "bits": [ 25667 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31069.11-31069.24" + } + }, + "BSCAN_DRCK_0": { + "hide_name": 0, + "bits": [ 25668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31070.11-31070.23" + } + }, + "BSCAN_DRCK_1": { + "hide_name": 0, + "bits": [ 25669 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31071.11-31071.23" + } + }, + "BSCAN_TCK_0": { + "hide_name": 0, + "bits": [ 25670 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31072.11-31072.22" + } + }, + "BSCAN_TCK_1": { + "hide_name": 0, + "bits": [ 25671 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31073.11-31073.22" + } + }, + "DRAM_0_STAT_CATTRIP": { + "hide_name": 0, + "bits": [ 11686 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30280.12-30280.31" + } + }, + "DRAM_0_STAT_TEMP": { + "hide_name": 0, + "bits": [ 11687, 11688, 11689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30281.18-30281.34" + } + }, + "DRAM_1_STAT_CATTRIP": { + "hide_name": 0, + "bits": [ 11690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30282.12-30282.31" + } + }, + "DRAM_1_STAT_TEMP": { + "hide_name": 0, + "bits": [ 11691, 11692, 11693 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30283.18-30283.34" + } + }, + "HBM_REF_CLK_0": { + "hide_name": 0, + "bits": [ 25672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31074.11-31074.24" + } + }, + "HBM_REF_CLK_1": { + "hide_name": 0, + "bits": [ 25673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31075.11-31075.24" + } + }, + "MBIST_EN_00": { + "hide_name": 0, + "bits": [ 25674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31076.11-31076.22" + } + }, + "MBIST_EN_01": { + "hide_name": 0, + "bits": [ 25675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31077.11-31077.22" + } + }, + "MBIST_EN_02": { + "hide_name": 0, + "bits": [ 25676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31078.11-31078.22" + } + }, + "MBIST_EN_03": { + "hide_name": 0, + "bits": [ 25677 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31079.11-31079.22" + } + }, + "MBIST_EN_04": { + "hide_name": 0, + "bits": [ 25678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31080.11-31080.22" + } + }, + "MBIST_EN_05": { + "hide_name": 0, + "bits": [ 25679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31081.11-31081.22" + } + }, + "MBIST_EN_06": { + "hide_name": 0, + "bits": [ 25680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31082.11-31082.22" + } + }, + "MBIST_EN_07": { + "hide_name": 0, + "bits": [ 25681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31083.11-31083.22" + } + }, + "MBIST_EN_08": { + "hide_name": 0, + "bits": [ 25682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31084.11-31084.22" + } + }, + "MBIST_EN_09": { + "hide_name": 0, + "bits": [ 25683 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31085.11-31085.22" + } + }, + "MBIST_EN_10": { + "hide_name": 0, + "bits": [ 25684 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31086.11-31086.22" + } + }, + "MBIST_EN_11": { + "hide_name": 0, + "bits": [ 25685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31087.11-31087.22" + } + }, + "MBIST_EN_12": { + "hide_name": 0, + "bits": [ 25686 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31088.11-31088.22" + } + }, + "MBIST_EN_13": { + "hide_name": 0, + "bits": [ 25687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31089.11-31089.22" + } + }, + "MBIST_EN_14": { + "hide_name": 0, + "bits": [ 25688 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31090.11-31090.22" + } + }, + "MBIST_EN_15": { + "hide_name": 0, + "bits": [ 25689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31091.11-31091.22" + } + } + } + }, + "HPIO_VREF": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7961.1-7965.10" + }, + "parameter_default_values": { + "VREF_CNTR": "OFF" + }, + "ports": { + "VREF": { + "direction": "output", + "bits": [ 2 ] + }, + "FABRIC_VREF_TUNE": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9 ] + } + }, + "cells": { + }, + "netnames": { + "FABRIC_VREF_TUNE": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7964.17-7964.33" + } + }, + "VREF": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7963.12-7963.16" + } + } + } + }, + "HSADC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19665.1-19721.10" + }, + "parameter_default_values": { + "SIM_DEVICE": "ULTRASCALE_PLUS", + "XPA_CFG0": "00000000000000000000000000000000", + "XPA_CFG1": "00000000000000000000000000000000", + "XPA_NUM_ADCS": "0 ", + "XPA_NUM_DDCS": "00000000000000000000000000000000", + "XPA_PLL_USED": "No", + "XPA_SAMPLE_RATE_MSPS": "00000000000000000000000000000000" + }, + "ports": { + "CLK_ADC": { + "direction": "output", + "bits": [ 2 ] + }, + "DATA_ADC0": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130 ] + }, + "DATA_ADC1": { + "direction": "output", + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258 ] + }, + "DATA_ADC2": { + "direction": "output", + "bits": [ 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386 ] + }, + "DATA_ADC3": { + "direction": "output", + "bits": [ 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 531 ] + }, + "PLL_DMON_OUT": { + "direction": "output", + "bits": [ 532 ] + }, + "PLL_REFCLK_OUT": { + "direction": "output", + "bits": [ 533 ] + }, + "STATUS_ADC0": { + "direction": "output", + "bits": [ 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549 ] + }, + "STATUS_ADC1": { + "direction": "output", + "bits": [ 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565 ] + }, + "STATUS_ADC2": { + "direction": "output", + "bits": [ 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581 ] + }, + "STATUS_ADC3": { + "direction": "output", + "bits": [ 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597 ] + }, + "STATUS_COMMON": { + "direction": "output", + "bits": [ 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613 ] + }, + "SYSREF_OUT_NORTH": { + "direction": "output", + "bits": [ 614 ] + }, + "SYSREF_OUT_SOUTH": { + "direction": "output", + "bits": [ 615 ] + }, + "ADC_CLK_N": { + "direction": "input", + "bits": [ 616 ] + }, + "ADC_CLK_P": { + "direction": "input", + "bits": [ 617 ] + }, + "CLK_FIFO_LM": { + "direction": "input", + "bits": [ 618 ] + }, + "CONTROL_ADC0": { + "direction": "input", + "bits": [ 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634 ] + }, + "CONTROL_ADC1": { + "direction": "input", + "bits": [ 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650 ] + }, + "CONTROL_ADC2": { + "direction": "input", + "bits": [ 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666 ] + }, + "CONTROL_ADC3": { + "direction": "input", + "bits": [ 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682 ] + }, + "CONTROL_COMMON": { + "direction": "input", + "bits": [ 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 711 ] + }, + "DEN": { + "direction": "input", + "bits": [ 712 ] + }, + "DI": { + "direction": "input", + "bits": [ 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728 ] + }, + "DWE": { + "direction": "input", + "bits": [ 729 ] + }, + "FABRIC_CLK": { + "direction": "input", + "bits": [ 730 ] + }, + "PLL_MONCLK": { + "direction": "input", + "bits": [ 731 ] + }, + "PLL_REFCLK_IN": { + "direction": "input", + "bits": [ 732 ] + }, + "SYSREF_IN_NORTH": { + "direction": "input", + "bits": [ 733 ] + }, + "SYSREF_IN_SOUTH": { + "direction": "input", + "bits": [ 734 ] + }, + "SYSREF_N": { + "direction": "input", + "bits": [ 735 ] + }, + "SYSREF_P": { + "direction": "input", + "bits": [ 736 ] + }, + "VIN0_N": { + "direction": "input", + "bits": [ 737 ] + }, + "VIN0_P": { + "direction": "input", + "bits": [ 738 ] + }, + "VIN1_N": { + "direction": "input", + "bits": [ 739 ] + }, + "VIN1_P": { + "direction": "input", + "bits": [ 740 ] + }, + "VIN2_N": { + "direction": "input", + "bits": [ 741 ] + }, + "VIN2_P": { + "direction": "input", + "bits": [ 742 ] + }, + "VIN3_N": { + "direction": "input", + "bits": [ 743 ] + }, + "VIN3_P": { + "direction": "input", + "bits": [ 744 ] + }, + "VIN_I01_N": { + "direction": "input", + "bits": [ 745 ] + }, + "VIN_I01_P": { + "direction": "input", + "bits": [ 746 ] + }, + "VIN_I23_N": { + "direction": "input", + "bits": [ 747 ] + }, + "VIN_I23_P": { + "direction": "input", + "bits": [ 748 ] + } + }, + "cells": { + }, + "netnames": { + "ADC_CLK_N": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19689.11-19689.20" + } + }, + "ADC_CLK_P": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19690.11-19690.20" + } + }, + "CLK_ADC": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19673.12-19673.19" + } + }, + "CLK_FIFO_LM": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19691.11-19691.22" + } + }, + "CONTROL_ADC0": { + "hide_name": 0, + "bits": [ 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19692.18-19692.30" + } + }, + "CONTROL_ADC1": { + "hide_name": 0, + "bits": [ 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19693.18-19693.30" + } + }, + "CONTROL_ADC2": { + "hide_name": 0, + "bits": [ 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19694.18-19694.30" + } + }, + "CONTROL_ADC3": { + "hide_name": 0, + "bits": [ 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19695.18-19695.30" + } + }, + "CONTROL_COMMON": { + "hide_name": 0, + "bits": [ 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19696.18-19696.32" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19697.18-19697.23" + } + }, + "DATA_ADC0": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19674.20-19674.29" + } + }, + "DATA_ADC1": { + "hide_name": 0, + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19675.20-19675.29" + } + }, + "DATA_ADC2": { + "hide_name": 0, + "bits": [ 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19676.20-19676.29" + } + }, + "DATA_ADC3": { + "hide_name": 0, + "bits": [ 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19677.20-19677.29" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 711 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19698.11-19698.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19699.11-19699.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19700.18-19700.20" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19678.19-19678.23" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19679.12-19679.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 729 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19701.11-19701.14" + } + }, + "FABRIC_CLK": { + "hide_name": 0, + "bits": [ 730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19702.11-19702.21" + } + }, + "PLL_DMON_OUT": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19680.12-19680.24" + } + }, + "PLL_MONCLK": { + "hide_name": 0, + "bits": [ 731 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19703.11-19703.21" + } + }, + "PLL_REFCLK_IN": { + "hide_name": 0, + "bits": [ 732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19704.11-19704.24" + } + }, + "PLL_REFCLK_OUT": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19681.12-19681.26" + } + }, + "STATUS_ADC0": { + "hide_name": 0, + "bits": [ 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19682.19-19682.30" + } + }, + "STATUS_ADC1": { + "hide_name": 0, + "bits": [ 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19683.19-19683.30" + } + }, + "STATUS_ADC2": { + "hide_name": 0, + "bits": [ 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19684.19-19684.30" + } + }, + "STATUS_ADC3": { + "hide_name": 0, + "bits": [ 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19685.19-19685.30" + } + }, + "STATUS_COMMON": { + "hide_name": 0, + "bits": [ 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19686.19-19686.32" + } + }, + "SYSREF_IN_NORTH": { + "hide_name": 0, + "bits": [ 733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19705.11-19705.26" + } + }, + "SYSREF_IN_SOUTH": { + "hide_name": 0, + "bits": [ 734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19706.11-19706.26" + } + }, + "SYSREF_N": { + "hide_name": 0, + "bits": [ 735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19707.11-19707.19" + } + }, + "SYSREF_OUT_NORTH": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19687.12-19687.28" + } + }, + "SYSREF_OUT_SOUTH": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19688.12-19688.28" + } + }, + "SYSREF_P": { + "hide_name": 0, + "bits": [ 736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19708.11-19708.19" + } + }, + "VIN0_N": { + "hide_name": 0, + "bits": [ 737 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19709.11-19709.17" + } + }, + "VIN0_P": { + "hide_name": 0, + "bits": [ 738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19710.11-19710.17" + } + }, + "VIN1_N": { + "hide_name": 0, + "bits": [ 739 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19711.11-19711.17" + } + }, + "VIN1_P": { + "hide_name": 0, + "bits": [ 740 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19712.11-19712.17" + } + }, + "VIN2_N": { + "hide_name": 0, + "bits": [ 741 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19713.11-19713.17" + } + }, + "VIN2_P": { + "hide_name": 0, + "bits": [ 742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19714.11-19714.17" + } + }, + "VIN3_N": { + "hide_name": 0, + "bits": [ 743 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19715.11-19715.17" + } + }, + "VIN3_P": { + "hide_name": 0, + "bits": [ 744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19716.11-19716.17" + } + }, + "VIN_I01_N": { + "hide_name": 0, + "bits": [ 745 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19717.11-19717.20" + } + }, + "VIN_I01_P": { + "hide_name": 0, + "bits": [ 746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19718.11-19718.20" + } + }, + "VIN_I23_N": { + "hide_name": 0, + "bits": [ 747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19719.11-19719.20" + } + }, + "VIN_I23_P": { + "hide_name": 0, + "bits": [ 748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19720.11-19720.20" + } + } + } + }, + "HSDAC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19611.1-19663.10" + }, + "parameter_default_values": { + "SIM_DEVICE": "ULTRASCALE_PLUS", + "XPA_CFG0": "00000000000000000000000000000000", + "XPA_CFG1": "00000000000000000000000000000000", + "XPA_NUM_DACS": "00000000000000000000000000000000", + "XPA_NUM_DUCS": "00000000000000000000000000000000", + "XPA_PLL_USED": "No", + "XPA_SAMPLE_RATE_MSPS": "00000000000000000000000000000000" + }, + "ports": { + "CLK_DAC": { + "direction": "output", + "bits": [ 2 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 19 ] + }, + "PLL_DMON_OUT": { + "direction": "output", + "bits": [ 20 ] + }, + "PLL_REFCLK_OUT": { + "direction": "output", + "bits": [ 21 ] + }, + "STATUS_COMMON": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "STATUS_DAC0": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "STATUS_DAC1": { + "direction": "output", + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "STATUS_DAC2": { + "direction": "output", + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "STATUS_DAC3": { + "direction": "output", + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ] + }, + "SYSREF_OUT_NORTH": { + "direction": "output", + "bits": [ 102 ] + }, + "SYSREF_OUT_SOUTH": { + "direction": "output", + "bits": [ 103 ] + }, + "VOUT0_N": { + "direction": "output", + "bits": [ 104 ] + }, + "VOUT0_P": { + "direction": "output", + "bits": [ 105 ] + }, + "VOUT1_N": { + "direction": "output", + "bits": [ 106 ] + }, + "VOUT1_P": { + "direction": "output", + "bits": [ 107 ] + }, + "VOUT2_N": { + "direction": "output", + "bits": [ 108 ] + }, + "VOUT2_P": { + "direction": "output", + "bits": [ 109 ] + }, + "VOUT3_N": { + "direction": "output", + "bits": [ 110 ] + }, + "VOUT3_P": { + "direction": "output", + "bits": [ 111 ] + }, + "CLK_FIFO_LM": { + "direction": "input", + "bits": [ 112 ] + }, + "CONTROL_COMMON": { + "direction": "input", + "bits": [ 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128 ] + }, + "CONTROL_DAC0": { + "direction": "input", + "bits": [ 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144 ] + }, + "CONTROL_DAC1": { + "direction": "input", + "bits": [ 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "CONTROL_DAC2": { + "direction": "input", + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176 ] + }, + "CONTROL_DAC3": { + "direction": "input", + "bits": [ 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192 ] + }, + "DAC_CLK_N": { + "direction": "input", + "bits": [ 193 ] + }, + "DAC_CLK_P": { + "direction": "input", + "bits": [ 194 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206 ] + }, + "DATA_DAC0": { + "direction": "input", + "bits": [ 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462 ] + }, + "DATA_DAC1": { + "direction": "input", + "bits": [ 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718 ] + }, + "DATA_DAC2": { + "direction": "input", + "bits": [ 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974 ] + }, + "DATA_DAC3": { + "direction": "input", + "bits": [ 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 1231 ] + }, + "DEN": { + "direction": "input", + "bits": [ 1232 ] + }, + "DI": { + "direction": "input", + "bits": [ 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248 ] + }, + "DWE": { + "direction": "input", + "bits": [ 1249 ] + }, + "FABRIC_CLK": { + "direction": "input", + "bits": [ 1250 ] + }, + "PLL_MONCLK": { + "direction": "input", + "bits": [ 1251 ] + }, + "PLL_REFCLK_IN": { + "direction": "input", + "bits": [ 1252 ] + }, + "SYSREF_IN_NORTH": { + "direction": "input", + "bits": [ 1253 ] + }, + "SYSREF_IN_SOUTH": { + "direction": "input", + "bits": [ 1254 ] + }, + "SYSREF_N": { + "direction": "input", + "bits": [ 1255 ] + }, + "SYSREF_P": { + "direction": "input", + "bits": [ 1256 ] + } + }, + "cells": { + }, + "netnames": { + "CLK_DAC": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19619.12-19619.19" + } + }, + "CLK_FIFO_LM": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19639.11-19639.22" + } + }, + "CONTROL_COMMON": { + "hide_name": 0, + "bits": [ 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19640.18-19640.32" + } + }, + "CONTROL_DAC0": { + "hide_name": 0, + "bits": [ 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19641.18-19641.30" + } + }, + "CONTROL_DAC1": { + "hide_name": 0, + "bits": [ 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19642.18-19642.30" + } + }, + "CONTROL_DAC2": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19643.18-19643.30" + } + }, + "CONTROL_DAC3": { + "hide_name": 0, + "bits": [ 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19644.18-19644.30" + } + }, + "DAC_CLK_N": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19645.11-19645.20" + } + }, + "DAC_CLK_P": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19646.11-19646.20" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19647.18-19647.23" + } + }, + "DATA_DAC0": { + "hide_name": 0, + "bits": [ 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19648.19-19648.28" + } + }, + "DATA_DAC1": { + "hide_name": 0, + "bits": [ 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19649.19-19649.28" + } + }, + "DATA_DAC2": { + "hide_name": 0, + "bits": [ 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19650.19-19650.28" + } + }, + "DATA_DAC3": { + "hide_name": 0, + "bits": [ 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19651.19-19651.28" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 1231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19652.11-19652.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 1232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19653.11-19653.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19654.18-19654.20" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19620.19-19620.23" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19621.12-19621.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 1249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19655.11-19655.14" + } + }, + "FABRIC_CLK": { + "hide_name": 0, + "bits": [ 1250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19656.11-19656.21" + } + }, + "PLL_DMON_OUT": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19622.12-19622.24" + } + }, + "PLL_MONCLK": { + "hide_name": 0, + "bits": [ 1251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19657.11-19657.21" + } + }, + "PLL_REFCLK_IN": { + "hide_name": 0, + "bits": [ 1252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19658.11-19658.24" + } + }, + "PLL_REFCLK_OUT": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19623.12-19623.26" + } + }, + "STATUS_COMMON": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19624.19-19624.32" + } + }, + "STATUS_DAC0": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19625.19-19625.30" + } + }, + "STATUS_DAC1": { + "hide_name": 0, + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19626.19-19626.30" + } + }, + "STATUS_DAC2": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19627.19-19627.30" + } + }, + "STATUS_DAC3": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19628.19-19628.30" + } + }, + "SYSREF_IN_NORTH": { + "hide_name": 0, + "bits": [ 1253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19659.11-19659.26" + } + }, + "SYSREF_IN_SOUTH": { + "hide_name": 0, + "bits": [ 1254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19660.11-19660.26" + } + }, + "SYSREF_N": { + "hide_name": 0, + "bits": [ 1255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19661.11-19661.19" + } + }, + "SYSREF_OUT_NORTH": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19629.12-19629.28" + } + }, + "SYSREF_OUT_SOUTH": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19630.12-19630.28" + } + }, + "SYSREF_P": { + "hide_name": 0, + "bits": [ 1256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19662.11-19662.19" + } + }, + "VOUT0_N": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19631.12-19631.19" + } + }, + "VOUT0_P": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19632.12-19632.19" + } + }, + "VOUT1_N": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19633.12-19633.19" + } + }, + "VOUT1_P": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19634.12-19634.19" + } + }, + "VOUT2_N": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19635.12-19635.19" + } + }, + "VOUT2_P": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19636.12-19636.19" + } + }, + "VOUT3_N": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19637.12-19637.19" + } + }, + "VOUT3_P": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19638.12-19638.19" + } + } + } + }, + "IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:32.1-42.10" + }, + "parameter_default_values": { + "IBUF_LOW_PWR": "00000000000000000000000000000000", + "IOSTANDARD": "default" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$3": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:40.5-40.18" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:35.11-35.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:33.12-33.13" + } + } + } + }, + "IBUFDS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7566.1-7579.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_DELAY_VALUE": "0 ", + "IBUF_LOW_PWR": "TRUE", + "IFD_DELAY_VALUE": "AUTO", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7576.11-7576.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7578.11-7578.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7574.12-7574.13" + } + } + } + }, + "IBUFDSE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7670.1-7685.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_INPUT_BUFFER_OFFSET": "00000000000000000000000000000000", + "USE_IBUFDISABLE": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 5 ] + }, + "OSC": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "OSC_EN": { + "direction": "input", + "bits": [ 10, 11 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7679.11-7679.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7681.11-7681.13" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7682.11-7682.22" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7677.12-7677.13" + } + }, + "OSC": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7683.17-7683.20" + } + }, + "OSC_EN": { + "hide_name": 0, + "bits": [ 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7684.17-7684.23" + } + } + } + }, + "IBUFDS_DIFF_OUT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7624.1-7635.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7632.11-7632.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7634.11-7634.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7629.12-7629.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7630.12-7630.14" + } + } + } + }, + "IBUFDS_DIFF_OUT_IBUFDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7637.1-7651.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7647.11-7647.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7649.11-7649.13" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7650.11-7650.22" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7644.12-7644.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7645.12-7645.14" + } + } + } + }, + "IBUFDS_DIFF_OUT_INTERMDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7653.1-7668.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 6 ] + }, + "INTERMDISABLE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7663.11-7663.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7665.11-7665.13" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7666.11-7666.22" + } + }, + "INTERMDISABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7667.11-7667.24" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7660.12-7660.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7661.12-7661.14" + } + } + } + }, + "IBUFDS_DLY_ADJ": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7581.1-7591.10" + }, + "parameter_default_values": { + "DELAY_OFFSET": "OFF", + "DIFF_TERM": "FALSE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5, 6, 7 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7587.11-7587.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7589.11-7589.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7585.12-7585.13" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5, 6, 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7590.17-7590.18" + } + } + } + }, + "IBUFDS_DPHY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7687.1-7699.10" + }, + "parameter_default_values": { + "DIFF_TERM": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "HSRX_O": { + "direction": "output", + "bits": [ 2 ] + }, + "LPRX_O_N": { + "direction": "output", + "bits": [ 3 ] + }, + "LPRX_O_P": { + "direction": "output", + "bits": [ 4 ] + }, + "HSRX_DISABLE": { + "direction": "input", + "bits": [ 5 ] + }, + "I": { + "direction": "input", + "bits": [ 6 ] + }, + "IB": { + "direction": "input", + "bits": [ 7 ] + }, + "LPRX_DISABLE": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "HSRX_DISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7693.11-7693.23" + } + }, + "HSRX_O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7690.12-7690.18" + } + }, + "I": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7695.11-7695.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7697.11-7697.13" + } + }, + "LPRX_DISABLE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7698.11-7698.23" + } + }, + "LPRX_O_N": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7691.12-7691.20" + } + }, + "LPRX_O_P": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7692.12-7692.20" + } + } + } + }, + "IBUFDS_GTE2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14990.1-15001.10" + }, + "parameter_default_values": { + "CLKCM_CFG": "TRUE", + "CLKRCV_TRST": "TRUE", + "CLKSWING_CFG": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "ODIV2": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IB": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14996.11-14996.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14998.11-14998.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:15000.11-15000.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14994.12-14994.13" + } + }, + "ODIV2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:14995.12-14995.17" + } + } + } + }, + "IBUFDS_GTE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16814.1-16825.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_HROW_CK_SEL": "00", + "REFCLK_ICNTL_RX": "00" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "ODIV2": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IB": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16820.11-16820.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16822.11-16822.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16824.11-16824.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16818.12-16818.13" + } + }, + "ODIV2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16819.12-16819.17" + } + } + } + }, + "IBUFDS_GTE4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18892.1-18903.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_HROW_CK_SEL": "00", + "REFCLK_ICNTL_RX": "00" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "ODIV2": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IB": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18898.11-18898.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18900.11-18900.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18902.11-18902.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18896.12-18896.13" + } + }, + "ODIV2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18897.12-18897.17" + } + } + } + }, + "IBUFDS_GTHE1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13272.1-13278.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13275.11-13275.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13277.11-13277.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13273.12-13273.13" + } + } + } + }, + "IBUFDS_GTM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19575.1-19586.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_HROW_CK_SEL": "00000000000000000000000000000000", + "REFCLK_ICNTL_RX": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "ODIV2": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IB": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19581.11-19581.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19583.11-19583.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19585.11-19585.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19579.12-19579.13" + } + }, + "ODIV2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19580.12-19580.17" + } + } + } + }, + "IBUFDS_GTXE1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13259.1-13270.10" + }, + "parameter_default_values": { + "CLKCM_CFG": "TRUE", + "CLKRCV_TRST": "TRUE", + "REFCLKOUT_DLY": "0000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "ODIV2": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IB": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13265.11-13265.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13267.11-13267.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13269.11-13269.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13263.12-13263.13" + } + }, + "ODIV2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:13264.12-13264.17" + } + } + } + }, + "IBUFDS_IBUFDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7593.1-7606.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7602.11-7602.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7604.11-7604.13" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7605.11-7605.22" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7600.12-7600.13" + } + } + } + }, + "IBUFDS_INTERMDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7608.1-7622.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 5 ] + }, + "INTERMDISABLE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7617.11-7617.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7619.11-7619.13" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7620.11-7620.22" + } + }, + "INTERMDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7621.11-7621.24" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7615.12-7615.13" + } + } + } + }, + "IBUFE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7552.1-7564.10" + }, + "parameter_default_values": { + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_INPUT_BUFFER_OFFSET": "00000000000000000000000000000000", + "USE_IBUFDISABLE": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 4 ] + }, + "OSC": { + "direction": "input", + "bits": [ 5, 6, 7, 8 ] + }, + "OSC_EN": { + "direction": "input", + "bits": [ 9 ] + }, + "VREF": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7559.11-7559.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7560.11-7560.22" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7557.12-7557.13" + } + }, + "OSC": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7561.17-7561.20" + } + }, + "OSC_EN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7562.11-7562.17" + } + }, + "VREF": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7563.11-7563.15" + } + } + } + }, + "IBUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:44.1-53.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "IBUF_DELAY_VALUE": "0 ", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:47.11-47.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:45.12-45.13" + } + } + } + }, + "IBUFGDS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7701.1-7712.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "DIFF_TERM": "FALSE", + "IBUF_DELAY_VALUE": "0 ", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7709.11-7709.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7711.11-7711.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7707.12-7707.13" + } + } + } + }, + "IBUFGDS_DIFF_OUT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7714.1-7725.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7722.11-7722.12" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7724.11-7724.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7719.12-7719.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7720.12-7720.14" + } + } + } + }, + "IBUF_ANALOG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7546.1-7550.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7549.11-7549.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7547.12-7547.13" + } + } + } + }, + "IBUF_DLY_ADJ": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7514.1-7521.10" + }, + "parameter_default_values": { + "DELAY_OFFSET": "OFF", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "S": { + "direction": "input", + "bits": [ 4, 5, 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7519.11-7519.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7517.12-7517.13" + } + }, + "S": { + "hide_name": 0, + "bits": [ 4, 5, 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7520.17-7520.18" + } + } + } + }, + "IBUF_IBUFDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7523.1-7532.10" + }, + "parameter_default_values": { + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7530.11-7530.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7531.11-7531.22" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7528.12-7528.13" + } + } + } + }, + "IBUF_INTERMDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7534.1-7544.10" + }, + "parameter_default_values": { + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 4 ] + }, + "INTERMDISABLE": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7541.11-7541.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7542.11-7542.22" + } + }, + "INTERMDISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7543.11-7543.24" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7539.12-7539.13" + } + } + } + }, + "ICAPE2": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9715.1-9724.10" + }, + "parameter_default_values": { + "DEVICE_ID": "00000100001001000100000010010011", + "ICAP_WIDTH": "X32", + "SIM_CFG_FILE_NAME": "NONE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "CLK": { + "direction": "input", + "bits": [ 34 ] + }, + "CSIB": { + "direction": "input", + "bits": [ 35 ] + }, + "RDWRB": { + "direction": "input", + "bits": [ 36 ] + }, + "I": { + "direction": "input", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9720.11-9720.14" + } + }, + "CSIB": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9721.11-9721.15" + } + }, + "I": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9723.18-9723.19" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9719.19-9719.20" + } + }, + "RDWRB": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9722.11-9722.16" + } + } + } + }, + "ICAPE3": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9727.1-9739.10" + }, + "parameter_default_values": { + "DEVICE_ID": "00000011011000101000000010010011", + "ICAP_AUTO_SWITCH": "DISABLE", + "SIM_CFG_FILE_NAME": "NONE" + }, + "ports": { + "AVAIL": { + "direction": "output", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "PRDONE": { + "direction": "output", + "bits": [ 35 ] + }, + "PRERROR": { + "direction": "output", + "bits": [ 36 ] + }, + "CLK": { + "direction": "input", + "bits": [ 37 ] + }, + "CSIB": { + "direction": "input", + "bits": [ 38 ] + }, + "RDWRB": { + "direction": "input", + "bits": [ 39 ] + }, + "I": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + } + }, + "cells": { + }, + "netnames": { + "AVAIL": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9731.12-9731.17" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9735.11-9735.14" + } + }, + "CSIB": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9736.11-9736.15" + } + }, + "I": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9738.18-9738.19" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9732.19-9732.20" + } + }, + "PRDONE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9733.12-9733.18" + } + }, + "PRERROR": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9734.12-9734.19" + } + }, + "RDWRB": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9737.11-9737.16" + } + } + } + }, + "ICAP_SPARTAN3A": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9658.1-9665.10" + }, + "ports": { + "BUSY": { + "direction": "output", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "CE": { + "direction": "input", + "bits": [ 11 ] + }, + "CLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WRITE": { + "direction": "input", + "bits": [ 13 ] + }, + "I": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ] + } + }, + "cells": { + }, + "netnames": { + "BUSY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9659.12-9659.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9661.11-9661.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9662.11-9662.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9664.17-9664.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9660.18-9660.19" + } + }, + "WRITE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9663.11-9663.16" + } + } + } + }, + "ICAP_SPARTAN6": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9668.1-9677.10" + }, + "parameter_default_values": { + "DEVICE_ID": "00000100000000000000000010010011", + "SIM_CFG_FILE_NAME": "NONE" + }, + "ports": { + "BUSY": { + "direction": "output", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ] + }, + "CLK": { + "direction": "input", + "bits": [ 19 ] + }, + "CE": { + "direction": "input", + "bits": [ 20 ] + }, + "WRITE": { + "direction": "input", + "bits": [ 21 ] + }, + "I": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + } + }, + "cells": { + }, + "netnames": { + "BUSY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9671.12-9671.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9674.11-9674.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9673.11-9673.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9676.18-9676.19" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9672.19-9672.20" + } + }, + "WRITE": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9675.11-9675.16" + } + } + } + }, + "ICAP_VIRTEX4": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9680.1-9688.10" + }, + "parameter_default_values": { + "ICAP_WIDTH": "X8" + }, + "ports": { + "BUSY": { + "direction": "output", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "CE": { + "direction": "input", + "bits": [ 35 ] + }, + "CLK": { + "direction": "input", + "bits": [ 36 ] + }, + "WRITE": { + "direction": "input", + "bits": [ 37 ] + }, + "I": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + } + }, + "cells": { + }, + "netnames": { + "BUSY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9682.12-9682.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9684.11-9684.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9685.11-9685.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9687.18-9687.19" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9683.19-9683.20" + } + }, + "WRITE": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9686.11-9686.16" + } + } + } + }, + "ICAP_VIRTEX5": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9691.1-9699.10" + }, + "parameter_default_values": { + "ICAP_WIDTH": "X8" + }, + "ports": { + "BUSY": { + "direction": "output", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "CE": { + "direction": "input", + "bits": [ 35 ] + }, + "CLK": { + "direction": "input", + "bits": [ 36 ] + }, + "WRITE": { + "direction": "input", + "bits": [ 37 ] + }, + "I": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + } + }, + "cells": { + }, + "netnames": { + "BUSY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9693.12-9693.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9695.11-9695.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9696.11-9696.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9698.18-9698.19" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9694.19-9694.20" + } + }, + "WRITE": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9697.11-9697.16" + } + } + } + }, + "ICAP_VIRTEX6": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9702.1-9712.10" + }, + "parameter_default_values": { + "DEVICE_ID": "00000100001001000100000010010011", + "ICAP_WIDTH": "X8", + "SIM_CFG_FILE_NAME": "NONE" + }, + "ports": { + "BUSY": { + "direction": "output", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "CLK": { + "direction": "input", + "bits": [ 35 ] + }, + "CSB": { + "direction": "input", + "bits": [ 36 ] + }, + "RDWRB": { + "direction": "input", + "bits": [ 37 ] + }, + "I": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + } + }, + "cells": { + }, + "netnames": { + "BUSY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9706.12-9706.16" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9708.11-9708.14" + } + }, + "CSB": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9709.11-9709.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9711.18-9711.19" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9707.19-9707.20" + } + }, + "RDWRB": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9710.11-9710.16" + } + } + } + }, + "IDDR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6126.1-6145.10" + }, + "parameter_default_values": { + "DDR_CLK_EDGE": "OPPOSITE_EDGE", + "INIT_Q1": "0", + "INIT_Q2": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "MSGON": "TRUE", + "SRTYPE": "SYNC", + "XON": "TRUE" + }, + "ports": { + "Q1": { + "direction": "output", + "bits": [ 2 ] + }, + "Q2": { + "direction": "output", + "bits": [ 3 ] + }, + "C": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "R": { + "direction": "input", + "bits": [ 7 ] + }, + "S": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6139.11-6139.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6140.11-6140.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6142.11-6142.12" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6135.12-6135.14" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6136.12-6136.14" + } + }, + "R": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6143.11-6143.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6144.11-6144.12" + } + } + } + }, + "IDDR2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6093.1-6108.10" + }, + "parameter_default_values": { + "DDR_ALIGNMENT": "NONE", + "INIT_Q0": "0", + "INIT_Q1": "0", + "SRTYPE": "SYNC" + }, + "ports": { + "Q0": { + "direction": "output", + "bits": [ 2 ] + }, + "Q1": { + "direction": "output", + "bits": [ 3 ] + }, + "C0": { + "direction": "input", + "bits": [ 4 ] + }, + "C1": { + "direction": "input", + "bits": [ 5 ] + }, + "CE": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "R": { + "direction": "input", + "bits": [ 8 ] + }, + "S": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6101.11-6101.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6103.11-6103.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6104.11-6104.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6105.11-6105.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6098.12-6098.14" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6099.12-6099.14" + } + }, + "R": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6106.11-6106.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6107.11-6107.12" + } + } + } + }, + "IDDRE1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6916.1-6930.10" + }, + "parameter_default_values": { + "DDR_CLK_EDGE": "OPPOSITE_EDGE", + "IS_CB_INVERTED": "0", + "IS_C_INVERTED": "0" + }, + "ports": { + "Q1": { + "direction": "output", + "bits": [ 2 ] + }, + "Q2": { + "direction": "output", + "bits": [ 3 ] + }, + "C": { + "direction": "input", + "bits": [ 4 ] + }, + "CB": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "R": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6924.11-6924.12" + } + }, + "CB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6927.11-6927.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6928.11-6928.12" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6920.12-6920.14" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6921.12-6921.14" + } + }, + "R": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6929.11-6929.12" + } + } + } + }, + "IDDR_2CLK": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6147.1-6168.10" + }, + "parameter_default_values": { + "DDR_CLK_EDGE": "OPPOSITE_EDGE", + "INIT_Q1": "0", + "INIT_Q2": "0", + "IS_CB_INVERTED": "0", + "IS_C_INVERTED": "0", + "IS_D_INVERTED": "0", + "SRTYPE": "SYNC" + }, + "ports": { + "Q1": { + "direction": "output", + "bits": [ 2 ] + }, + "Q2": { + "direction": "output", + "bits": [ 3 ] + }, + "C": { + "direction": "input", + "bits": [ 4 ] + }, + "CB": { + "direction": "input", + "bits": [ 5 ] + }, + "CE": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "R": { + "direction": "input", + "bits": [ 8 ] + }, + "S": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6159.11-6159.12" + } + }, + "CB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6162.11-6162.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6163.11-6163.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6165.11-6165.12" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6155.12-6155.14" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6156.12-6156.14" + } + }, + "R": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6166.11-6166.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6167.11-6167.12" + } + } + } + }, + "IDELAY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6201.1-6211.10" + }, + "parameter_default_values": { + "IOBDELAY_TYPE": "DEFAULT", + "IOBDELAY_VALUE": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "INC": { + "direction": "input", + "bits": [ 6 ] + }, + "RST": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6206.11-6206.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6207.11-6207.13" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6208.11-6208.12" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6209.11-6209.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6204.12-6204.13" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6210.11-6210.14" + } + } + } + }, + "IDELAYCTRL": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6193.1-6199.10" + }, + "parameter_default_values": { + "SIM_DEVICE": "7SERIES" + }, + "ports": { + "RDY": { + "direction": "output", + "bits": [ 2 ] + }, + "REFCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "RST": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "RDY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6195.12-6195.15" + } + }, + "REFCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6197.11-6197.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6198.11-6198.14" + } + } + } + }, + "IDELAYE2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6473.1-6502.10" + }, + "parameter_default_values": { + "CINVCTRL_SEL": "FALSE", + "DELAY_SRC": "IDATAIN", + "HIGH_PERFORMANCE_MODE": "FALSE", + "IDELAY_TYPE": "FIXED", + "IDELAY_VALUE": "00000000000000000000000000000000", + "IS_C_INVERTED": "0", + "IS_DATAIN_INVERTED": "0", + "IS_IDATAIN_INVERTED": "0", + "PIPE_SEL": "FALSE", + "SIGNAL_PATTERN": "DATA", + "SIM_DELAY_D": "00000000000000000000000000000000" + }, + "ports": { + "CNTVALUEOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 7 ] + }, + "C": { + "direction": "input", + "bits": [ 8 ] + }, + "CE": { + "direction": "input", + "bits": [ 9 ] + }, + "CINVCTRL": { + "direction": "input", + "bits": [ 10 ] + }, + "CNTVALUEIN": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15 ] + }, + "DATAIN": { + "direction": "input", + "bits": [ 16 ] + }, + "IDATAIN": { + "direction": "input", + "bits": [ 17 ] + }, + "INC": { + "direction": "input", + "bits": [ 18 ] + }, + "LD": { + "direction": "input", + "bits": [ 19 ] + }, + "LDPIPEEN": { + "direction": "input", + "bits": [ 20 ] + }, + "REGRST": { + "direction": "input", + "bits": [ 21 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6490.11-6490.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6491.11-6491.13" + } + }, + "CINVCTRL": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6492.11-6492.19" + } + }, + "CNTVALUEIN": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6493.17-6493.27" + } + }, + "CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6486.18-6486.29" + } + }, + "DATAIN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "invertible_pin": "IS_DATAIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6495.11-6495.17" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6487.12-6487.19" + } + }, + "IDATAIN": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "invertible_pin": "IS_IDATAIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6497.11-6497.18" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6498.11-6498.14" + } + }, + "LD": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6499.11-6499.13" + } + }, + "LDPIPEEN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6500.11-6500.19" + } + }, + "REGRST": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6501.11-6501.17" + } + } + } + }, + "IDELAYE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6949.1-6979.10" + }, + "parameter_default_values": { + "CASCADE": "NONE", + "DELAY_FORMAT": "TIME", + "DELAY_SRC": "IDATAIN", + "DELAY_TYPE": "FIXED", + "DELAY_VALUE": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0", + "IS_RST_INVERTED": "0", + "LOOPBACK": "FALSE", + "SIM_DEVICE": "ULTRASCALE", + "UPDATE_MODE": "ASYNC" + }, + "ports": { + "CASC_OUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CNTVALUEOUT": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 12 ] + }, + "CASC_IN": { + "direction": "input", + "bits": [ 13 ] + }, + "CASC_RETURN": { + "direction": "input", + "bits": [ 14 ] + }, + "CE": { + "direction": "input", + "bits": [ 15 ] + }, + "CLK": { + "direction": "input", + "bits": [ 16 ] + }, + "CNTVALUEIN": { + "direction": "input", + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "DATAIN": { + "direction": "input", + "bits": [ 26 ] + }, + "EN_VTC": { + "direction": "input", + "bits": [ 27 ] + }, + "IDATAIN": { + "direction": "input", + "bits": [ 28 ] + }, + "INC": { + "direction": "input", + "bits": [ 29 ] + }, + "LOAD": { + "direction": "input", + "bits": [ 30 ] + }, + "RST": { + "direction": "input", + "bits": [ 31 ] + } + }, + "cells": { + }, + "netnames": { + "CASC_IN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6965.11-6965.18" + } + }, + "CASC_OUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6962.12-6962.20" + } + }, + "CASC_RETURN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6966.11-6966.22" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6967.11-6967.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6970.11-6970.14" + } + }, + "CNTVALUEIN": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6971.17-6971.27" + } + }, + "CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6963.18-6963.29" + } + }, + "DATAIN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6972.11-6972.17" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6964.12-6964.19" + } + }, + "EN_VTC": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6973.11-6973.17" + } + }, + "IDATAIN": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6974.11-6974.18" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6975.11-6975.14" + } + }, + "LOAD": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6976.11-6976.15" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6978.11-6978.14" + } + } + } + }, + "IFDDRCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6007.1-6019.10" + }, + "ports": { + "Q0": { + "direction": "output", + "bits": [ 2 ] + }, + "Q1": { + "direction": "output", + "bits": [ 3 ] + }, + "C0": { + "direction": "input", + "bits": [ 4 ] + }, + "C1": { + "direction": "input", + "bits": [ 5 ] + }, + "CE": { + "direction": "input", + "bits": [ 6 ] + }, + "CLR": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "PRE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6011.11-6011.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6013.11-6013.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6014.11-6014.13" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6015.11-6015.14" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6017.11-6017.12" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6018.11-6018.14" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6008.12-6008.14" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6009.12-6009.14" + } + } + } + }, + "IFDDRRSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6021.1-6033.10" + }, + "ports": { + "Q0": { + "direction": "output", + "bits": [ 2 ] + }, + "Q1": { + "direction": "output", + "bits": [ 3 ] + }, + "C0": { + "direction": "input", + "bits": [ 4 ] + }, + "C1": { + "direction": "input", + "bits": [ 5 ] + }, + "CE": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "R": { + "direction": "input", + "bits": [ 8 ] + }, + "S": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6025.11-6025.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6027.11-6027.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6028.11-6028.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6030.11-6030.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6022.12-6022.14" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6023.12-6023.14" + } + }, + "R": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6031.11-6031.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6032.11-6032.12" + } + } + } + }, + "ILKN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33332.1-33575.10" + }, + "parameter_default_values": { + "BYPASS": "FALSE", + "CTL_RX_BURSTMAX": "11", + "CTL_RX_CHAN_EXT": "00", + "CTL_RX_LAST_LANE": "1011", + "CTL_RX_MFRAMELEN_MINUS1": "0000011111111111", + "CTL_RX_PACKET_MODE": "TRUE", + "CTL_RX_RETRANS_MULT": "000", + "CTL_RX_RETRANS_RETRY": "0010", + "CTL_RX_RETRANS_TIMER1": "0000000000000000", + "CTL_RX_RETRANS_TIMER2": "0000000000001000", + "CTL_RX_RETRANS_WDOG": "000000000000", + "CTL_RX_RETRANS_WRAP_TIMER": "00000000", + "CTL_TEST_MODE_PIN_CHAR": "FALSE", + "CTL_TX_BURSTMAX": "11", + "CTL_TX_BURSTSHORT": "001", + "CTL_TX_CHAN_EXT": "00", + "CTL_TX_DISABLE_SKIPWORD": "TRUE", + "CTL_TX_FC_CALLEN": "0000000", + "CTL_TX_LAST_LANE": "1011", + "CTL_TX_MFRAMELEN_MINUS1": "0000011111111111", + "CTL_TX_RETRANS_DEPTH": "00100000000000", + "CTL_TX_RETRANS_MULT": "000", + "CTL_TX_RETRANS_RAM_BANKS": "11", + "MODE": "TRUE", + "SIM_VERSION": "2.0", + "TEST_MODE_PIN_CHAR": "FALSE" + }, + "ports": { + "DRP_DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DRP_RDY": { + "direction": "output", + "bits": [ 18 ] + }, + "RX_BYPASS_DATAOUT00": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ] + }, + "RX_BYPASS_DATAOUT01": { + "direction": "output", + "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ] + }, + "RX_BYPASS_DATAOUT02": { + "direction": "output", + "bits": [ 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216 ] + }, + "RX_BYPASS_DATAOUT03": { + "direction": "output", + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282 ] + }, + "RX_BYPASS_DATAOUT04": { + "direction": "output", + "bits": [ 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348 ] + }, + "RX_BYPASS_DATAOUT05": { + "direction": "output", + "bits": [ 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414 ] + }, + "RX_BYPASS_DATAOUT06": { + "direction": "output", + "bits": [ 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480 ] + }, + "RX_BYPASS_DATAOUT07": { + "direction": "output", + "bits": [ 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546 ] + }, + "RX_BYPASS_DATAOUT08": { + "direction": "output", + "bits": [ 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612 ] + }, + "RX_BYPASS_DATAOUT09": { + "direction": "output", + "bits": [ 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678 ] + }, + "RX_BYPASS_DATAOUT10": { + "direction": "output", + "bits": [ 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744 ] + }, + "RX_BYPASS_DATAOUT11": { + "direction": "output", + "bits": [ 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810 ] + }, + "RX_BYPASS_ENAOUT": { + "direction": "output", + "bits": [ 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822 ] + }, + "RX_BYPASS_IS_AVAILOUT": { + "direction": "output", + "bits": [ 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834 ] + }, + "RX_BYPASS_IS_BADLYFRAMEDOUT": { + "direction": "output", + "bits": [ 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846 ] + }, + "RX_BYPASS_IS_OVERFLOWOUT": { + "direction": "output", + "bits": [ 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858 ] + }, + "RX_BYPASS_IS_SYNCEDOUT": { + "direction": "output", + "bits": [ 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870 ] + }, + "RX_BYPASS_IS_SYNCWORDOUT": { + "direction": "output", + "bits": [ 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882 ] + }, + "RX_CHANOUT0": { + "direction": "output", + "bits": [ 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893 ] + }, + "RX_CHANOUT1": { + "direction": "output", + "bits": [ 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904 ] + }, + "RX_CHANOUT2": { + "direction": "output", + "bits": [ 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915 ] + }, + "RX_CHANOUT3": { + "direction": "output", + "bits": [ 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926 ] + }, + "RX_DATAOUT0": { + "direction": "output", + "bits": [ 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054 ] + }, + "RX_DATAOUT1": { + "direction": "output", + "bits": [ 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182 ] + }, + "RX_DATAOUT2": { + "direction": "output", + "bits": [ 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310 ] + }, + "RX_DATAOUT3": { + "direction": "output", + "bits": [ 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438 ] + }, + "RX_ENAOUT0": { + "direction": "output", + "bits": [ 1439 ] + }, + "RX_ENAOUT1": { + "direction": "output", + "bits": [ 1440 ] + }, + "RX_ENAOUT2": { + "direction": "output", + "bits": [ 1441 ] + }, + "RX_ENAOUT3": { + "direction": "output", + "bits": [ 1442 ] + }, + "RX_EOPOUT0": { + "direction": "output", + "bits": [ 1443 ] + }, + "RX_EOPOUT1": { + "direction": "output", + "bits": [ 1444 ] + }, + "RX_EOPOUT2": { + "direction": "output", + "bits": [ 1445 ] + }, + "RX_EOPOUT3": { + "direction": "output", + "bits": [ 1446 ] + }, + "RX_ERROUT0": { + "direction": "output", + "bits": [ 1447 ] + }, + "RX_ERROUT1": { + "direction": "output", + "bits": [ 1448 ] + }, + "RX_ERROUT2": { + "direction": "output", + "bits": [ 1449 ] + }, + "RX_ERROUT3": { + "direction": "output", + "bits": [ 1450 ] + }, + "RX_MTYOUT0": { + "direction": "output", + "bits": [ 1451, 1452, 1453, 1454 ] + }, + "RX_MTYOUT1": { + "direction": "output", + "bits": [ 1455, 1456, 1457, 1458 ] + }, + "RX_MTYOUT2": { + "direction": "output", + "bits": [ 1459, 1460, 1461, 1462 ] + }, + "RX_MTYOUT3": { + "direction": "output", + "bits": [ 1463, 1464, 1465, 1466 ] + }, + "RX_OVFOUT": { + "direction": "output", + "bits": [ 1467 ] + }, + "RX_SOPOUT0": { + "direction": "output", + "bits": [ 1468 ] + }, + "RX_SOPOUT1": { + "direction": "output", + "bits": [ 1469 ] + }, + "RX_SOPOUT2": { + "direction": "output", + "bits": [ 1470 ] + }, + "RX_SOPOUT3": { + "direction": "output", + "bits": [ 1471 ] + }, + "STAT_RX_ALIGNED": { + "direction": "output", + "bits": [ 1472 ] + }, + "STAT_RX_ALIGNED_ERR": { + "direction": "output", + "bits": [ 1473 ] + }, + "STAT_RX_BAD_TYPE_ERR": { + "direction": "output", + "bits": [ 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485 ] + }, + "STAT_RX_BURSTMAX_ERR": { + "direction": "output", + "bits": [ 1486 ] + }, + "STAT_RX_BURST_ERR": { + "direction": "output", + "bits": [ 1487 ] + }, + "STAT_RX_CRC24_ERR": { + "direction": "output", + "bits": [ 1488 ] + }, + "STAT_RX_CRC32_ERR": { + "direction": "output", + "bits": [ 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500 ] + }, + "STAT_RX_CRC32_VALID": { + "direction": "output", + "bits": [ 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512 ] + }, + "STAT_RX_DESCRAM_ERR": { + "direction": "output", + "bits": [ 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524 ] + }, + "STAT_RX_DIAGWORD_INTFSTAT": { + "direction": "output", + "bits": [ 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536 ] + }, + "STAT_RX_DIAGWORD_LANESTAT": { + "direction": "output", + "bits": [ 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548 ] + }, + "STAT_RX_FC_STAT": { + "direction": "output", + "bits": [ 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804 ] + }, + "STAT_RX_FRAMING_ERR": { + "direction": "output", + "bits": [ 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816 ] + }, + "STAT_RX_MEOP_ERR": { + "direction": "output", + "bits": [ 1817 ] + }, + "STAT_RX_MF_ERR": { + "direction": "output", + "bits": [ 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829 ] + }, + "STAT_RX_MF_LEN_ERR": { + "direction": "output", + "bits": [ 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841 ] + }, + "STAT_RX_MF_REPEAT_ERR": { + "direction": "output", + "bits": [ 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853 ] + }, + "STAT_RX_MISALIGNED": { + "direction": "output", + "bits": [ 1854 ] + }, + "STAT_RX_MSOP_ERR": { + "direction": "output", + "bits": [ 1855 ] + }, + "STAT_RX_MUBITS": { + "direction": "output", + "bits": [ 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863 ] + }, + "STAT_RX_MUBITS_UPDATED": { + "direction": "output", + "bits": [ 1864 ] + }, + "STAT_RX_OVERFLOW_ERR": { + "direction": "output", + "bits": [ 1865 ] + }, + "STAT_RX_RETRANS_CRC24_ERR": { + "direction": "output", + "bits": [ 1866 ] + }, + "STAT_RX_RETRANS_DISC": { + "direction": "output", + "bits": [ 1867 ] + }, + "STAT_RX_RETRANS_LATENCY": { + "direction": "output", + "bits": [ 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883 ] + }, + "STAT_RX_RETRANS_REQ": { + "direction": "output", + "bits": [ 1884 ] + }, + "STAT_RX_RETRANS_RETRY_ERR": { + "direction": "output", + "bits": [ 1885 ] + }, + "STAT_RX_RETRANS_SEQ": { + "direction": "output", + "bits": [ 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893 ] + }, + "STAT_RX_RETRANS_SEQ_UPDATED": { + "direction": "output", + "bits": [ 1894 ] + }, + "STAT_RX_RETRANS_STATE": { + "direction": "output", + "bits": [ 1895, 1896, 1897 ] + }, + "STAT_RX_RETRANS_SUBSEQ": { + "direction": "output", + "bits": [ 1898, 1899, 1900, 1901, 1902 ] + }, + "STAT_RX_RETRANS_WDOG_ERR": { + "direction": "output", + "bits": [ 1903 ] + }, + "STAT_RX_RETRANS_WRAP_ERR": { + "direction": "output", + "bits": [ 1904 ] + }, + "STAT_RX_SYNCED": { + "direction": "output", + "bits": [ 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916 ] + }, + "STAT_RX_SYNCED_ERR": { + "direction": "output", + "bits": [ 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928 ] + }, + "STAT_RX_WORD_SYNC": { + "direction": "output", + "bits": [ 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940 ] + }, + "STAT_TX_BURST_ERR": { + "direction": "output", + "bits": [ 1941 ] + }, + "STAT_TX_ERRINJ_BITERR_DONE": { + "direction": "output", + "bits": [ 1942 ] + }, + "STAT_TX_OVERFLOW_ERR": { + "direction": "output", + "bits": [ 1943 ] + }, + "STAT_TX_RETRANS_BURST_ERR": { + "direction": "output", + "bits": [ 1944 ] + }, + "STAT_TX_RETRANS_BUSY": { + "direction": "output", + "bits": [ 1945 ] + }, + "STAT_TX_RETRANS_RAM_PERROUT": { + "direction": "output", + "bits": [ 1946 ] + }, + "STAT_TX_RETRANS_RAM_RADDR": { + "direction": "output", + "bits": [ 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955 ] + }, + "STAT_TX_RETRANS_RAM_RD_B0": { + "direction": "output", + "bits": [ 1956 ] + }, + "STAT_TX_RETRANS_RAM_RD_B1": { + "direction": "output", + "bits": [ 1957 ] + }, + "STAT_TX_RETRANS_RAM_RD_B2": { + "direction": "output", + "bits": [ 1958 ] + }, + "STAT_TX_RETRANS_RAM_RD_B3": { + "direction": "output", + "bits": [ 1959 ] + }, + "STAT_TX_RETRANS_RAM_RSEL": { + "direction": "output", + "bits": [ 1960, 1961 ] + }, + "STAT_TX_RETRANS_RAM_WADDR": { + "direction": "output", + "bits": [ 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970 ] + }, + "STAT_TX_RETRANS_RAM_WDATA": { + "direction": "output", + "bits": [ 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614 ] + }, + "STAT_TX_RETRANS_RAM_WE_B0": { + "direction": "output", + "bits": [ 2615 ] + }, + "STAT_TX_RETRANS_RAM_WE_B1": { + "direction": "output", + "bits": [ 2616 ] + }, + "STAT_TX_RETRANS_RAM_WE_B2": { + "direction": "output", + "bits": [ 2617 ] + }, + "STAT_TX_RETRANS_RAM_WE_B3": { + "direction": "output", + "bits": [ 2618 ] + }, + "STAT_TX_UNDERFLOW_ERR": { + "direction": "output", + "bits": [ 2619 ] + }, + "TX_OVFOUT": { + "direction": "output", + "bits": [ 2620 ] + }, + "TX_RDYOUT": { + "direction": "output", + "bits": [ 2621 ] + }, + "TX_SERDES_DATA00": { + "direction": "output", + "bits": [ 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685 ] + }, + "TX_SERDES_DATA01": { + "direction": "output", + "bits": [ 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749 ] + }, + "TX_SERDES_DATA02": { + "direction": "output", + "bits": [ 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813 ] + }, + "TX_SERDES_DATA03": { + "direction": "output", + "bits": [ 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877 ] + }, + "TX_SERDES_DATA04": { + "direction": "output", + "bits": [ 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941 ] + }, + "TX_SERDES_DATA05": { + "direction": "output", + "bits": [ 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005 ] + }, + "TX_SERDES_DATA06": { + "direction": "output", + "bits": [ 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069 ] + }, + "TX_SERDES_DATA07": { + "direction": "output", + "bits": [ 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133 ] + }, + "TX_SERDES_DATA08": { + "direction": "output", + "bits": [ 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197 ] + }, + "TX_SERDES_DATA09": { + "direction": "output", + "bits": [ 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261 ] + }, + "TX_SERDES_DATA10": { + "direction": "output", + "bits": [ 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325 ] + }, + "TX_SERDES_DATA11": { + "direction": "output", + "bits": [ 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389 ] + }, + "CORE_CLK": { + "direction": "input", + "bits": [ 3390 ] + }, + "CTL_RX_FORCE_RESYNC": { + "direction": "input", + "bits": [ 3391 ] + }, + "CTL_RX_RETRANS_ACK": { + "direction": "input", + "bits": [ 3392 ] + }, + "CTL_RX_RETRANS_ENABLE": { + "direction": "input", + "bits": [ 3393 ] + }, + "CTL_RX_RETRANS_ERRIN": { + "direction": "input", + "bits": [ 3394 ] + }, + "CTL_RX_RETRANS_FORCE_REQ": { + "direction": "input", + "bits": [ 3395 ] + }, + "CTL_RX_RETRANS_RESET": { + "direction": "input", + "bits": [ 3396 ] + }, + "CTL_RX_RETRANS_RESET_MODE": { + "direction": "input", + "bits": [ 3397 ] + }, + "CTL_TX_DIAGWORD_INTFSTAT": { + "direction": "input", + "bits": [ 3398 ] + }, + "CTL_TX_DIAGWORD_LANESTAT": { + "direction": "input", + "bits": [ 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410 ] + }, + "CTL_TX_ENABLE": { + "direction": "input", + "bits": [ 3411 ] + }, + "CTL_TX_ERRINJ_BITERR_GO": { + "direction": "input", + "bits": [ 3412 ] + }, + "CTL_TX_ERRINJ_BITERR_LANE": { + "direction": "input", + "bits": [ 3413, 3414, 3415, 3416 ] + }, + "CTL_TX_FC_STAT": { + "direction": "input", + "bits": [ 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672 ] + }, + "CTL_TX_MUBITS": { + "direction": "input", + "bits": [ 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680 ] + }, + "CTL_TX_RETRANS_ENABLE": { + "direction": "input", + "bits": [ 3681 ] + }, + "CTL_TX_RETRANS_RAM_PERRIN": { + "direction": "input", + "bits": [ 3682 ] + }, + "CTL_TX_RETRANS_RAM_RDATA": { + "direction": "input", + "bits": [ 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326 ] + }, + "CTL_TX_RETRANS_REQ": { + "direction": "input", + "bits": [ 4327 ] + }, + "CTL_TX_RETRANS_REQ_VALID": { + "direction": "input", + "bits": [ 4328 ] + }, + "CTL_TX_RLIM_DELTA": { + "direction": "input", + "bits": [ 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340 ] + }, + "CTL_TX_RLIM_ENABLE": { + "direction": "input", + "bits": [ 4341 ] + }, + "CTL_TX_RLIM_INTV": { + "direction": "input", + "bits": [ 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349 ] + }, + "CTL_TX_RLIM_MAX": { + "direction": "input", + "bits": [ 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361 ] + }, + "DRP_ADDR": { + "direction": "input", + "bits": [ 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371 ] + }, + "DRP_CLK": { + "direction": "input", + "bits": [ 4372 ] + }, + "DRP_DI": { + "direction": "input", + "bits": [ 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388 ] + }, + "DRP_EN": { + "direction": "input", + "bits": [ 4389 ] + }, + "DRP_WE": { + "direction": "input", + "bits": [ 4390 ] + }, + "LBUS_CLK": { + "direction": "input", + "bits": [ 4391 ] + }, + "RX_BYPASS_FORCE_REALIGNIN": { + "direction": "input", + "bits": [ 4392 ] + }, + "RX_BYPASS_RDIN": { + "direction": "input", + "bits": [ 4393 ] + }, + "RX_RESET": { + "direction": "input", + "bits": [ 4394 ] + }, + "RX_SERDES_CLK": { + "direction": "input", + "bits": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406 ] + }, + "RX_SERDES_DATA00": { + "direction": "input", + "bits": [ 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470 ] + }, + "RX_SERDES_DATA01": { + "direction": "input", + "bits": [ 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534 ] + }, + "RX_SERDES_DATA02": { + "direction": "input", + "bits": [ 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598 ] + }, + "RX_SERDES_DATA03": { + "direction": "input", + "bits": [ 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662 ] + }, + "RX_SERDES_DATA04": { + "direction": "input", + "bits": [ 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726 ] + }, + "RX_SERDES_DATA05": { + "direction": "input", + "bits": [ 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790 ] + }, + "RX_SERDES_DATA06": { + "direction": "input", + "bits": [ 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854 ] + }, + "RX_SERDES_DATA07": { + "direction": "input", + "bits": [ 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918 ] + }, + "RX_SERDES_DATA08": { + "direction": "input", + "bits": [ 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982 ] + }, + "RX_SERDES_DATA09": { + "direction": "input", + "bits": [ 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046 ] + }, + "RX_SERDES_DATA10": { + "direction": "input", + "bits": [ 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110 ] + }, + "RX_SERDES_DATA11": { + "direction": "input", + "bits": [ 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174 ] + }, + "RX_SERDES_RESET": { + "direction": "input", + "bits": [ 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186 ] + }, + "TX_BCTLIN0": { + "direction": "input", + "bits": [ 5187 ] + }, + "TX_BCTLIN1": { + "direction": "input", + "bits": [ 5188 ] + }, + "TX_BCTLIN2": { + "direction": "input", + "bits": [ 5189 ] + }, + "TX_BCTLIN3": { + "direction": "input", + "bits": [ 5190 ] + }, + "TX_BYPASS_CTRLIN": { + "direction": "input", + "bits": [ 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202 ] + }, + "TX_BYPASS_DATAIN00": { + "direction": "input", + "bits": [ 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266 ] + }, + "TX_BYPASS_DATAIN01": { + "direction": "input", + "bits": [ 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330 ] + }, + "TX_BYPASS_DATAIN02": { + "direction": "input", + "bits": [ 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394 ] + }, + "TX_BYPASS_DATAIN03": { + "direction": "input", + "bits": [ 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458 ] + }, + "TX_BYPASS_DATAIN04": { + "direction": "input", + "bits": [ 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522 ] + }, + "TX_BYPASS_DATAIN05": { + "direction": "input", + "bits": [ 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586 ] + }, + "TX_BYPASS_DATAIN06": { + "direction": "input", + "bits": [ 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650 ] + }, + "TX_BYPASS_DATAIN07": { + "direction": "input", + "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714 ] + }, + "TX_BYPASS_DATAIN08": { + "direction": "input", + "bits": [ 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778 ] + }, + "TX_BYPASS_DATAIN09": { + "direction": "input", + "bits": [ 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820, 5821, 5822, 5823, 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842 ] + }, + "TX_BYPASS_DATAIN10": { + "direction": "input", + "bits": [ 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906 ] + }, + "TX_BYPASS_DATAIN11": { + "direction": "input", + "bits": [ 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948, 5949, 5950, 5951, 5952, 5953, 5954, 5955, 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970 ] + }, + "TX_BYPASS_ENAIN": { + "direction": "input", + "bits": [ 5971 ] + }, + "TX_BYPASS_GEARBOX_SEQIN": { + "direction": "input", + "bits": [ 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979 ] + }, + "TX_BYPASS_MFRAMER_STATEIN": { + "direction": "input", + "bits": [ 5980, 5981, 5982, 5983 ] + }, + "TX_CHANIN0": { + "direction": "input", + "bits": [ 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994 ] + }, + "TX_CHANIN1": { + "direction": "input", + "bits": [ 5995, 5996, 5997, 5998, 5999, 6000, 6001, 6002, 6003, 6004, 6005 ] + }, + "TX_CHANIN2": { + "direction": "input", + "bits": [ 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016 ] + }, + "TX_CHANIN3": { + "direction": "input", + "bits": [ 6017, 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027 ] + }, + "TX_DATAIN0": { + "direction": "input", + "bits": [ 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082, 6083, 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152, 6153, 6154, 6155 ] + }, + "TX_DATAIN1": { + "direction": "input", + "bits": [ 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283 ] + }, + "TX_DATAIN2": { + "direction": "input", + "bits": [ 6284, 6285, 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384, 6385, 6386, 6387, 6388, 6389, 6390, 6391, 6392, 6393, 6394, 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411 ] + }, + "TX_DATAIN3": { + "direction": "input", + "bits": [ 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449, 6450, 6451, 6452, 6453, 6454, 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478, 6479, 6480, 6481, 6482, 6483, 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539 ] + }, + "TX_ENAIN0": { + "direction": "input", + "bits": [ 6540 ] + }, + "TX_ENAIN1": { + "direction": "input", + "bits": [ 6541 ] + }, + "TX_ENAIN2": { + "direction": "input", + "bits": [ 6542 ] + }, + "TX_ENAIN3": { + "direction": "input", + "bits": [ 6543 ] + }, + "TX_EOPIN0": { + "direction": "input", + "bits": [ 6544 ] + }, + "TX_EOPIN1": { + "direction": "input", + "bits": [ 6545 ] + }, + "TX_EOPIN2": { + "direction": "input", + "bits": [ 6546 ] + }, + "TX_EOPIN3": { + "direction": "input", + "bits": [ 6547 ] + }, + "TX_ERRIN0": { + "direction": "input", + "bits": [ 6548 ] + }, + "TX_ERRIN1": { + "direction": "input", + "bits": [ 6549 ] + }, + "TX_ERRIN2": { + "direction": "input", + "bits": [ 6550 ] + }, + "TX_ERRIN3": { + "direction": "input", + "bits": [ 6551 ] + }, + "TX_MTYIN0": { + "direction": "input", + "bits": [ 6552, 6553, 6554, 6555 ] + }, + "TX_MTYIN1": { + "direction": "input", + "bits": [ 6556, 6557, 6558, 6559 ] + }, + "TX_MTYIN2": { + "direction": "input", + "bits": [ 6560, 6561, 6562, 6563 ] + }, + "TX_MTYIN3": { + "direction": "input", + "bits": [ 6564, 6565, 6566, 6567 ] + }, + "TX_RESET": { + "direction": "input", + "bits": [ 6568 ] + }, + "TX_SERDES_REFCLK": { + "direction": "input", + "bits": [ 6569 ] + }, + "TX_SERDES_REFCLK_RESET": { + "direction": "input", + "bits": [ 6570 ] + }, + "TX_SOPIN0": { + "direction": "input", + "bits": [ 6571 ] + }, + "TX_SOPIN1": { + "direction": "input", + "bits": [ 6572 ] + }, + "TX_SOPIN2": { + "direction": "input", + "bits": [ 6573 ] + }, + "TX_SOPIN3": { + "direction": "input", + "bits": [ 6574 ] + } + }, + "cells": { + }, + "netnames": { + "CORE_CLK": { + "hide_name": 0, + "bits": [ 3390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33477.11-33477.19" + } + }, + "CTL_RX_FORCE_RESYNC": { + "hide_name": 0, + "bits": [ 3391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33478.11-33478.30" + } + }, + "CTL_RX_RETRANS_ACK": { + "hide_name": 0, + "bits": [ 3392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33479.11-33479.29" + } + }, + "CTL_RX_RETRANS_ENABLE": { + "hide_name": 0, + "bits": [ 3393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33480.11-33480.32" + } + }, + "CTL_RX_RETRANS_ERRIN": { + "hide_name": 0, + "bits": [ 3394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33481.11-33481.31" + } + }, + "CTL_RX_RETRANS_FORCE_REQ": { + "hide_name": 0, + "bits": [ 3395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33482.11-33482.35" + } + }, + "CTL_RX_RETRANS_RESET": { + "hide_name": 0, + "bits": [ 3396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33483.11-33483.31" + } + }, + "CTL_RX_RETRANS_RESET_MODE": { + "hide_name": 0, + "bits": [ 3397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33484.11-33484.36" + } + }, + "CTL_TX_DIAGWORD_INTFSTAT": { + "hide_name": 0, + "bits": [ 3398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33485.11-33485.35" + } + }, + "CTL_TX_DIAGWORD_LANESTAT": { + "hide_name": 0, + "bits": [ 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33486.18-33486.42" + } + }, + "CTL_TX_ENABLE": { + "hide_name": 0, + "bits": [ 3411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33487.11-33487.24" + } + }, + "CTL_TX_ERRINJ_BITERR_GO": { + "hide_name": 0, + "bits": [ 3412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33488.11-33488.34" + } + }, + "CTL_TX_ERRINJ_BITERR_LANE": { + "hide_name": 0, + "bits": [ 3413, 3414, 3415, 3416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33489.17-33489.42" + } + }, + "CTL_TX_FC_STAT": { + "hide_name": 0, + "bits": [ 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33490.19-33490.33" + } + }, + "CTL_TX_MUBITS": { + "hide_name": 0, + "bits": [ 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33491.17-33491.30" + } + }, + "CTL_TX_RETRANS_ENABLE": { + "hide_name": 0, + "bits": [ 3681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33492.11-33492.32" + } + }, + "CTL_TX_RETRANS_RAM_PERRIN": { + "hide_name": 0, + "bits": [ 3682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33493.11-33493.36" + } + }, + "CTL_TX_RETRANS_RAM_RDATA": { + "hide_name": 0, + "bits": [ 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33494.19-33494.43" + } + }, + "CTL_TX_RETRANS_REQ": { + "hide_name": 0, + "bits": [ 4327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33495.11-33495.29" + } + }, + "CTL_TX_RETRANS_REQ_VALID": { + "hide_name": 0, + "bits": [ 4328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33496.11-33496.35" + } + }, + "CTL_TX_RLIM_DELTA": { + "hide_name": 0, + "bits": [ 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33497.18-33497.35" + } + }, + "CTL_TX_RLIM_ENABLE": { + "hide_name": 0, + "bits": [ 4341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33498.11-33498.29" + } + }, + "CTL_TX_RLIM_INTV": { + "hide_name": 0, + "bits": [ 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33499.17-33499.33" + } + }, + "CTL_TX_RLIM_MAX": { + "hide_name": 0, + "bits": [ 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33500.18-33500.33" + } + }, + "DRP_ADDR": { + "hide_name": 0, + "bits": [ 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33501.17-33501.25" + } + }, + "DRP_CLK": { + "hide_name": 0, + "bits": [ 4372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33502.11-33502.18" + } + }, + "DRP_DI": { + "hide_name": 0, + "bits": [ 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33503.18-33503.24" + } + }, + "DRP_DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33359.19-33359.25" + } + }, + "DRP_EN": { + "hide_name": 0, + "bits": [ 4389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33504.11-33504.17" + } + }, + "DRP_RDY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33360.12-33360.19" + } + }, + "DRP_WE": { + "hide_name": 0, + "bits": [ 4390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33505.11-33505.17" + } + }, + "LBUS_CLK": { + "hide_name": 0, + "bits": [ 4391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33506.11-33506.19" + } + }, + "RX_BYPASS_DATAOUT00": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33361.19-33361.38" + } + }, + "RX_BYPASS_DATAOUT01": { + "hide_name": 0, + "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33362.19-33362.38" + } + }, + "RX_BYPASS_DATAOUT02": { + "hide_name": 0, + "bits": [ 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33363.19-33363.38" + } + }, + "RX_BYPASS_DATAOUT03": { + "hide_name": 0, + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33364.19-33364.38" + } + }, + "RX_BYPASS_DATAOUT04": { + "hide_name": 0, + "bits": [ 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33365.19-33365.38" + } + }, + "RX_BYPASS_DATAOUT05": { + "hide_name": 0, + "bits": [ 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33366.19-33366.38" + } + }, + "RX_BYPASS_DATAOUT06": { + "hide_name": 0, + "bits": [ 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33367.19-33367.38" + } + }, + "RX_BYPASS_DATAOUT07": { + "hide_name": 0, + "bits": [ 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33368.19-33368.38" + } + }, + "RX_BYPASS_DATAOUT08": { + "hide_name": 0, + "bits": [ 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33369.19-33369.38" + } + }, + "RX_BYPASS_DATAOUT09": { + "hide_name": 0, + "bits": [ 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33370.19-33370.38" + } + }, + "RX_BYPASS_DATAOUT10": { + "hide_name": 0, + "bits": [ 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33371.19-33371.38" + } + }, + "RX_BYPASS_DATAOUT11": { + "hide_name": 0, + "bits": [ 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33372.19-33372.38" + } + }, + "RX_BYPASS_ENAOUT": { + "hide_name": 0, + "bits": [ 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33373.19-33373.35" + } + }, + "RX_BYPASS_FORCE_REALIGNIN": { + "hide_name": 0, + "bits": [ 4392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33507.11-33507.36" + } + }, + "RX_BYPASS_IS_AVAILOUT": { + "hide_name": 0, + "bits": [ 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33374.19-33374.40" + } + }, + "RX_BYPASS_IS_BADLYFRAMEDOUT": { + "hide_name": 0, + "bits": [ 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33375.19-33375.46" + } + }, + "RX_BYPASS_IS_OVERFLOWOUT": { + "hide_name": 0, + "bits": [ 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33376.19-33376.43" + } + }, + "RX_BYPASS_IS_SYNCEDOUT": { + "hide_name": 0, + "bits": [ 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33377.19-33377.41" + } + }, + "RX_BYPASS_IS_SYNCWORDOUT": { + "hide_name": 0, + "bits": [ 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33378.19-33378.43" + } + }, + "RX_BYPASS_RDIN": { + "hide_name": 0, + "bits": [ 4393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33508.11-33508.25" + } + }, + "RX_CHANOUT0": { + "hide_name": 0, + "bits": [ 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33379.19-33379.30" + } + }, + "RX_CHANOUT1": { + "hide_name": 0, + "bits": [ 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33380.19-33380.30" + } + }, + "RX_CHANOUT2": { + "hide_name": 0, + "bits": [ 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33381.19-33381.30" + } + }, + "RX_CHANOUT3": { + "hide_name": 0, + "bits": [ 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33382.19-33382.30" + } + }, + "RX_DATAOUT0": { + "hide_name": 0, + "bits": [ 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33383.20-33383.31" + } + }, + "RX_DATAOUT1": { + "hide_name": 0, + "bits": [ 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33384.20-33384.31" + } + }, + "RX_DATAOUT2": { + "hide_name": 0, + "bits": [ 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33385.20-33385.31" + } + }, + "RX_DATAOUT3": { + "hide_name": 0, + "bits": [ 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33386.20-33386.31" + } + }, + "RX_ENAOUT0": { + "hide_name": 0, + "bits": [ 1439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33387.12-33387.22" + } + }, + "RX_ENAOUT1": { + "hide_name": 0, + "bits": [ 1440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33388.12-33388.22" + } + }, + "RX_ENAOUT2": { + "hide_name": 0, + "bits": [ 1441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33389.12-33389.22" + } + }, + "RX_ENAOUT3": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33390.12-33390.22" + } + }, + "RX_EOPOUT0": { + "hide_name": 0, + "bits": [ 1443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33391.12-33391.22" + } + }, + "RX_EOPOUT1": { + "hide_name": 0, + "bits": [ 1444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33392.12-33392.22" + } + }, + "RX_EOPOUT2": { + "hide_name": 0, + "bits": [ 1445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33393.12-33393.22" + } + }, + "RX_EOPOUT3": { + "hide_name": 0, + "bits": [ 1446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33394.12-33394.22" + } + }, + "RX_ERROUT0": { + "hide_name": 0, + "bits": [ 1447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33395.12-33395.22" + } + }, + "RX_ERROUT1": { + "hide_name": 0, + "bits": [ 1448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33396.12-33396.22" + } + }, + "RX_ERROUT2": { + "hide_name": 0, + "bits": [ 1449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33397.12-33397.22" + } + }, + "RX_ERROUT3": { + "hide_name": 0, + "bits": [ 1450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33398.12-33398.22" + } + }, + "RX_MTYOUT0": { + "hide_name": 0, + "bits": [ 1451, 1452, 1453, 1454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33399.18-33399.28" + } + }, + "RX_MTYOUT1": { + "hide_name": 0, + "bits": [ 1455, 1456, 1457, 1458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33400.18-33400.28" + } + }, + "RX_MTYOUT2": { + "hide_name": 0, + "bits": [ 1459, 1460, 1461, 1462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33401.18-33401.28" + } + }, + "RX_MTYOUT3": { + "hide_name": 0, + "bits": [ 1463, 1464, 1465, 1466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33402.18-33402.28" + } + }, + "RX_OVFOUT": { + "hide_name": 0, + "bits": [ 1467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33403.12-33403.21" + } + }, + "RX_RESET": { + "hide_name": 0, + "bits": [ 4394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33509.11-33509.19" + } + }, + "RX_SERDES_CLK": { + "hide_name": 0, + "bits": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33510.18-33510.31" + } + }, + "RX_SERDES_DATA00": { + "hide_name": 0, + "bits": [ 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33511.18-33511.34" + } + }, + "RX_SERDES_DATA01": { + "hide_name": 0, + "bits": [ 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33512.18-33512.34" + } + }, + "RX_SERDES_DATA02": { + "hide_name": 0, + "bits": [ 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33513.18-33513.34" + } + }, + "RX_SERDES_DATA03": { + "hide_name": 0, + "bits": [ 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33514.18-33514.34" + } + }, + "RX_SERDES_DATA04": { + "hide_name": 0, + "bits": [ 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33515.18-33515.34" + } + }, + "RX_SERDES_DATA05": { + "hide_name": 0, + "bits": [ 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33516.18-33516.34" + } + }, + "RX_SERDES_DATA06": { + "hide_name": 0, + "bits": [ 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33517.18-33517.34" + } + }, + "RX_SERDES_DATA07": { + "hide_name": 0, + "bits": [ 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33518.18-33518.34" + } + }, + "RX_SERDES_DATA08": { + "hide_name": 0, + "bits": [ 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33519.18-33519.34" + } + }, + "RX_SERDES_DATA09": { + "hide_name": 0, + "bits": [ 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33520.18-33520.34" + } + }, + "RX_SERDES_DATA10": { + "hide_name": 0, + "bits": [ 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33521.18-33521.34" + } + }, + "RX_SERDES_DATA11": { + "hide_name": 0, + "bits": [ 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33522.18-33522.34" + } + }, + "RX_SERDES_RESET": { + "hide_name": 0, + "bits": [ 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33523.18-33523.33" + } + }, + "RX_SOPOUT0": { + "hide_name": 0, + "bits": [ 1468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33404.12-33404.22" + } + }, + "RX_SOPOUT1": { + "hide_name": 0, + "bits": [ 1469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33405.12-33405.22" + } + }, + "RX_SOPOUT2": { + "hide_name": 0, + "bits": [ 1470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33406.12-33406.22" + } + }, + "RX_SOPOUT3": { + "hide_name": 0, + "bits": [ 1471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33407.12-33407.22" + } + }, + "STAT_RX_ALIGNED": { + "hide_name": 0, + "bits": [ 1472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33408.12-33408.27" + } + }, + "STAT_RX_ALIGNED_ERR": { + "hide_name": 0, + "bits": [ 1473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33409.12-33409.31" + } + }, + "STAT_RX_BAD_TYPE_ERR": { + "hide_name": 0, + "bits": [ 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33410.19-33410.39" + } + }, + "STAT_RX_BURSTMAX_ERR": { + "hide_name": 0, + "bits": [ 1486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33411.12-33411.32" + } + }, + "STAT_RX_BURST_ERR": { + "hide_name": 0, + "bits": [ 1487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33412.12-33412.29" + } + }, + "STAT_RX_CRC24_ERR": { + "hide_name": 0, + "bits": [ 1488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33413.12-33413.29" + } + }, + "STAT_RX_CRC32_ERR": { + "hide_name": 0, + "bits": [ 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33414.19-33414.36" + } + }, + "STAT_RX_CRC32_VALID": { + "hide_name": 0, + "bits": [ 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33415.19-33415.38" + } + }, + "STAT_RX_DESCRAM_ERR": { + "hide_name": 0, + "bits": [ 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33416.19-33416.38" + } + }, + "STAT_RX_DIAGWORD_INTFSTAT": { + "hide_name": 0, + "bits": [ 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33417.19-33417.44" + } + }, + "STAT_RX_DIAGWORD_LANESTAT": { + "hide_name": 0, + "bits": [ 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33418.19-33418.44" + } + }, + "STAT_RX_FC_STAT": { + "hide_name": 0, + "bits": [ 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33419.20-33419.35" + } + }, + "STAT_RX_FRAMING_ERR": { + "hide_name": 0, + "bits": [ 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33420.19-33420.38" + } + }, + "STAT_RX_MEOP_ERR": { + "hide_name": 0, + "bits": [ 1817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33421.12-33421.28" + } + }, + "STAT_RX_MF_ERR": { + "hide_name": 0, + "bits": [ 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33422.19-33422.33" + } + }, + "STAT_RX_MF_LEN_ERR": { + "hide_name": 0, + "bits": [ 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33423.19-33423.37" + } + }, + "STAT_RX_MF_REPEAT_ERR": { + "hide_name": 0, + "bits": [ 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33424.19-33424.40" + } + }, + "STAT_RX_MISALIGNED": { + "hide_name": 0, + "bits": [ 1854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33425.12-33425.30" + } + }, + "STAT_RX_MSOP_ERR": { + "hide_name": 0, + "bits": [ 1855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33426.12-33426.28" + } + }, + "STAT_RX_MUBITS": { + "hide_name": 0, + "bits": [ 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33427.18-33427.32" + } + }, + "STAT_RX_MUBITS_UPDATED": { + "hide_name": 0, + "bits": [ 1864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33428.12-33428.34" + } + }, + "STAT_RX_OVERFLOW_ERR": { + "hide_name": 0, + "bits": [ 1865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33429.12-33429.32" + } + }, + "STAT_RX_RETRANS_CRC24_ERR": { + "hide_name": 0, + "bits": [ 1866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33430.12-33430.37" + } + }, + "STAT_RX_RETRANS_DISC": { + "hide_name": 0, + "bits": [ 1867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33431.12-33431.32" + } + }, + "STAT_RX_RETRANS_LATENCY": { + "hide_name": 0, + "bits": [ 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33432.19-33432.42" + } + }, + "STAT_RX_RETRANS_REQ": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33433.12-33433.31" + } + }, + "STAT_RX_RETRANS_RETRY_ERR": { + "hide_name": 0, + "bits": [ 1885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33434.12-33434.37" + } + }, + "STAT_RX_RETRANS_SEQ": { + "hide_name": 0, + "bits": [ 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33435.18-33435.37" + } + }, + "STAT_RX_RETRANS_SEQ_UPDATED": { + "hide_name": 0, + "bits": [ 1894 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33436.12-33436.39" + } + }, + "STAT_RX_RETRANS_STATE": { + "hide_name": 0, + "bits": [ 1895, 1896, 1897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33437.18-33437.39" + } + }, + "STAT_RX_RETRANS_SUBSEQ": { + "hide_name": 0, + "bits": [ 1898, 1899, 1900, 1901, 1902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33438.18-33438.40" + } + }, + "STAT_RX_RETRANS_WDOG_ERR": { + "hide_name": 0, + "bits": [ 1903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33439.12-33439.36" + } + }, + "STAT_RX_RETRANS_WRAP_ERR": { + "hide_name": 0, + "bits": [ 1904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33440.12-33440.36" + } + }, + "STAT_RX_SYNCED": { + "hide_name": 0, + "bits": [ 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33441.19-33441.33" + } + }, + "STAT_RX_SYNCED_ERR": { + "hide_name": 0, + "bits": [ 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33442.19-33442.37" + } + }, + "STAT_RX_WORD_SYNC": { + "hide_name": 0, + "bits": [ 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33443.19-33443.36" + } + }, + "STAT_TX_BURST_ERR": { + "hide_name": 0, + "bits": [ 1941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33444.12-33444.29" + } + }, + "STAT_TX_ERRINJ_BITERR_DONE": { + "hide_name": 0, + "bits": [ 1942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33445.12-33445.38" + } + }, + "STAT_TX_OVERFLOW_ERR": { + "hide_name": 0, + "bits": [ 1943 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33446.12-33446.32" + } + }, + "STAT_TX_RETRANS_BURST_ERR": { + "hide_name": 0, + "bits": [ 1944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33447.12-33447.37" + } + }, + "STAT_TX_RETRANS_BUSY": { + "hide_name": 0, + "bits": [ 1945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33448.12-33448.32" + } + }, + "STAT_TX_RETRANS_RAM_PERROUT": { + "hide_name": 0, + "bits": [ 1946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33449.12-33449.39" + } + }, + "STAT_TX_RETRANS_RAM_RADDR": { + "hide_name": 0, + "bits": [ 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33450.18-33450.43" + } + }, + "STAT_TX_RETRANS_RAM_RD_B0": { + "hide_name": 0, + "bits": [ 1956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33451.12-33451.37" + } + }, + "STAT_TX_RETRANS_RAM_RD_B1": { + "hide_name": 0, + "bits": [ 1957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33452.12-33452.37" + } + }, + "STAT_TX_RETRANS_RAM_RD_B2": { + "hide_name": 0, + "bits": [ 1958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33453.12-33453.37" + } + }, + "STAT_TX_RETRANS_RAM_RD_B3": { + "hide_name": 0, + "bits": [ 1959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33454.12-33454.37" + } + }, + "STAT_TX_RETRANS_RAM_RSEL": { + "hide_name": 0, + "bits": [ 1960, 1961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33455.18-33455.42" + } + }, + "STAT_TX_RETRANS_RAM_WADDR": { + "hide_name": 0, + "bits": [ 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33456.18-33456.43" + } + }, + "STAT_TX_RETRANS_RAM_WDATA": { + "hide_name": 0, + "bits": [ 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33457.20-33457.45" + } + }, + "STAT_TX_RETRANS_RAM_WE_B0": { + "hide_name": 0, + "bits": [ 2615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33458.12-33458.37" + } + }, + "STAT_TX_RETRANS_RAM_WE_B1": { + "hide_name": 0, + "bits": [ 2616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33459.12-33459.37" + } + }, + "STAT_TX_RETRANS_RAM_WE_B2": { + "hide_name": 0, + "bits": [ 2617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33460.12-33460.37" + } + }, + "STAT_TX_RETRANS_RAM_WE_B3": { + "hide_name": 0, + "bits": [ 2618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33461.12-33461.37" + } + }, + "STAT_TX_UNDERFLOW_ERR": { + "hide_name": 0, + "bits": [ 2619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33462.12-33462.33" + } + }, + "TX_BCTLIN0": { + "hide_name": 0, + "bits": [ 5187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33524.11-33524.21" + } + }, + "TX_BCTLIN1": { + "hide_name": 0, + "bits": [ 5188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33525.11-33525.21" + } + }, + "TX_BCTLIN2": { + "hide_name": 0, + "bits": [ 5189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33526.11-33526.21" + } + }, + "TX_BCTLIN3": { + "hide_name": 0, + "bits": [ 5190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33527.11-33527.21" + } + }, + "TX_BYPASS_CTRLIN": { + "hide_name": 0, + "bits": [ 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33528.18-33528.34" + } + }, + "TX_BYPASS_DATAIN00": { + "hide_name": 0, + "bits": [ 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33529.18-33529.36" + } + }, + "TX_BYPASS_DATAIN01": { + "hide_name": 0, + "bits": [ 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33530.18-33530.36" + } + }, + "TX_BYPASS_DATAIN02": { + "hide_name": 0, + "bits": [ 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33531.18-33531.36" + } + }, + "TX_BYPASS_DATAIN03": { + "hide_name": 0, + "bits": [ 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33532.18-33532.36" + } + }, + "TX_BYPASS_DATAIN04": { + "hide_name": 0, + "bits": [ 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33533.18-33533.36" + } + }, + "TX_BYPASS_DATAIN05": { + "hide_name": 0, + "bits": [ 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33534.18-33534.36" + } + }, + "TX_BYPASS_DATAIN06": { + "hide_name": 0, + "bits": [ 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33535.18-33535.36" + } + }, + "TX_BYPASS_DATAIN07": { + "hide_name": 0, + "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33536.18-33536.36" + } + }, + "TX_BYPASS_DATAIN08": { + "hide_name": 0, + "bits": [ 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33537.18-33537.36" + } + }, + "TX_BYPASS_DATAIN09": { + "hide_name": 0, + "bits": [ 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820, 5821, 5822, 5823, 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33538.18-33538.36" + } + }, + "TX_BYPASS_DATAIN10": { + "hide_name": 0, + "bits": [ 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33539.18-33539.36" + } + }, + "TX_BYPASS_DATAIN11": { + "hide_name": 0, + "bits": [ 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948, 5949, 5950, 5951, 5952, 5953, 5954, 5955, 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33540.18-33540.36" + } + }, + "TX_BYPASS_ENAIN": { + "hide_name": 0, + "bits": [ 5971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33541.11-33541.26" + } + }, + "TX_BYPASS_GEARBOX_SEQIN": { + "hide_name": 0, + "bits": [ 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33542.17-33542.40" + } + }, + "TX_BYPASS_MFRAMER_STATEIN": { + "hide_name": 0, + "bits": [ 5980, 5981, 5982, 5983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33543.17-33543.42" + } + }, + "TX_CHANIN0": { + "hide_name": 0, + "bits": [ 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33544.18-33544.28" + } + }, + "TX_CHANIN1": { + "hide_name": 0, + "bits": [ 5995, 5996, 5997, 5998, 5999, 6000, 6001, 6002, 6003, 6004, 6005 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33545.18-33545.28" + } + }, + "TX_CHANIN2": { + "hide_name": 0, + "bits": [ 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33546.18-33546.28" + } + }, + "TX_CHANIN3": { + "hide_name": 0, + "bits": [ 6017, 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33547.18-33547.28" + } + }, + "TX_DATAIN0": { + "hide_name": 0, + "bits": [ 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082, 6083, 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152, 6153, 6154, 6155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33548.19-33548.29" + } + }, + "TX_DATAIN1": { + "hide_name": 0, + "bits": [ 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33549.19-33549.29" + } + }, + "TX_DATAIN2": { + "hide_name": 0, + "bits": [ 6284, 6285, 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384, 6385, 6386, 6387, 6388, 6389, 6390, 6391, 6392, 6393, 6394, 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33550.19-33550.29" + } + }, + "TX_DATAIN3": { + "hide_name": 0, + "bits": [ 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449, 6450, 6451, 6452, 6453, 6454, 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478, 6479, 6480, 6481, 6482, 6483, 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33551.19-33551.29" + } + }, + "TX_ENAIN0": { + "hide_name": 0, + "bits": [ 6540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33552.11-33552.20" + } + }, + "TX_ENAIN1": { + "hide_name": 0, + "bits": [ 6541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33553.11-33553.20" + } + }, + "TX_ENAIN2": { + "hide_name": 0, + "bits": [ 6542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33554.11-33554.20" + } + }, + "TX_ENAIN3": { + "hide_name": 0, + "bits": [ 6543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33555.11-33555.20" + } + }, + "TX_EOPIN0": { + "hide_name": 0, + "bits": [ 6544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33556.11-33556.20" + } + }, + "TX_EOPIN1": { + "hide_name": 0, + "bits": [ 6545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33557.11-33557.20" + } + }, + "TX_EOPIN2": { + "hide_name": 0, + "bits": [ 6546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33558.11-33558.20" + } + }, + "TX_EOPIN3": { + "hide_name": 0, + "bits": [ 6547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33559.11-33559.20" + } + }, + "TX_ERRIN0": { + "hide_name": 0, + "bits": [ 6548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33560.11-33560.20" + } + }, + "TX_ERRIN1": { + "hide_name": 0, + "bits": [ 6549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33561.11-33561.20" + } + }, + "TX_ERRIN2": { + "hide_name": 0, + "bits": [ 6550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33562.11-33562.20" + } + }, + "TX_ERRIN3": { + "hide_name": 0, + "bits": [ 6551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33563.11-33563.20" + } + }, + "TX_MTYIN0": { + "hide_name": 0, + "bits": [ 6552, 6553, 6554, 6555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33564.17-33564.26" + } + }, + "TX_MTYIN1": { + "hide_name": 0, + "bits": [ 6556, 6557, 6558, 6559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33565.17-33565.26" + } + }, + "TX_MTYIN2": { + "hide_name": 0, + "bits": [ 6560, 6561, 6562, 6563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33566.17-33566.26" + } + }, + "TX_MTYIN3": { + "hide_name": 0, + "bits": [ 6564, 6565, 6566, 6567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33567.17-33567.26" + } + }, + "TX_OVFOUT": { + "hide_name": 0, + "bits": [ 2620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33463.12-33463.21" + } + }, + "TX_RDYOUT": { + "hide_name": 0, + "bits": [ 2621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33464.12-33464.21" + } + }, + "TX_RESET": { + "hide_name": 0, + "bits": [ 6568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33568.11-33568.19" + } + }, + "TX_SERDES_DATA00": { + "hide_name": 0, + "bits": [ 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33465.19-33465.35" + } + }, + "TX_SERDES_DATA01": { + "hide_name": 0, + "bits": [ 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33466.19-33466.35" + } + }, + "TX_SERDES_DATA02": { + "hide_name": 0, + "bits": [ 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33467.19-33467.35" + } + }, + "TX_SERDES_DATA03": { + "hide_name": 0, + "bits": [ 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33468.19-33468.35" + } + }, + "TX_SERDES_DATA04": { + "hide_name": 0, + "bits": [ 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33469.19-33469.35" + } + }, + "TX_SERDES_DATA05": { + "hide_name": 0, + "bits": [ 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33470.19-33470.35" + } + }, + "TX_SERDES_DATA06": { + "hide_name": 0, + "bits": [ 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33471.19-33471.35" + } + }, + "TX_SERDES_DATA07": { + "hide_name": 0, + "bits": [ 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33472.19-33472.35" + } + }, + "TX_SERDES_DATA08": { + "hide_name": 0, + "bits": [ 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33473.19-33473.35" + } + }, + "TX_SERDES_DATA09": { + "hide_name": 0, + "bits": [ 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33474.19-33474.35" + } + }, + "TX_SERDES_DATA10": { + "hide_name": 0, + "bits": [ 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33475.19-33475.35" + } + }, + "TX_SERDES_DATA11": { + "hide_name": 0, + "bits": [ 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33476.19-33476.35" + } + }, + "TX_SERDES_REFCLK": { + "hide_name": 0, + "bits": [ 6569 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33569.11-33569.27" + } + }, + "TX_SERDES_REFCLK_RESET": { + "hide_name": 0, + "bits": [ 6570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33570.11-33570.33" + } + }, + "TX_SOPIN0": { + "hide_name": 0, + "bits": [ 6571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33571.11-33571.20" + } + }, + "TX_SOPIN1": { + "hide_name": 0, + "bits": [ 6572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33572.11-33572.20" + } + }, + "TX_SOPIN2": { + "hide_name": 0, + "bits": [ 6573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33573.11-33573.20" + } + }, + "TX_SOPIN3": { + "hide_name": 0, + "bits": [ 6574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33574.11-33574.20" + } + } + } + }, + "ILKNE4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33577.1-33820.10" + }, + "parameter_default_values": { + "BYPASS": "FALSE", + "CTL_RX_BURSTMAX": "11", + "CTL_RX_CHAN_EXT": "00", + "CTL_RX_LAST_LANE": "1011", + "CTL_RX_MFRAMELEN_MINUS1": "0000011111111111", + "CTL_RX_PACKET_MODE": "FALSE", + "CTL_RX_RETRANS_MULT": "000", + "CTL_RX_RETRANS_RETRY": "0010", + "CTL_RX_RETRANS_TIMER1": "0000000000001001", + "CTL_RX_RETRANS_TIMER2": "0000000000000000", + "CTL_RX_RETRANS_WDOG": "000000000000", + "CTL_RX_RETRANS_WRAP_TIMER": "00000000", + "CTL_TEST_MODE_PIN_CHAR": "FALSE", + "CTL_TX_BURSTMAX": "11", + "CTL_TX_BURSTSHORT": "001", + "CTL_TX_CHAN_EXT": "00", + "CTL_TX_DISABLE_SKIPWORD": "FALSE", + "CTL_TX_FC_CALLEN": "1111", + "CTL_TX_LAST_LANE": "1011", + "CTL_TX_MFRAMELEN_MINUS1": "0000011111111111", + "CTL_TX_RETRANS_DEPTH": "00100000000000", + "CTL_TX_RETRANS_MULT": "000", + "CTL_TX_RETRANS_RAM_BANKS": "11", + "MODE": "TRUE", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "TEST_MODE_PIN_CHAR": "FALSE" + }, + "ports": { + "DRP_DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DRP_RDY": { + "direction": "output", + "bits": [ 18 ] + }, + "RX_BYPASS_DATAOUT00": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ] + }, + "RX_BYPASS_DATAOUT01": { + "direction": "output", + "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ] + }, + "RX_BYPASS_DATAOUT02": { + "direction": "output", + "bits": [ 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216 ] + }, + "RX_BYPASS_DATAOUT03": { + "direction": "output", + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282 ] + }, + "RX_BYPASS_DATAOUT04": { + "direction": "output", + "bits": [ 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348 ] + }, + "RX_BYPASS_DATAOUT05": { + "direction": "output", + "bits": [ 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414 ] + }, + "RX_BYPASS_DATAOUT06": { + "direction": "output", + "bits": [ 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480 ] + }, + "RX_BYPASS_DATAOUT07": { + "direction": "output", + "bits": [ 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546 ] + }, + "RX_BYPASS_DATAOUT08": { + "direction": "output", + "bits": [ 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612 ] + }, + "RX_BYPASS_DATAOUT09": { + "direction": "output", + "bits": [ 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678 ] + }, + "RX_BYPASS_DATAOUT10": { + "direction": "output", + "bits": [ 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744 ] + }, + "RX_BYPASS_DATAOUT11": { + "direction": "output", + "bits": [ 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810 ] + }, + "RX_BYPASS_ENAOUT": { + "direction": "output", + "bits": [ 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822 ] + }, + "RX_BYPASS_IS_AVAILOUT": { + "direction": "output", + "bits": [ 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834 ] + }, + "RX_BYPASS_IS_BADLYFRAMEDOUT": { + "direction": "output", + "bits": [ 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846 ] + }, + "RX_BYPASS_IS_OVERFLOWOUT": { + "direction": "output", + "bits": [ 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858 ] + }, + "RX_BYPASS_IS_SYNCEDOUT": { + "direction": "output", + "bits": [ 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870 ] + }, + "RX_BYPASS_IS_SYNCWORDOUT": { + "direction": "output", + "bits": [ 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882 ] + }, + "RX_CHANOUT0": { + "direction": "output", + "bits": [ 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893 ] + }, + "RX_CHANOUT1": { + "direction": "output", + "bits": [ 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904 ] + }, + "RX_CHANOUT2": { + "direction": "output", + "bits": [ 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915 ] + }, + "RX_CHANOUT3": { + "direction": "output", + "bits": [ 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926 ] + }, + "RX_DATAOUT0": { + "direction": "output", + "bits": [ 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054 ] + }, + "RX_DATAOUT1": { + "direction": "output", + "bits": [ 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182 ] + }, + "RX_DATAOUT2": { + "direction": "output", + "bits": [ 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310 ] + }, + "RX_DATAOUT3": { + "direction": "output", + "bits": [ 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438 ] + }, + "RX_ENAOUT0": { + "direction": "output", + "bits": [ 1439 ] + }, + "RX_ENAOUT1": { + "direction": "output", + "bits": [ 1440 ] + }, + "RX_ENAOUT2": { + "direction": "output", + "bits": [ 1441 ] + }, + "RX_ENAOUT3": { + "direction": "output", + "bits": [ 1442 ] + }, + "RX_EOPOUT0": { + "direction": "output", + "bits": [ 1443 ] + }, + "RX_EOPOUT1": { + "direction": "output", + "bits": [ 1444 ] + }, + "RX_EOPOUT2": { + "direction": "output", + "bits": [ 1445 ] + }, + "RX_EOPOUT3": { + "direction": "output", + "bits": [ 1446 ] + }, + "RX_ERROUT0": { + "direction": "output", + "bits": [ 1447 ] + }, + "RX_ERROUT1": { + "direction": "output", + "bits": [ 1448 ] + }, + "RX_ERROUT2": { + "direction": "output", + "bits": [ 1449 ] + }, + "RX_ERROUT3": { + "direction": "output", + "bits": [ 1450 ] + }, + "RX_MTYOUT0": { + "direction": "output", + "bits": [ 1451, 1452, 1453, 1454 ] + }, + "RX_MTYOUT1": { + "direction": "output", + "bits": [ 1455, 1456, 1457, 1458 ] + }, + "RX_MTYOUT2": { + "direction": "output", + "bits": [ 1459, 1460, 1461, 1462 ] + }, + "RX_MTYOUT3": { + "direction": "output", + "bits": [ 1463, 1464, 1465, 1466 ] + }, + "RX_OVFOUT": { + "direction": "output", + "bits": [ 1467 ] + }, + "RX_SOPOUT0": { + "direction": "output", + "bits": [ 1468 ] + }, + "RX_SOPOUT1": { + "direction": "output", + "bits": [ 1469 ] + }, + "RX_SOPOUT2": { + "direction": "output", + "bits": [ 1470 ] + }, + "RX_SOPOUT3": { + "direction": "output", + "bits": [ 1471 ] + }, + "STAT_RX_ALIGNED": { + "direction": "output", + "bits": [ 1472 ] + }, + "STAT_RX_ALIGNED_ERR": { + "direction": "output", + "bits": [ 1473 ] + }, + "STAT_RX_BAD_TYPE_ERR": { + "direction": "output", + "bits": [ 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485 ] + }, + "STAT_RX_BURSTMAX_ERR": { + "direction": "output", + "bits": [ 1486 ] + }, + "STAT_RX_BURST_ERR": { + "direction": "output", + "bits": [ 1487 ] + }, + "STAT_RX_CRC24_ERR": { + "direction": "output", + "bits": [ 1488 ] + }, + "STAT_RX_CRC32_ERR": { + "direction": "output", + "bits": [ 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500 ] + }, + "STAT_RX_CRC32_VALID": { + "direction": "output", + "bits": [ 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512 ] + }, + "STAT_RX_DESCRAM_ERR": { + "direction": "output", + "bits": [ 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524 ] + }, + "STAT_RX_DIAGWORD_INTFSTAT": { + "direction": "output", + "bits": [ 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536 ] + }, + "STAT_RX_DIAGWORD_LANESTAT": { + "direction": "output", + "bits": [ 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548 ] + }, + "STAT_RX_FC_STAT": { + "direction": "output", + "bits": [ 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804 ] + }, + "STAT_RX_FRAMING_ERR": { + "direction": "output", + "bits": [ 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816 ] + }, + "STAT_RX_MEOP_ERR": { + "direction": "output", + "bits": [ 1817 ] + }, + "STAT_RX_MF_ERR": { + "direction": "output", + "bits": [ 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829 ] + }, + "STAT_RX_MF_LEN_ERR": { + "direction": "output", + "bits": [ 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841 ] + }, + "STAT_RX_MF_REPEAT_ERR": { + "direction": "output", + "bits": [ 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853 ] + }, + "STAT_RX_MISALIGNED": { + "direction": "output", + "bits": [ 1854 ] + }, + "STAT_RX_MSOP_ERR": { + "direction": "output", + "bits": [ 1855 ] + }, + "STAT_RX_MUBITS": { + "direction": "output", + "bits": [ 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863 ] + }, + "STAT_RX_MUBITS_UPDATED": { + "direction": "output", + "bits": [ 1864 ] + }, + "STAT_RX_OVERFLOW_ERR": { + "direction": "output", + "bits": [ 1865 ] + }, + "STAT_RX_RETRANS_CRC24_ERR": { + "direction": "output", + "bits": [ 1866 ] + }, + "STAT_RX_RETRANS_DISC": { + "direction": "output", + "bits": [ 1867 ] + }, + "STAT_RX_RETRANS_LATENCY": { + "direction": "output", + "bits": [ 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883 ] + }, + "STAT_RX_RETRANS_REQ": { + "direction": "output", + "bits": [ 1884 ] + }, + "STAT_RX_RETRANS_RETRY_ERR": { + "direction": "output", + "bits": [ 1885 ] + }, + "STAT_RX_RETRANS_SEQ": { + "direction": "output", + "bits": [ 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893 ] + }, + "STAT_RX_RETRANS_SEQ_UPDATED": { + "direction": "output", + "bits": [ 1894 ] + }, + "STAT_RX_RETRANS_STATE": { + "direction": "output", + "bits": [ 1895, 1896, 1897 ] + }, + "STAT_RX_RETRANS_SUBSEQ": { + "direction": "output", + "bits": [ 1898, 1899, 1900, 1901, 1902 ] + }, + "STAT_RX_RETRANS_WDOG_ERR": { + "direction": "output", + "bits": [ 1903 ] + }, + "STAT_RX_RETRANS_WRAP_ERR": { + "direction": "output", + "bits": [ 1904 ] + }, + "STAT_RX_SYNCED": { + "direction": "output", + "bits": [ 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916 ] + }, + "STAT_RX_SYNCED_ERR": { + "direction": "output", + "bits": [ 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928 ] + }, + "STAT_RX_WORD_SYNC": { + "direction": "output", + "bits": [ 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940 ] + }, + "STAT_TX_BURST_ERR": { + "direction": "output", + "bits": [ 1941 ] + }, + "STAT_TX_ERRINJ_BITERR_DONE": { + "direction": "output", + "bits": [ 1942 ] + }, + "STAT_TX_OVERFLOW_ERR": { + "direction": "output", + "bits": [ 1943 ] + }, + "STAT_TX_RETRANS_BURST_ERR": { + "direction": "output", + "bits": [ 1944 ] + }, + "STAT_TX_RETRANS_BUSY": { + "direction": "output", + "bits": [ 1945 ] + }, + "STAT_TX_RETRANS_RAM_PERROUT": { + "direction": "output", + "bits": [ 1946 ] + }, + "STAT_TX_RETRANS_RAM_RADDR": { + "direction": "output", + "bits": [ 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955 ] + }, + "STAT_TX_RETRANS_RAM_RD_B0": { + "direction": "output", + "bits": [ 1956 ] + }, + "STAT_TX_RETRANS_RAM_RD_B1": { + "direction": "output", + "bits": [ 1957 ] + }, + "STAT_TX_RETRANS_RAM_RD_B2": { + "direction": "output", + "bits": [ 1958 ] + }, + "STAT_TX_RETRANS_RAM_RD_B3": { + "direction": "output", + "bits": [ 1959 ] + }, + "STAT_TX_RETRANS_RAM_RSEL": { + "direction": "output", + "bits": [ 1960, 1961 ] + }, + "STAT_TX_RETRANS_RAM_WADDR": { + "direction": "output", + "bits": [ 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970 ] + }, + "STAT_TX_RETRANS_RAM_WDATA": { + "direction": "output", + "bits": [ 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614 ] + }, + "STAT_TX_RETRANS_RAM_WE_B0": { + "direction": "output", + "bits": [ 2615 ] + }, + "STAT_TX_RETRANS_RAM_WE_B1": { + "direction": "output", + "bits": [ 2616 ] + }, + "STAT_TX_RETRANS_RAM_WE_B2": { + "direction": "output", + "bits": [ 2617 ] + }, + "STAT_TX_RETRANS_RAM_WE_B3": { + "direction": "output", + "bits": [ 2618 ] + }, + "STAT_TX_UNDERFLOW_ERR": { + "direction": "output", + "bits": [ 2619 ] + }, + "TX_OVFOUT": { + "direction": "output", + "bits": [ 2620 ] + }, + "TX_RDYOUT": { + "direction": "output", + "bits": [ 2621 ] + }, + "TX_SERDES_DATA00": { + "direction": "output", + "bits": [ 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685 ] + }, + "TX_SERDES_DATA01": { + "direction": "output", + "bits": [ 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749 ] + }, + "TX_SERDES_DATA02": { + "direction": "output", + "bits": [ 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813 ] + }, + "TX_SERDES_DATA03": { + "direction": "output", + "bits": [ 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877 ] + }, + "TX_SERDES_DATA04": { + "direction": "output", + "bits": [ 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941 ] + }, + "TX_SERDES_DATA05": { + "direction": "output", + "bits": [ 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005 ] + }, + "TX_SERDES_DATA06": { + "direction": "output", + "bits": [ 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069 ] + }, + "TX_SERDES_DATA07": { + "direction": "output", + "bits": [ 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133 ] + }, + "TX_SERDES_DATA08": { + "direction": "output", + "bits": [ 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197 ] + }, + "TX_SERDES_DATA09": { + "direction": "output", + "bits": [ 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261 ] + }, + "TX_SERDES_DATA10": { + "direction": "output", + "bits": [ 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325 ] + }, + "TX_SERDES_DATA11": { + "direction": "output", + "bits": [ 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389 ] + }, + "CORE_CLK": { + "direction": "input", + "bits": [ 3390 ] + }, + "CTL_RX_FORCE_RESYNC": { + "direction": "input", + "bits": [ 3391 ] + }, + "CTL_RX_RETRANS_ACK": { + "direction": "input", + "bits": [ 3392 ] + }, + "CTL_RX_RETRANS_ENABLE": { + "direction": "input", + "bits": [ 3393 ] + }, + "CTL_RX_RETRANS_ERRIN": { + "direction": "input", + "bits": [ 3394 ] + }, + "CTL_RX_RETRANS_FORCE_REQ": { + "direction": "input", + "bits": [ 3395 ] + }, + "CTL_RX_RETRANS_RESET": { + "direction": "input", + "bits": [ 3396 ] + }, + "CTL_RX_RETRANS_RESET_MODE": { + "direction": "input", + "bits": [ 3397 ] + }, + "CTL_TX_DIAGWORD_INTFSTAT": { + "direction": "input", + "bits": [ 3398 ] + }, + "CTL_TX_DIAGWORD_LANESTAT": { + "direction": "input", + "bits": [ 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410 ] + }, + "CTL_TX_ENABLE": { + "direction": "input", + "bits": [ 3411 ] + }, + "CTL_TX_ERRINJ_BITERR_GO": { + "direction": "input", + "bits": [ 3412 ] + }, + "CTL_TX_ERRINJ_BITERR_LANE": { + "direction": "input", + "bits": [ 3413, 3414, 3415, 3416 ] + }, + "CTL_TX_FC_STAT": { + "direction": "input", + "bits": [ 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672 ] + }, + "CTL_TX_MUBITS": { + "direction": "input", + "bits": [ 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680 ] + }, + "CTL_TX_RETRANS_ENABLE": { + "direction": "input", + "bits": [ 3681 ] + }, + "CTL_TX_RETRANS_RAM_PERRIN": { + "direction": "input", + "bits": [ 3682 ] + }, + "CTL_TX_RETRANS_RAM_RDATA": { + "direction": "input", + "bits": [ 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326 ] + }, + "CTL_TX_RETRANS_REQ": { + "direction": "input", + "bits": [ 4327 ] + }, + "CTL_TX_RETRANS_REQ_VALID": { + "direction": "input", + "bits": [ 4328 ] + }, + "CTL_TX_RLIM_DELTA": { + "direction": "input", + "bits": [ 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340 ] + }, + "CTL_TX_RLIM_ENABLE": { + "direction": "input", + "bits": [ 4341 ] + }, + "CTL_TX_RLIM_INTV": { + "direction": "input", + "bits": [ 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349 ] + }, + "CTL_TX_RLIM_MAX": { + "direction": "input", + "bits": [ 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361 ] + }, + "DRP_ADDR": { + "direction": "input", + "bits": [ 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371 ] + }, + "DRP_CLK": { + "direction": "input", + "bits": [ 4372 ] + }, + "DRP_DI": { + "direction": "input", + "bits": [ 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388 ] + }, + "DRP_EN": { + "direction": "input", + "bits": [ 4389 ] + }, + "DRP_WE": { + "direction": "input", + "bits": [ 4390 ] + }, + "LBUS_CLK": { + "direction": "input", + "bits": [ 4391 ] + }, + "RX_BYPASS_FORCE_REALIGNIN": { + "direction": "input", + "bits": [ 4392 ] + }, + "RX_BYPASS_RDIN": { + "direction": "input", + "bits": [ 4393 ] + }, + "RX_RESET": { + "direction": "input", + "bits": [ 4394 ] + }, + "RX_SERDES_CLK": { + "direction": "input", + "bits": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406 ] + }, + "RX_SERDES_DATA00": { + "direction": "input", + "bits": [ 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470 ] + }, + "RX_SERDES_DATA01": { + "direction": "input", + "bits": [ 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534 ] + }, + "RX_SERDES_DATA02": { + "direction": "input", + "bits": [ 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598 ] + }, + "RX_SERDES_DATA03": { + "direction": "input", + "bits": [ 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662 ] + }, + "RX_SERDES_DATA04": { + "direction": "input", + "bits": [ 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726 ] + }, + "RX_SERDES_DATA05": { + "direction": "input", + "bits": [ 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790 ] + }, + "RX_SERDES_DATA06": { + "direction": "input", + "bits": [ 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854 ] + }, + "RX_SERDES_DATA07": { + "direction": "input", + "bits": [ 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918 ] + }, + "RX_SERDES_DATA08": { + "direction": "input", + "bits": [ 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982 ] + }, + "RX_SERDES_DATA09": { + "direction": "input", + "bits": [ 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046 ] + }, + "RX_SERDES_DATA10": { + "direction": "input", + "bits": [ 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110 ] + }, + "RX_SERDES_DATA11": { + "direction": "input", + "bits": [ 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174 ] + }, + "RX_SERDES_RESET": { + "direction": "input", + "bits": [ 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186 ] + }, + "TX_BCTLIN0": { + "direction": "input", + "bits": [ 5187 ] + }, + "TX_BCTLIN1": { + "direction": "input", + "bits": [ 5188 ] + }, + "TX_BCTLIN2": { + "direction": "input", + "bits": [ 5189 ] + }, + "TX_BCTLIN3": { + "direction": "input", + "bits": [ 5190 ] + }, + "TX_BYPASS_CTRLIN": { + "direction": "input", + "bits": [ 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202 ] + }, + "TX_BYPASS_DATAIN00": { + "direction": "input", + "bits": [ 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266 ] + }, + "TX_BYPASS_DATAIN01": { + "direction": "input", + "bits": [ 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330 ] + }, + "TX_BYPASS_DATAIN02": { + "direction": "input", + "bits": [ 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394 ] + }, + "TX_BYPASS_DATAIN03": { + "direction": "input", + "bits": [ 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458 ] + }, + "TX_BYPASS_DATAIN04": { + "direction": "input", + "bits": [ 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522 ] + }, + "TX_BYPASS_DATAIN05": { + "direction": "input", + "bits": [ 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586 ] + }, + "TX_BYPASS_DATAIN06": { + "direction": "input", + "bits": [ 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650 ] + }, + "TX_BYPASS_DATAIN07": { + "direction": "input", + "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714 ] + }, + "TX_BYPASS_DATAIN08": { + "direction": "input", + "bits": [ 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778 ] + }, + "TX_BYPASS_DATAIN09": { + "direction": "input", + "bits": [ 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820, 5821, 5822, 5823, 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842 ] + }, + "TX_BYPASS_DATAIN10": { + "direction": "input", + "bits": [ 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906 ] + }, + "TX_BYPASS_DATAIN11": { + "direction": "input", + "bits": [ 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948, 5949, 5950, 5951, 5952, 5953, 5954, 5955, 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970 ] + }, + "TX_BYPASS_ENAIN": { + "direction": "input", + "bits": [ 5971 ] + }, + "TX_BYPASS_GEARBOX_SEQIN": { + "direction": "input", + "bits": [ 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979 ] + }, + "TX_BYPASS_MFRAMER_STATEIN": { + "direction": "input", + "bits": [ 5980, 5981, 5982, 5983 ] + }, + "TX_CHANIN0": { + "direction": "input", + "bits": [ 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994 ] + }, + "TX_CHANIN1": { + "direction": "input", + "bits": [ 5995, 5996, 5997, 5998, 5999, 6000, 6001, 6002, 6003, 6004, 6005 ] + }, + "TX_CHANIN2": { + "direction": "input", + "bits": [ 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016 ] + }, + "TX_CHANIN3": { + "direction": "input", + "bits": [ 6017, 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027 ] + }, + "TX_DATAIN0": { + "direction": "input", + "bits": [ 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082, 6083, 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152, 6153, 6154, 6155 ] + }, + "TX_DATAIN1": { + "direction": "input", + "bits": [ 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283 ] + }, + "TX_DATAIN2": { + "direction": "input", + "bits": [ 6284, 6285, 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384, 6385, 6386, 6387, 6388, 6389, 6390, 6391, 6392, 6393, 6394, 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411 ] + }, + "TX_DATAIN3": { + "direction": "input", + "bits": [ 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449, 6450, 6451, 6452, 6453, 6454, 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478, 6479, 6480, 6481, 6482, 6483, 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539 ] + }, + "TX_ENAIN0": { + "direction": "input", + "bits": [ 6540 ] + }, + "TX_ENAIN1": { + "direction": "input", + "bits": [ 6541 ] + }, + "TX_ENAIN2": { + "direction": "input", + "bits": [ 6542 ] + }, + "TX_ENAIN3": { + "direction": "input", + "bits": [ 6543 ] + }, + "TX_EOPIN0": { + "direction": "input", + "bits": [ 6544 ] + }, + "TX_EOPIN1": { + "direction": "input", + "bits": [ 6545 ] + }, + "TX_EOPIN2": { + "direction": "input", + "bits": [ 6546 ] + }, + "TX_EOPIN3": { + "direction": "input", + "bits": [ 6547 ] + }, + "TX_ERRIN0": { + "direction": "input", + "bits": [ 6548 ] + }, + "TX_ERRIN1": { + "direction": "input", + "bits": [ 6549 ] + }, + "TX_ERRIN2": { + "direction": "input", + "bits": [ 6550 ] + }, + "TX_ERRIN3": { + "direction": "input", + "bits": [ 6551 ] + }, + "TX_MTYIN0": { + "direction": "input", + "bits": [ 6552, 6553, 6554, 6555 ] + }, + "TX_MTYIN1": { + "direction": "input", + "bits": [ 6556, 6557, 6558, 6559 ] + }, + "TX_MTYIN2": { + "direction": "input", + "bits": [ 6560, 6561, 6562, 6563 ] + }, + "TX_MTYIN3": { + "direction": "input", + "bits": [ 6564, 6565, 6566, 6567 ] + }, + "TX_RESET": { + "direction": "input", + "bits": [ 6568 ] + }, + "TX_SERDES_REFCLK": { + "direction": "input", + "bits": [ 6569 ] + }, + "TX_SERDES_REFCLK_RESET": { + "direction": "input", + "bits": [ 6570 ] + }, + "TX_SOPIN0": { + "direction": "input", + "bits": [ 6571 ] + }, + "TX_SOPIN1": { + "direction": "input", + "bits": [ 6572 ] + }, + "TX_SOPIN2": { + "direction": "input", + "bits": [ 6573 ] + }, + "TX_SOPIN3": { + "direction": "input", + "bits": [ 6574 ] + } + }, + "cells": { + }, + "netnames": { + "CORE_CLK": { + "hide_name": 0, + "bits": [ 3390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33722.11-33722.19" + } + }, + "CTL_RX_FORCE_RESYNC": { + "hide_name": 0, + "bits": [ 3391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33723.11-33723.30" + } + }, + "CTL_RX_RETRANS_ACK": { + "hide_name": 0, + "bits": [ 3392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33724.11-33724.29" + } + }, + "CTL_RX_RETRANS_ENABLE": { + "hide_name": 0, + "bits": [ 3393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33725.11-33725.32" + } + }, + "CTL_RX_RETRANS_ERRIN": { + "hide_name": 0, + "bits": [ 3394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33726.11-33726.31" + } + }, + "CTL_RX_RETRANS_FORCE_REQ": { + "hide_name": 0, + "bits": [ 3395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33727.11-33727.35" + } + }, + "CTL_RX_RETRANS_RESET": { + "hide_name": 0, + "bits": [ 3396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33728.11-33728.31" + } + }, + "CTL_RX_RETRANS_RESET_MODE": { + "hide_name": 0, + "bits": [ 3397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33729.11-33729.36" + } + }, + "CTL_TX_DIAGWORD_INTFSTAT": { + "hide_name": 0, + "bits": [ 3398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33730.11-33730.35" + } + }, + "CTL_TX_DIAGWORD_LANESTAT": { + "hide_name": 0, + "bits": [ 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33731.18-33731.42" + } + }, + "CTL_TX_ENABLE": { + "hide_name": 0, + "bits": [ 3411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33732.11-33732.24" + } + }, + "CTL_TX_ERRINJ_BITERR_GO": { + "hide_name": 0, + "bits": [ 3412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33733.11-33733.34" + } + }, + "CTL_TX_ERRINJ_BITERR_LANE": { + "hide_name": 0, + "bits": [ 3413, 3414, 3415, 3416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33734.17-33734.42" + } + }, + "CTL_TX_FC_STAT": { + "hide_name": 0, + "bits": [ 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33735.19-33735.33" + } + }, + "CTL_TX_MUBITS": { + "hide_name": 0, + "bits": [ 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33736.17-33736.30" + } + }, + "CTL_TX_RETRANS_ENABLE": { + "hide_name": 0, + "bits": [ 3681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33737.11-33737.32" + } + }, + "CTL_TX_RETRANS_RAM_PERRIN": { + "hide_name": 0, + "bits": [ 3682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33738.11-33738.36" + } + }, + "CTL_TX_RETRANS_RAM_RDATA": { + "hide_name": 0, + "bits": [ 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33739.19-33739.43" + } + }, + "CTL_TX_RETRANS_REQ": { + "hide_name": 0, + "bits": [ 4327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33740.11-33740.29" + } + }, + "CTL_TX_RETRANS_REQ_VALID": { + "hide_name": 0, + "bits": [ 4328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33741.11-33741.35" + } + }, + "CTL_TX_RLIM_DELTA": { + "hide_name": 0, + "bits": [ 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33742.18-33742.35" + } + }, + "CTL_TX_RLIM_ENABLE": { + "hide_name": 0, + "bits": [ 4341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33743.11-33743.29" + } + }, + "CTL_TX_RLIM_INTV": { + "hide_name": 0, + "bits": [ 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33744.17-33744.33" + } + }, + "CTL_TX_RLIM_MAX": { + "hide_name": 0, + "bits": [ 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33745.18-33745.33" + } + }, + "DRP_ADDR": { + "hide_name": 0, + "bits": [ 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33746.17-33746.25" + } + }, + "DRP_CLK": { + "hide_name": 0, + "bits": [ 4372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33747.11-33747.18" + } + }, + "DRP_DI": { + "hide_name": 0, + "bits": [ 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33748.18-33748.24" + } + }, + "DRP_DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33604.19-33604.25" + } + }, + "DRP_EN": { + "hide_name": 0, + "bits": [ 4389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33749.11-33749.17" + } + }, + "DRP_RDY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33605.12-33605.19" + } + }, + "DRP_WE": { + "hide_name": 0, + "bits": [ 4390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33750.11-33750.17" + } + }, + "LBUS_CLK": { + "hide_name": 0, + "bits": [ 4391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33751.11-33751.19" + } + }, + "RX_BYPASS_DATAOUT00": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33606.19-33606.38" + } + }, + "RX_BYPASS_DATAOUT01": { + "hide_name": 0, + "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33607.19-33607.38" + } + }, + "RX_BYPASS_DATAOUT02": { + "hide_name": 0, + "bits": [ 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33608.19-33608.38" + } + }, + "RX_BYPASS_DATAOUT03": { + "hide_name": 0, + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33609.19-33609.38" + } + }, + "RX_BYPASS_DATAOUT04": { + "hide_name": 0, + "bits": [ 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33610.19-33610.38" + } + }, + "RX_BYPASS_DATAOUT05": { + "hide_name": 0, + "bits": [ 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33611.19-33611.38" + } + }, + "RX_BYPASS_DATAOUT06": { + "hide_name": 0, + "bits": [ 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33612.19-33612.38" + } + }, + "RX_BYPASS_DATAOUT07": { + "hide_name": 0, + "bits": [ 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33613.19-33613.38" + } + }, + "RX_BYPASS_DATAOUT08": { + "hide_name": 0, + "bits": [ 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33614.19-33614.38" + } + }, + "RX_BYPASS_DATAOUT09": { + "hide_name": 0, + "bits": [ 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33615.19-33615.38" + } + }, + "RX_BYPASS_DATAOUT10": { + "hide_name": 0, + "bits": [ 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33616.19-33616.38" + } + }, + "RX_BYPASS_DATAOUT11": { + "hide_name": 0, + "bits": [ 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33617.19-33617.38" + } + }, + "RX_BYPASS_ENAOUT": { + "hide_name": 0, + "bits": [ 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33618.19-33618.35" + } + }, + "RX_BYPASS_FORCE_REALIGNIN": { + "hide_name": 0, + "bits": [ 4392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33752.11-33752.36" + } + }, + "RX_BYPASS_IS_AVAILOUT": { + "hide_name": 0, + "bits": [ 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33619.19-33619.40" + } + }, + "RX_BYPASS_IS_BADLYFRAMEDOUT": { + "hide_name": 0, + "bits": [ 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33620.19-33620.46" + } + }, + "RX_BYPASS_IS_OVERFLOWOUT": { + "hide_name": 0, + "bits": [ 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33621.19-33621.43" + } + }, + "RX_BYPASS_IS_SYNCEDOUT": { + "hide_name": 0, + "bits": [ 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33622.19-33622.41" + } + }, + "RX_BYPASS_IS_SYNCWORDOUT": { + "hide_name": 0, + "bits": [ 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33623.19-33623.43" + } + }, + "RX_BYPASS_RDIN": { + "hide_name": 0, + "bits": [ 4393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33753.11-33753.25" + } + }, + "RX_CHANOUT0": { + "hide_name": 0, + "bits": [ 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33624.19-33624.30" + } + }, + "RX_CHANOUT1": { + "hide_name": 0, + "bits": [ 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33625.19-33625.30" + } + }, + "RX_CHANOUT2": { + "hide_name": 0, + "bits": [ 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33626.19-33626.30" + } + }, + "RX_CHANOUT3": { + "hide_name": 0, + "bits": [ 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33627.19-33627.30" + } + }, + "RX_DATAOUT0": { + "hide_name": 0, + "bits": [ 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33628.20-33628.31" + } + }, + "RX_DATAOUT1": { + "hide_name": 0, + "bits": [ 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33629.20-33629.31" + } + }, + "RX_DATAOUT2": { + "hide_name": 0, + "bits": [ 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33630.20-33630.31" + } + }, + "RX_DATAOUT3": { + "hide_name": 0, + "bits": [ 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33631.20-33631.31" + } + }, + "RX_ENAOUT0": { + "hide_name": 0, + "bits": [ 1439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33632.12-33632.22" + } + }, + "RX_ENAOUT1": { + "hide_name": 0, + "bits": [ 1440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33633.12-33633.22" + } + }, + "RX_ENAOUT2": { + "hide_name": 0, + "bits": [ 1441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33634.12-33634.22" + } + }, + "RX_ENAOUT3": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33635.12-33635.22" + } + }, + "RX_EOPOUT0": { + "hide_name": 0, + "bits": [ 1443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33636.12-33636.22" + } + }, + "RX_EOPOUT1": { + "hide_name": 0, + "bits": [ 1444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33637.12-33637.22" + } + }, + "RX_EOPOUT2": { + "hide_name": 0, + "bits": [ 1445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33638.12-33638.22" + } + }, + "RX_EOPOUT3": { + "hide_name": 0, + "bits": [ 1446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33639.12-33639.22" + } + }, + "RX_ERROUT0": { + "hide_name": 0, + "bits": [ 1447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33640.12-33640.22" + } + }, + "RX_ERROUT1": { + "hide_name": 0, + "bits": [ 1448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33641.12-33641.22" + } + }, + "RX_ERROUT2": { + "hide_name": 0, + "bits": [ 1449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33642.12-33642.22" + } + }, + "RX_ERROUT3": { + "hide_name": 0, + "bits": [ 1450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33643.12-33643.22" + } + }, + "RX_MTYOUT0": { + "hide_name": 0, + "bits": [ 1451, 1452, 1453, 1454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33644.18-33644.28" + } + }, + "RX_MTYOUT1": { + "hide_name": 0, + "bits": [ 1455, 1456, 1457, 1458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33645.18-33645.28" + } + }, + "RX_MTYOUT2": { + "hide_name": 0, + "bits": [ 1459, 1460, 1461, 1462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33646.18-33646.28" + } + }, + "RX_MTYOUT3": { + "hide_name": 0, + "bits": [ 1463, 1464, 1465, 1466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33647.18-33647.28" + } + }, + "RX_OVFOUT": { + "hide_name": 0, + "bits": [ 1467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33648.12-33648.21" + } + }, + "RX_RESET": { + "hide_name": 0, + "bits": [ 4394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33754.11-33754.19" + } + }, + "RX_SERDES_CLK": { + "hide_name": 0, + "bits": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33755.18-33755.31" + } + }, + "RX_SERDES_DATA00": { + "hide_name": 0, + "bits": [ 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33756.18-33756.34" + } + }, + "RX_SERDES_DATA01": { + "hide_name": 0, + "bits": [ 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33757.18-33757.34" + } + }, + "RX_SERDES_DATA02": { + "hide_name": 0, + "bits": [ 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33758.18-33758.34" + } + }, + "RX_SERDES_DATA03": { + "hide_name": 0, + "bits": [ 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33759.18-33759.34" + } + }, + "RX_SERDES_DATA04": { + "hide_name": 0, + "bits": [ 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33760.18-33760.34" + } + }, + "RX_SERDES_DATA05": { + "hide_name": 0, + "bits": [ 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33761.18-33761.34" + } + }, + "RX_SERDES_DATA06": { + "hide_name": 0, + "bits": [ 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33762.18-33762.34" + } + }, + "RX_SERDES_DATA07": { + "hide_name": 0, + "bits": [ 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33763.18-33763.34" + } + }, + "RX_SERDES_DATA08": { + "hide_name": 0, + "bits": [ 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33764.18-33764.34" + } + }, + "RX_SERDES_DATA09": { + "hide_name": 0, + "bits": [ 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33765.18-33765.34" + } + }, + "RX_SERDES_DATA10": { + "hide_name": 0, + "bits": [ 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33766.18-33766.34" + } + }, + "RX_SERDES_DATA11": { + "hide_name": 0, + "bits": [ 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33767.18-33767.34" + } + }, + "RX_SERDES_RESET": { + "hide_name": 0, + "bits": [ 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33768.18-33768.33" + } + }, + "RX_SOPOUT0": { + "hide_name": 0, + "bits": [ 1468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33649.12-33649.22" + } + }, + "RX_SOPOUT1": { + "hide_name": 0, + "bits": [ 1469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33650.12-33650.22" + } + }, + "RX_SOPOUT2": { + "hide_name": 0, + "bits": [ 1470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33651.12-33651.22" + } + }, + "RX_SOPOUT3": { + "hide_name": 0, + "bits": [ 1471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33652.12-33652.22" + } + }, + "STAT_RX_ALIGNED": { + "hide_name": 0, + "bits": [ 1472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33653.12-33653.27" + } + }, + "STAT_RX_ALIGNED_ERR": { + "hide_name": 0, + "bits": [ 1473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33654.12-33654.31" + } + }, + "STAT_RX_BAD_TYPE_ERR": { + "hide_name": 0, + "bits": [ 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33655.19-33655.39" + } + }, + "STAT_RX_BURSTMAX_ERR": { + "hide_name": 0, + "bits": [ 1486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33656.12-33656.32" + } + }, + "STAT_RX_BURST_ERR": { + "hide_name": 0, + "bits": [ 1487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33657.12-33657.29" + } + }, + "STAT_RX_CRC24_ERR": { + "hide_name": 0, + "bits": [ 1488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33658.12-33658.29" + } + }, + "STAT_RX_CRC32_ERR": { + "hide_name": 0, + "bits": [ 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33659.19-33659.36" + } + }, + "STAT_RX_CRC32_VALID": { + "hide_name": 0, + "bits": [ 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33660.19-33660.38" + } + }, + "STAT_RX_DESCRAM_ERR": { + "hide_name": 0, + "bits": [ 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33661.19-33661.38" + } + }, + "STAT_RX_DIAGWORD_INTFSTAT": { + "hide_name": 0, + "bits": [ 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33662.19-33662.44" + } + }, + "STAT_RX_DIAGWORD_LANESTAT": { + "hide_name": 0, + "bits": [ 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33663.19-33663.44" + } + }, + "STAT_RX_FC_STAT": { + "hide_name": 0, + "bits": [ 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33664.20-33664.35" + } + }, + "STAT_RX_FRAMING_ERR": { + "hide_name": 0, + "bits": [ 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33665.19-33665.38" + } + }, + "STAT_RX_MEOP_ERR": { + "hide_name": 0, + "bits": [ 1817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33666.12-33666.28" + } + }, + "STAT_RX_MF_ERR": { + "hide_name": 0, + "bits": [ 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33667.19-33667.33" + } + }, + "STAT_RX_MF_LEN_ERR": { + "hide_name": 0, + "bits": [ 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33668.19-33668.37" + } + }, + "STAT_RX_MF_REPEAT_ERR": { + "hide_name": 0, + "bits": [ 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33669.19-33669.40" + } + }, + "STAT_RX_MISALIGNED": { + "hide_name": 0, + "bits": [ 1854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33670.12-33670.30" + } + }, + "STAT_RX_MSOP_ERR": { + "hide_name": 0, + "bits": [ 1855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33671.12-33671.28" + } + }, + "STAT_RX_MUBITS": { + "hide_name": 0, + "bits": [ 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33672.18-33672.32" + } + }, + "STAT_RX_MUBITS_UPDATED": { + "hide_name": 0, + "bits": [ 1864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33673.12-33673.34" + } + }, + "STAT_RX_OVERFLOW_ERR": { + "hide_name": 0, + "bits": [ 1865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33674.12-33674.32" + } + }, + "STAT_RX_RETRANS_CRC24_ERR": { + "hide_name": 0, + "bits": [ 1866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33675.12-33675.37" + } + }, + "STAT_RX_RETRANS_DISC": { + "hide_name": 0, + "bits": [ 1867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33676.12-33676.32" + } + }, + "STAT_RX_RETRANS_LATENCY": { + "hide_name": 0, + "bits": [ 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33677.19-33677.42" + } + }, + "STAT_RX_RETRANS_REQ": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33678.12-33678.31" + } + }, + "STAT_RX_RETRANS_RETRY_ERR": { + "hide_name": 0, + "bits": [ 1885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33679.12-33679.37" + } + }, + "STAT_RX_RETRANS_SEQ": { + "hide_name": 0, + "bits": [ 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33680.18-33680.37" + } + }, + "STAT_RX_RETRANS_SEQ_UPDATED": { + "hide_name": 0, + "bits": [ 1894 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33681.12-33681.39" + } + }, + "STAT_RX_RETRANS_STATE": { + "hide_name": 0, + "bits": [ 1895, 1896, 1897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33682.18-33682.39" + } + }, + "STAT_RX_RETRANS_SUBSEQ": { + "hide_name": 0, + "bits": [ 1898, 1899, 1900, 1901, 1902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33683.18-33683.40" + } + }, + "STAT_RX_RETRANS_WDOG_ERR": { + "hide_name": 0, + "bits": [ 1903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33684.12-33684.36" + } + }, + "STAT_RX_RETRANS_WRAP_ERR": { + "hide_name": 0, + "bits": [ 1904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33685.12-33685.36" + } + }, + "STAT_RX_SYNCED": { + "hide_name": 0, + "bits": [ 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33686.19-33686.33" + } + }, + "STAT_RX_SYNCED_ERR": { + "hide_name": 0, + "bits": [ 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33687.19-33687.37" + } + }, + "STAT_RX_WORD_SYNC": { + "hide_name": 0, + "bits": [ 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33688.19-33688.36" + } + }, + "STAT_TX_BURST_ERR": { + "hide_name": 0, + "bits": [ 1941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33689.12-33689.29" + } + }, + "STAT_TX_ERRINJ_BITERR_DONE": { + "hide_name": 0, + "bits": [ 1942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33690.12-33690.38" + } + }, + "STAT_TX_OVERFLOW_ERR": { + "hide_name": 0, + "bits": [ 1943 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33691.12-33691.32" + } + }, + "STAT_TX_RETRANS_BURST_ERR": { + "hide_name": 0, + "bits": [ 1944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33692.12-33692.37" + } + }, + "STAT_TX_RETRANS_BUSY": { + "hide_name": 0, + "bits": [ 1945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33693.12-33693.32" + } + }, + "STAT_TX_RETRANS_RAM_PERROUT": { + "hide_name": 0, + "bits": [ 1946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33694.12-33694.39" + } + }, + "STAT_TX_RETRANS_RAM_RADDR": { + "hide_name": 0, + "bits": [ 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33695.18-33695.43" + } + }, + "STAT_TX_RETRANS_RAM_RD_B0": { + "hide_name": 0, + "bits": [ 1956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33696.12-33696.37" + } + }, + "STAT_TX_RETRANS_RAM_RD_B1": { + "hide_name": 0, + "bits": [ 1957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33697.12-33697.37" + } + }, + "STAT_TX_RETRANS_RAM_RD_B2": { + "hide_name": 0, + "bits": [ 1958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33698.12-33698.37" + } + }, + "STAT_TX_RETRANS_RAM_RD_B3": { + "hide_name": 0, + "bits": [ 1959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33699.12-33699.37" + } + }, + "STAT_TX_RETRANS_RAM_RSEL": { + "hide_name": 0, + "bits": [ 1960, 1961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33700.18-33700.42" + } + }, + "STAT_TX_RETRANS_RAM_WADDR": { + "hide_name": 0, + "bits": [ 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33701.18-33701.43" + } + }, + "STAT_TX_RETRANS_RAM_WDATA": { + "hide_name": 0, + "bits": [ 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33702.20-33702.45" + } + }, + "STAT_TX_RETRANS_RAM_WE_B0": { + "hide_name": 0, + "bits": [ 2615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33703.12-33703.37" + } + }, + "STAT_TX_RETRANS_RAM_WE_B1": { + "hide_name": 0, + "bits": [ 2616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33704.12-33704.37" + } + }, + "STAT_TX_RETRANS_RAM_WE_B2": { + "hide_name": 0, + "bits": [ 2617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33705.12-33705.37" + } + }, + "STAT_TX_RETRANS_RAM_WE_B3": { + "hide_name": 0, + "bits": [ 2618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33706.12-33706.37" + } + }, + "STAT_TX_UNDERFLOW_ERR": { + "hide_name": 0, + "bits": [ 2619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33707.12-33707.33" + } + }, + "TX_BCTLIN0": { + "hide_name": 0, + "bits": [ 5187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33769.11-33769.21" + } + }, + "TX_BCTLIN1": { + "hide_name": 0, + "bits": [ 5188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33770.11-33770.21" + } + }, + "TX_BCTLIN2": { + "hide_name": 0, + "bits": [ 5189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33771.11-33771.21" + } + }, + "TX_BCTLIN3": { + "hide_name": 0, + "bits": [ 5190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33772.11-33772.21" + } + }, + "TX_BYPASS_CTRLIN": { + "hide_name": 0, + "bits": [ 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33773.18-33773.34" + } + }, + "TX_BYPASS_DATAIN00": { + "hide_name": 0, + "bits": [ 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33774.18-33774.36" + } + }, + "TX_BYPASS_DATAIN01": { + "hide_name": 0, + "bits": [ 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33775.18-33775.36" + } + }, + "TX_BYPASS_DATAIN02": { + "hide_name": 0, + "bits": [ 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33776.18-33776.36" + } + }, + "TX_BYPASS_DATAIN03": { + "hide_name": 0, + "bits": [ 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33777.18-33777.36" + } + }, + "TX_BYPASS_DATAIN04": { + "hide_name": 0, + "bits": [ 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33778.18-33778.36" + } + }, + "TX_BYPASS_DATAIN05": { + "hide_name": 0, + "bits": [ 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33779.18-33779.36" + } + }, + "TX_BYPASS_DATAIN06": { + "hide_name": 0, + "bits": [ 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33780.18-33780.36" + } + }, + "TX_BYPASS_DATAIN07": { + "hide_name": 0, + "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33781.18-33781.36" + } + }, + "TX_BYPASS_DATAIN08": { + "hide_name": 0, + "bits": [ 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33782.18-33782.36" + } + }, + "TX_BYPASS_DATAIN09": { + "hide_name": 0, + "bits": [ 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820, 5821, 5822, 5823, 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33783.18-33783.36" + } + }, + "TX_BYPASS_DATAIN10": { + "hide_name": 0, + "bits": [ 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33784.18-33784.36" + } + }, + "TX_BYPASS_DATAIN11": { + "hide_name": 0, + "bits": [ 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948, 5949, 5950, 5951, 5952, 5953, 5954, 5955, 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33785.18-33785.36" + } + }, + "TX_BYPASS_ENAIN": { + "hide_name": 0, + "bits": [ 5971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33786.11-33786.26" + } + }, + "TX_BYPASS_GEARBOX_SEQIN": { + "hide_name": 0, + "bits": [ 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33787.17-33787.40" + } + }, + "TX_BYPASS_MFRAMER_STATEIN": { + "hide_name": 0, + "bits": [ 5980, 5981, 5982, 5983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33788.17-33788.42" + } + }, + "TX_CHANIN0": { + "hide_name": 0, + "bits": [ 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33789.18-33789.28" + } + }, + "TX_CHANIN1": { + "hide_name": 0, + "bits": [ 5995, 5996, 5997, 5998, 5999, 6000, 6001, 6002, 6003, 6004, 6005 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33790.18-33790.28" + } + }, + "TX_CHANIN2": { + "hide_name": 0, + "bits": [ 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33791.18-33791.28" + } + }, + "TX_CHANIN3": { + "hide_name": 0, + "bits": [ 6017, 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33792.18-33792.28" + } + }, + "TX_DATAIN0": { + "hide_name": 0, + "bits": [ 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082, 6083, 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152, 6153, 6154, 6155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33793.19-33793.29" + } + }, + "TX_DATAIN1": { + "hide_name": 0, + "bits": [ 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33794.19-33794.29" + } + }, + "TX_DATAIN2": { + "hide_name": 0, + "bits": [ 6284, 6285, 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384, 6385, 6386, 6387, 6388, 6389, 6390, 6391, 6392, 6393, 6394, 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33795.19-33795.29" + } + }, + "TX_DATAIN3": { + "hide_name": 0, + "bits": [ 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449, 6450, 6451, 6452, 6453, 6454, 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478, 6479, 6480, 6481, 6482, 6483, 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33796.19-33796.29" + } + }, + "TX_ENAIN0": { + "hide_name": 0, + "bits": [ 6540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33797.11-33797.20" + } + }, + "TX_ENAIN1": { + "hide_name": 0, + "bits": [ 6541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33798.11-33798.20" + } + }, + "TX_ENAIN2": { + "hide_name": 0, + "bits": [ 6542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33799.11-33799.20" + } + }, + "TX_ENAIN3": { + "hide_name": 0, + "bits": [ 6543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33800.11-33800.20" + } + }, + "TX_EOPIN0": { + "hide_name": 0, + "bits": [ 6544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33801.11-33801.20" + } + }, + "TX_EOPIN1": { + "hide_name": 0, + "bits": [ 6545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33802.11-33802.20" + } + }, + "TX_EOPIN2": { + "hide_name": 0, + "bits": [ 6546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33803.11-33803.20" + } + }, + "TX_EOPIN3": { + "hide_name": 0, + "bits": [ 6547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33804.11-33804.20" + } + }, + "TX_ERRIN0": { + "hide_name": 0, + "bits": [ 6548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33805.11-33805.20" + } + }, + "TX_ERRIN1": { + "hide_name": 0, + "bits": [ 6549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33806.11-33806.20" + } + }, + "TX_ERRIN2": { + "hide_name": 0, + "bits": [ 6550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33807.11-33807.20" + } + }, + "TX_ERRIN3": { + "hide_name": 0, + "bits": [ 6551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33808.11-33808.20" + } + }, + "TX_MTYIN0": { + "hide_name": 0, + "bits": [ 6552, 6553, 6554, 6555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33809.17-33809.26" + } + }, + "TX_MTYIN1": { + "hide_name": 0, + "bits": [ 6556, 6557, 6558, 6559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33810.17-33810.26" + } + }, + "TX_MTYIN2": { + "hide_name": 0, + "bits": [ 6560, 6561, 6562, 6563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33811.17-33811.26" + } + }, + "TX_MTYIN3": { + "hide_name": 0, + "bits": [ 6564, 6565, 6566, 6567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33812.17-33812.26" + } + }, + "TX_OVFOUT": { + "hide_name": 0, + "bits": [ 2620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33708.12-33708.21" + } + }, + "TX_RDYOUT": { + "hide_name": 0, + "bits": [ 2621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33709.12-33709.21" + } + }, + "TX_RESET": { + "hide_name": 0, + "bits": [ 6568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33813.11-33813.19" + } + }, + "TX_SERDES_DATA00": { + "hide_name": 0, + "bits": [ 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33710.19-33710.35" + } + }, + "TX_SERDES_DATA01": { + "hide_name": 0, + "bits": [ 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33711.19-33711.35" + } + }, + "TX_SERDES_DATA02": { + "hide_name": 0, + "bits": [ 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33712.19-33712.35" + } + }, + "TX_SERDES_DATA03": { + "hide_name": 0, + "bits": [ 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33713.19-33713.35" + } + }, + "TX_SERDES_DATA04": { + "hide_name": 0, + "bits": [ 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33714.19-33714.35" + } + }, + "TX_SERDES_DATA05": { + "hide_name": 0, + "bits": [ 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33715.19-33715.35" + } + }, + "TX_SERDES_DATA06": { + "hide_name": 0, + "bits": [ 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33716.19-33716.35" + } + }, + "TX_SERDES_DATA07": { + "hide_name": 0, + "bits": [ 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33717.19-33717.35" + } + }, + "TX_SERDES_DATA08": { + "hide_name": 0, + "bits": [ 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33718.19-33718.35" + } + }, + "TX_SERDES_DATA09": { + "hide_name": 0, + "bits": [ 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33719.19-33719.35" + } + }, + "TX_SERDES_DATA10": { + "hide_name": 0, + "bits": [ 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33720.19-33720.35" + } + }, + "TX_SERDES_DATA11": { + "hide_name": 0, + "bits": [ 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33721.19-33721.35" + } + }, + "TX_SERDES_REFCLK": { + "hide_name": 0, + "bits": [ 6569 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33814.11-33814.27" + } + }, + "TX_SERDES_REFCLK_RESET": { + "hide_name": 0, + "bits": [ 6570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33815.11-33815.33" + } + }, + "TX_SOPIN0": { + "hide_name": 0, + "bits": [ 6571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33816.11-33816.20" + } + }, + "TX_SOPIN1": { + "hide_name": 0, + "bits": [ 6572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33817.11-33817.20" + } + }, + "TX_SOPIN2": { + "hide_name": 0, + "bits": [ 6573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33818.11-33818.20" + } + }, + "TX_SOPIN3": { + "hide_name": 0, + "bits": [ 6574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33819.11-33819.20" + } + } + } + }, + "INV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:173.1-182.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$9": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:180.5-180.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:176.11-176.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_inv": "I", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:175.12-175.13" + } + } + } + }, + "IN_FIFO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9404.1-9440.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_VALUE": "00000000000000000000000000000001", + "ALMOST_FULL_VALUE": "00000000000000000000000000000001", + "ARRAY_MODE": "ARRAY_MODE_4_X_8", + "SYNCHRONOUS_MODE": "FALSE" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 4 ] + }, + "FULL": { + "direction": "output", + "bits": [ 5 ] + }, + "Q0": { + "direction": "output", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] + }, + "Q1": { + "direction": "output", + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "Q2": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "Q3": { + "direction": "output", + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "Q4": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "Q5": { + "direction": "output", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "Q6": { + "direction": "output", + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "Q7": { + "direction": "output", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "Q8": { + "direction": "output", + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77 ] + }, + "Q9": { + "direction": "output", + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 86 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 87 ] + }, + "RESET": { + "direction": "input", + "bits": [ 88 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 89 ] + }, + "WREN": { + "direction": "input", + "bits": [ 90 ] + }, + "D0": { + "direction": "input", + "bits": [ 91, 92, 93, 94 ] + }, + "D1": { + "direction": "input", + "bits": [ 95, 96, 97, 98 ] + }, + "D2": { + "direction": "input", + "bits": [ 99, 100, 101, 102 ] + }, + "D3": { + "direction": "input", + "bits": [ 103, 104, 105, 106 ] + }, + "D4": { + "direction": "input", + "bits": [ 107, 108, 109, 110 ] + }, + "D7": { + "direction": "input", + "bits": [ 111, 112, 113, 114 ] + }, + "D8": { + "direction": "input", + "bits": [ 115, 116, 117, 118 ] + }, + "D9": { + "direction": "input", + "bits": [ 119, 120, 121, 122 ] + }, + "D5": { + "direction": "input", + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130 ] + }, + "D6": { + "direction": "input", + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9409.12-9409.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9410.12-9410.22" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 91, 92, 93, 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9430.17-9430.19" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 95, 96, 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9431.17-9431.19" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9432.17-9432.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9433.17-9433.19" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9434.17-9434.19" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9438.17-9438.19" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9439.17-9439.19" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 111, 112, 113, 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9435.17-9435.19" + } + }, + "D8": { + "hide_name": 0, + "bits": [ 115, 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9436.17-9436.19" + } + }, + "D9": { + "hide_name": 0, + "bits": [ 119, 120, 121, 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9437.17-9437.19" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9411.12-9411.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9412.12-9412.16" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9413.18-9413.20" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9414.18-9414.20" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9415.18-9415.20" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9416.18-9416.20" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9417.18-9417.20" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9418.18-9418.20" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9419.18-9419.20" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9420.18-9420.20" + } + }, + "Q8": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9421.18-9421.20" + } + }, + "Q9": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9422.18-9422.20" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9424.11-9424.16" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9425.11-9425.15" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9426.11-9426.16" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9428.11-9428.16" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9429.11-9429.15" + } + } + } + }, + "IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:68.1-85.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "IO": { + "direction": "inout", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "T": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$5": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:82.9-82.23" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$6": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:83.9-83.23" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:72.11-72.12" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:70.11-70.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:71.12-71.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:73.11-73.12" + } + } + } + }, + "IOBUFDS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7777.1-7790.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "T": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7788.11-7788.12" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7785.11-7785.13" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7787.11-7787.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7783.12-7783.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7789.11-7789.12" + } + } + } + }, + "IOBUFDSE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7886.1-7904.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_INPUT_BUFFER_OFFSET": "00000000000000000000000000000000", + "USE_IBUFDISABLE": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 4 ] + }, + "DCITERMDISABLE": { + "direction": "input", + "bits": [ 5 ] + }, + "I": { + "direction": "input", + "bits": [ 6 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 7 ] + }, + "OSC": { + "direction": "input", + "bits": [ 8, 9, 10, 11 ] + }, + "OSC_EN": { + "direction": "input", + "bits": [ 12, 13 ] + }, + "T": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "DCITERMDISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7898.11-7898.25" + } + }, + "I": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7899.11-7899.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7900.11-7900.22" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7895.11-7895.13" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7897.11-7897.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7893.12-7893.13" + } + }, + "OSC": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7901.17-7901.20" + } + }, + "OSC_EN": { + "hide_name": 0, + "bits": [ 12, 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7902.17-7902.23" + } + }, + "T": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7903.11-7903.12" + } + } + } + }, + "IOBUFDS_DCIEN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7792.1-7809.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "SLEW": "SLOW", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 4 ] + }, + "DCITERMDISABLE": { + "direction": "input", + "bits": [ 5 ] + }, + "I": { + "direction": "input", + "bits": [ 6 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 7 ] + }, + "T": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "DCITERMDISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7805.11-7805.25" + } + }, + "I": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7806.11-7806.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7807.11-7807.22" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7802.11-7802.13" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7804.11-7804.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7800.12-7800.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7808.11-7808.12" + } + } + } + }, + "IOBUFDS_DIFF_OUT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7830.1-7844.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "IO": { + "direction": "inout", + "bits": [ 4 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 5 ] + }, + "I": { + "direction": "input", + "bits": [ 6 ] + }, + "TM": { + "direction": "input", + "bits": [ 7 ] + }, + "TS": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7841.11-7841.12" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7838.11-7838.13" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7840.11-7840.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7835.12-7835.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7836.12-7836.14" + } + }, + "TM": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7842.11-7842.13" + } + }, + "TS": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7843.11-7843.13" + } + } + } + }, + "IOBUFDS_DIFF_OUT_DCIEN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7846.1-7864.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "IO": { + "direction": "inout", + "bits": [ 4 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 5 ] + }, + "DCITERMDISABLE": { + "direction": "input", + "bits": [ 6 ] + }, + "I": { + "direction": "input", + "bits": [ 7 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 8 ] + }, + "TM": { + "direction": "input", + "bits": [ 9 ] + }, + "TS": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "DCITERMDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7859.11-7859.25" + } + }, + "I": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7860.11-7860.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7861.11-7861.22" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7856.11-7856.13" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7858.11-7858.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7853.12-7853.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7854.12-7854.14" + } + }, + "TM": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7862.11-7862.13" + } + }, + "TS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7863.11-7863.13" + } + } + } + }, + "IOBUFDS_DIFF_OUT_INTERMDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7866.1-7884.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "IO": { + "direction": "inout", + "bits": [ 4 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 5 ] + }, + "I": { + "direction": "input", + "bits": [ 6 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 7 ] + }, + "INTERMDISABLE": { + "direction": "input", + "bits": [ 8 ] + }, + "TM": { + "direction": "input", + "bits": [ 9 ] + }, + "TS": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7879.11-7879.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7880.11-7880.22" + } + }, + "INTERMDISABLE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7881.11-7881.24" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7876.11-7876.13" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7878.11-7878.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7873.12-7873.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7874.12-7874.14" + } + }, + "TM": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7882.11-7882.13" + } + }, + "TS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7883.11-7883.13" + } + } + } + }, + "IOBUFDS_INTERMDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7811.1-7828.10" + }, + "parameter_default_values": { + "DIFF_TERM": "FALSE", + "DQS_BIAS": "FALSE", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "SLEW": "SLOW", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 6 ] + }, + "INTERMDISABLE": { + "direction": "input", + "bits": [ 7 ] + }, + "T": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7824.11-7824.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7825.11-7825.22" + } + }, + "INTERMDISABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7826.11-7826.24" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7821.11-7821.13" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7823.11-7823.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7819.12-7819.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7827.11-7827.12" + } + } + } + }, + "IOBUFE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7759.1-7775.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_INPUT_BUFFER_OFFSET": "00000000000000000000000000000000", + "USE_IBUFDISABLE": "FALSE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "DCITERMDISABLE": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 6 ] + }, + "OSC": { + "direction": "input", + "bits": [ 7, 8, 9, 10 ] + }, + "OSC_EN": { + "direction": "input", + "bits": [ 11 ] + }, + "T": { + "direction": "input", + "bits": [ 12 ] + }, + "VREF": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "DCITERMDISABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7768.11-7768.25" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7769.11-7769.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7770.11-7770.22" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7767.11-7767.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7765.12-7765.13" + } + }, + "OSC": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7771.17-7771.20" + } + }, + "OSC_EN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7772.11-7772.17" + } + }, + "T": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7773.11-7773.12" + } + }, + "VREF": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7774.11-7774.15" + } + } + } + }, + "IOBUF_DCIEN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7727.1-7741.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "SLEW": "SLOW", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "DCITERMDISABLE": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 6 ] + }, + "T": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "DCITERMDISABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7737.11-7737.25" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7738.11-7738.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7739.11-7739.22" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7736.11-7736.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7734.12-7734.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7740.11-7740.12" + } + } + } + }, + "IOBUF_INTERMDISABLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7743.1-7757.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IBUF_LOW_PWR": "TRUE", + "IOSTANDARD": "DEFAULT", + "SIM_DEVICE": "7SERIES", + "SLEW": "SLOW", + "USE_IBUFDISABLE": "TRUE" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IBUFDISABLE": { + "direction": "input", + "bits": [ 5 ] + }, + "INTERMDISABLE": { + "direction": "input", + "bits": [ 6 ] + }, + "T": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7753.11-7753.12" + } + }, + "IBUFDISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7754.11-7754.22" + } + }, + "INTERMDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7755.11-7755.24" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7752.11-7752.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7750.12-7750.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7756.11-7756.12" + } + } + } + }, + "IODELAY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6298.1-6316.10" + }, + "parameter_default_values": { + "DELAY_SRC": "I", + "HIGH_PERFORMANCE_MODE": "TRUE", + "IDELAY_TYPE": "DEFAULT", + "IDELAY_VALUE": "00000000000000000000000000000000", + "ODELAY_VALUE": "00000000000000000000000000000000", + "SIGNAL_PATTERN": "DATA" + }, + "ports": { + "DATAOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "DATAIN": { + "direction": "input", + "bits": [ 5 ] + }, + "IDATAIN": { + "direction": "input", + "bits": [ 6 ] + }, + "INC": { + "direction": "input", + "bits": [ 7 ] + }, + "ODATAIN": { + "direction": "input", + "bits": [ 8 ] + }, + "RST": { + "direction": "input", + "bits": [ 9 ] + }, + "T": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6308.11-6308.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6309.11-6309.13" + } + }, + "DATAIN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6310.11-6310.17" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6306.12-6306.19" + } + }, + "IDATAIN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6311.11-6311.18" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6312.11-6312.14" + } + }, + "ODATAIN": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6313.11-6313.18" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6314.11-6314.14" + } + }, + "T": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6315.11-6315.12" + } + } + } + }, + "IODELAY2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7357.1-7386.10" + }, + "parameter_default_values": { + "COUNTER_WRAPAROUND": "WRAPAROUND", + "DATA_RATE": "SDR", + "DELAY_SRC": "IO", + "IDELAY2_VALUE": "00000000000000000000000000000000", + "IDELAY_MODE": "NORMAL", + "IDELAY_TYPE": "DEFAULT", + "IDELAY_VALUE": "00000000000000000000000000000000", + "ODELAY_VALUE": "00000000000000000000000000000000", + "SERDES_MODE": "NONE", + "SIM_TAPDELAY_VALUE": "00000000000000000000000001001011" + }, + "ports": { + "BUSY": { + "direction": "output", + "bits": [ 2 ] + }, + "DATAOUT2": { + "direction": "output", + "bits": [ 3 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 4 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 5 ] + }, + "TOUT": { + "direction": "output", + "bits": [ 6 ] + }, + "CAL": { + "direction": "input", + "bits": [ 7 ] + }, + "CE": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + }, + "IDATAIN": { + "direction": "input", + "bits": [ 10 ] + }, + "INC": { + "direction": "input", + "bits": [ 11 ] + }, + "IOCLK0": { + "direction": "input", + "bits": [ 12 ] + }, + "IOCLK1": { + "direction": "input", + "bits": [ 13 ] + }, + "ODATAIN": { + "direction": "input", + "bits": [ 14 ] + }, + "RST": { + "direction": "input", + "bits": [ 15 ] + }, + "T": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "BUSY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7368.12-7368.16" + } + }, + "CAL": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7373.11-7373.14" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7374.11-7374.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7376.11-7376.14" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7370.12-7370.19" + } + }, + "DATAOUT2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7369.12-7369.20" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7371.12-7371.16" + } + }, + "IDATAIN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7377.11-7377.18" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7378.11-7378.14" + } + }, + "IOCLK0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7380.11-7380.17" + } + }, + "IOCLK1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7382.11-7382.17" + } + }, + "ODATAIN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7383.11-7383.18" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7384.11-7384.14" + } + }, + "T": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7385.11-7385.12" + } + }, + "TOUT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7372.12-7372.16" + } + } + } + }, + "IODELAYE1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6354.1-6378.10" + }, + "parameter_default_values": { + "CINVCTRL_SEL": "FALSE", + "DELAY_SRC": "I", + "HIGH_PERFORMANCE_MODE": "FALSE", + "IDELAY_TYPE": "DEFAULT", + "IDELAY_VALUE": "00000000000000000000000000000000", + "ODELAY_TYPE": "FIXED", + "ODELAY_VALUE": "00000000000000000000000000000000", + "SIGNAL_PATTERN": "DATA" + }, + "ports": { + "CNTVALUEOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 7 ] + }, + "C": { + "direction": "input", + "bits": [ 8 ] + }, + "CE": { + "direction": "input", + "bits": [ 9 ] + }, + "CINVCTRL": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 11 ] + }, + "CNTVALUEIN": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16 ] + }, + "DATAIN": { + "direction": "input", + "bits": [ 17 ] + }, + "IDATAIN": { + "direction": "input", + "bits": [ 18 ] + }, + "INC": { + "direction": "input", + "bits": [ 19 ] + }, + "ODATAIN": { + "direction": "input", + "bits": [ 20 ] + }, + "RST": { + "direction": "input", + "bits": [ 21 ] + }, + "T": { + "direction": "input", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6367.11-6367.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6368.11-6368.13" + } + }, + "CINVCTRL": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6369.11-6369.19" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6370.11-6370.16" + } + }, + "CNTVALUEIN": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6371.17-6371.27" + } + }, + "CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6364.18-6364.29" + } + }, + "DATAIN": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6372.11-6372.17" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6365.12-6365.19" + } + }, + "IDATAIN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6373.11-6373.18" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6374.11-6374.14" + } + }, + "ODATAIN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6375.11-6375.18" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6376.11-6376.14" + } + }, + "T": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6377.11-6377.12" + } + } + } + }, + "IODRP2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7388.1-7409.10" + }, + "parameter_default_values": { + "DATA_RATE": "SDR", + "SIM_TAPDELAY_VALUE": "00000000000000000000000001001011" + }, + "ports": { + "DATAOUT2": { + "direction": "output", + "bits": [ 2 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 3 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 4 ] + }, + "SDO": { + "direction": "output", + "bits": [ 5 ] + }, + "TOUT": { + "direction": "output", + "bits": [ 6 ] + }, + "ADD": { + "direction": "input", + "bits": [ 7 ] + }, + "BKST": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + }, + "CS": { + "direction": "input", + "bits": [ 10 ] + }, + "IDATAIN": { + "direction": "input", + "bits": [ 11 ] + }, + "IOCLK0": { + "direction": "input", + "bits": [ 12 ] + }, + "IOCLK1": { + "direction": "input", + "bits": [ 13 ] + }, + "ODATAIN": { + "direction": "input", + "bits": [ 14 ] + }, + "SDI": { + "direction": "input", + "bits": [ 15 ] + }, + "T": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "ADD": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7396.11-7396.14" + } + }, + "BKST": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7397.11-7397.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7399.11-7399.14" + } + }, + "CS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7400.11-7400.13" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7392.12-7392.19" + } + }, + "DATAOUT2": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7391.12-7391.20" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7393.12-7393.16" + } + }, + "IDATAIN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7401.11-7401.18" + } + }, + "IOCLK0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7403.11-7403.17" + } + }, + "IOCLK1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7405.11-7405.17" + } + }, + "ODATAIN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7406.11-7406.18" + } + }, + "SDI": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7407.11-7407.14" + } + }, + "SDO": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7394.12-7394.15" + } + }, + "T": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7408.11-7408.12" + } + }, + "TOUT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7395.12-7395.16" + } + } + } + }, + "IODRP2_MCB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7411.1-7442.10" + }, + "parameter_default_values": { + "DATA_RATE": "SDR", + "IDELAY_VALUE": "00000000000000000000000000000000", + "MCB_ADDRESS": "00000000000000000000000000000000", + "ODELAY_VALUE": "00000000000000000000000000000000", + "SERDES_MODE": "NONE", + "SIM_TAPDELAY_VALUE": "00000000000000000000000001001011" + }, + "ports": { + "AUXSDO": { + "direction": "output", + "bits": [ 2 ] + }, + "DATAOUT2": { + "direction": "output", + "bits": [ 3 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 4 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 5 ] + }, + "DQSOUTN": { + "direction": "output", + "bits": [ 6 ] + }, + "DQSOUTP": { + "direction": "output", + "bits": [ 7 ] + }, + "SDO": { + "direction": "output", + "bits": [ 8 ] + }, + "TOUT": { + "direction": "output", + "bits": [ 9 ] + }, + "ADD": { + "direction": "input", + "bits": [ 10 ] + }, + "AUXSDOIN": { + "direction": "input", + "bits": [ 11 ] + }, + "BKST": { + "direction": "input", + "bits": [ 12 ] + }, + "CLK": { + "direction": "input", + "bits": [ 13 ] + }, + "CS": { + "direction": "input", + "bits": [ 14 ] + }, + "IDATAIN": { + "direction": "input", + "bits": [ 15 ] + }, + "IOCLK0": { + "direction": "input", + "bits": [ 16 ] + }, + "IOCLK1": { + "direction": "input", + "bits": [ 17 ] + }, + "MEMUPDATE": { + "direction": "input", + "bits": [ 18 ] + }, + "ODATAIN": { + "direction": "input", + "bits": [ 19 ] + }, + "SDI": { + "direction": "input", + "bits": [ 20 ] + }, + "T": { + "direction": "input", + "bits": [ 21 ] + }, + "AUXADDR": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26 ] + } + }, + "cells": { + }, + "netnames": { + "ADD": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7426.11-7426.14" + } + }, + "AUXADDR": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7441.17-7441.24" + } + }, + "AUXSDO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7418.12-7418.18" + } + }, + "AUXSDOIN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7427.11-7427.19" + } + }, + "BKST": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7428.11-7428.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7430.11-7430.14" + } + }, + "CS": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7431.11-7431.13" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7420.12-7420.19" + } + }, + "DATAOUT2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7419.12-7419.20" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7421.12-7421.16" + } + }, + "DQSOUTN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7422.12-7422.19" + } + }, + "DQSOUTP": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7423.12-7423.19" + } + }, + "IDATAIN": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7432.11-7432.18" + } + }, + "IOCLK0": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7434.11-7434.17" + } + }, + "IOCLK1": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7436.11-7436.17" + } + }, + "MEMUPDATE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7437.11-7437.20" + } + }, + "ODATAIN": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7438.11-7438.18" + } + }, + "SDI": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7439.11-7439.14" + } + }, + "SDO": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7424.12-7424.15" + } + }, + "T": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7440.11-7440.12" + } + }, + "TOUT": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7425.12-7425.16" + } + } + } + }, + "ISERDES": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6213.1-6260.10" + }, + "parameter_default_values": { + "BITSLIP_ENABLE": "FALSE", + "DATA_RATE": "DDR", + "DATA_WIDTH": "00000000000000000000000000000100", + "INIT_Q1": "0", + "INIT_Q2": "0", + "INIT_Q3": "0", + "INIT_Q4": "0", + "INTERFACE_TYPE": "MEMORY", + "IOBDELAY": "NONE", + "IOBDELAY_TYPE": "DEFAULT", + "IOBDELAY_VALUE": "00000000000000000000000000000000", + "NUM_CE": "00000000000000000000000000000010", + "SERDES_MODE": "MASTER", + "SIM_DELAY_D": "00000000000000000000000000000000", + "SIM_HOLD_D_CLK": "00000000000000000000000000000000", + "SIM_SETUP_D_CLK": "00000000000000000000000000000000", + "SRVAL_Q1": "0", + "SRVAL_Q2": "0", + "SRVAL_Q3": "0", + "SRVAL_Q4": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "Q1": { + "direction": "output", + "bits": [ 3 ] + }, + "Q2": { + "direction": "output", + "bits": [ 4 ] + }, + "Q3": { + "direction": "output", + "bits": [ 5 ] + }, + "Q4": { + "direction": "output", + "bits": [ 6 ] + }, + "Q5": { + "direction": "output", + "bits": [ 7 ] + }, + "Q6": { + "direction": "output", + "bits": [ 8 ] + }, + "SHIFTOUT1": { + "direction": "output", + "bits": [ 9 ] + }, + "SHIFTOUT2": { + "direction": "output", + "bits": [ 10 ] + }, + "BITSLIP": { + "direction": "input", + "bits": [ 11 ] + }, + "CE1": { + "direction": "input", + "bits": [ 12 ] + }, + "CE2": { + "direction": "input", + "bits": [ 13 ] + }, + "CLK": { + "direction": "input", + "bits": [ 14 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 15 ] + }, + "D": { + "direction": "input", + "bits": [ 16 ] + }, + "DLYCE": { + "direction": "input", + "bits": [ 17 ] + }, + "DLYINC": { + "direction": "input", + "bits": [ 18 ] + }, + "DLYRST": { + "direction": "input", + "bits": [ 19 ] + }, + "OCLK": { + "direction": "input", + "bits": [ 20 ] + }, + "REV": { + "direction": "input", + "bits": [ 21 ] + }, + "SHIFTIN1": { + "direction": "input", + "bits": [ 22 ] + }, + "SHIFTIN2": { + "direction": "input", + "bits": [ 23 ] + }, + "SR": { + "direction": "input", + "bits": [ 24 ] + } + }, + "cells": { + }, + "netnames": { + "BITSLIP": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6243.11-6243.18" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6244.11-6244.14" + } + }, + "CE2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6245.11-6245.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6247.11-6247.14" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6249.11-6249.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6250.11-6250.12" + } + }, + "DLYCE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6251.11-6251.16" + } + }, + "DLYINC": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6252.11-6252.17" + } + }, + "DLYRST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6253.11-6253.17" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6234.12-6234.13" + } + }, + "OCLK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6255.11-6255.15" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6235.12-6235.14" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6236.12-6236.14" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6237.12-6237.14" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6238.12-6238.14" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6239.12-6239.14" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6240.12-6240.14" + } + }, + "REV": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6256.11-6256.14" + } + }, + "SHIFTIN1": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6257.11-6257.19" + } + }, + "SHIFTIN2": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6258.11-6258.19" + } + }, + "SHIFTOUT1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6241.12-6241.21" + } + }, + "SHIFTOUT2": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6242.12-6242.21" + } + }, + "SR": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6259.11-6259.13" + } + } + } + }, + "ISERDES2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7444.1-7473.10" + }, + "parameter_default_values": { + "BITSLIP_ENABLE": "FALSE", + "DATA_RATE": "SDR", + "DATA_WIDTH": "00000000000000000000000000000001", + "INTERFACE_TYPE": "NETWORKING", + "SERDES_MODE": "NONE" + }, + "ports": { + "CFB0": { + "direction": "output", + "bits": [ 2 ] + }, + "CFB1": { + "direction": "output", + "bits": [ 3 ] + }, + "DFB": { + "direction": "output", + "bits": [ 4 ] + }, + "FABRICOUT": { + "direction": "output", + "bits": [ 5 ] + }, + "INCDEC": { + "direction": "output", + "bits": [ 6 ] + }, + "Q1": { + "direction": "output", + "bits": [ 7 ] + }, + "Q2": { + "direction": "output", + "bits": [ 8 ] + }, + "Q3": { + "direction": "output", + "bits": [ 9 ] + }, + "Q4": { + "direction": "output", + "bits": [ 10 ] + }, + "SHIFTOUT": { + "direction": "output", + "bits": [ 11 ] + }, + "VALID": { + "direction": "output", + "bits": [ 12 ] + }, + "BITSLIP": { + "direction": "input", + "bits": [ 13 ] + }, + "CE0": { + "direction": "input", + "bits": [ 14 ] + }, + "CLK0": { + "direction": "input", + "bits": [ 15 ] + }, + "CLK1": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 17 ] + }, + "D": { + "direction": "input", + "bits": [ 18 ] + }, + "IOCE": { + "direction": "input", + "bits": [ 19 ] + }, + "RST": { + "direction": "input", + "bits": [ 20 ] + }, + "SHIFTIN": { + "direction": "input", + "bits": [ 21 ] + } + }, + "cells": { + }, + "netnames": { + "BITSLIP": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7461.11-7461.18" + } + }, + "CE0": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7462.11-7462.14" + } + }, + "CFB0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7450.12-7450.16" + } + }, + "CFB1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7451.12-7451.16" + } + }, + "CLK0": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7464.11-7464.15" + } + }, + "CLK1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7466.11-7466.15" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7468.11-7468.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7469.11-7469.12" + } + }, + "DFB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7452.12-7452.15" + } + }, + "FABRICOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7453.12-7453.21" + } + }, + "INCDEC": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7454.12-7454.18" + } + }, + "IOCE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7470.11-7470.15" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7455.12-7455.14" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7456.12-7456.14" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7457.12-7457.14" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7458.12-7458.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7471.11-7471.14" + } + }, + "SHIFTIN": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7472.11-7472.18" + } + }, + "SHIFTOUT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7459.12-7459.20" + } + }, + "VALID": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7460.12-7460.17" + } + } + } + }, + "ISERDESE1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6380.1-6426.10" + }, + "parameter_default_values": { + "DATA_RATE": "DDR", + "DATA_WIDTH": "00000000000000000000000000000100", + "DYN_CLKDIV_INV_EN": "FALSE", + "DYN_CLK_INV_EN": "FALSE", + "INIT_Q1": "0", + "INIT_Q2": "0", + "INIT_Q3": "0", + "INIT_Q4": "0", + "INTERFACE_TYPE": "MEMORY", + "IOBDELAY": "NONE", + "NUM_CE": "00000000000000000000000000000010", + "OFB_USED": "FALSE", + "SERDES_MODE": "MASTER", + "SRVAL_Q1": "0", + "SRVAL_Q2": "0", + "SRVAL_Q3": "0", + "SRVAL_Q4": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "Q1": { + "direction": "output", + "bits": [ 3 ] + }, + "Q2": { + "direction": "output", + "bits": [ 4 ] + }, + "Q3": { + "direction": "output", + "bits": [ 5 ] + }, + "Q4": { + "direction": "output", + "bits": [ 6 ] + }, + "Q5": { + "direction": "output", + "bits": [ 7 ] + }, + "Q6": { + "direction": "output", + "bits": [ 8 ] + }, + "SHIFTOUT1": { + "direction": "output", + "bits": [ 9 ] + }, + "SHIFTOUT2": { + "direction": "output", + "bits": [ 10 ] + }, + "BITSLIP": { + "direction": "input", + "bits": [ 11 ] + }, + "CE1": { + "direction": "input", + "bits": [ 12 ] + }, + "CE2": { + "direction": "input", + "bits": [ 13 ] + }, + "CLK": { + "direction": "input", + "bits": [ 14 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 15 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 16 ] + }, + "D": { + "direction": "input", + "bits": [ 17 ] + }, + "DDLY": { + "direction": "input", + "bits": [ 18 ] + }, + "DYNCLKDIVSEL": { + "direction": "input", + "bits": [ 19 ] + }, + "DYNCLKSEL": { + "direction": "input", + "bits": [ 20 ] + }, + "OCLK": { + "direction": "input", + "bits": [ 21 ] + }, + "OFB": { + "direction": "input", + "bits": [ 22 ] + }, + "RST": { + "direction": "input", + "bits": [ 23 ] + }, + "SHIFTIN1": { + "direction": "input", + "bits": [ 24 ] + }, + "SHIFTIN2": { + "direction": "input", + "bits": [ 25 ] + } + }, + "cells": { + }, + "netnames": { + "BITSLIP": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6407.11-6407.18" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6408.11-6408.14" + } + }, + "CE2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6409.11-6409.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6411.11-6411.14" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6413.11-6413.15" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6415.11-6415.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6416.11-6416.12" + } + }, + "DDLY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6417.11-6417.15" + } + }, + "DYNCLKDIVSEL": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6418.11-6418.23" + } + }, + "DYNCLKSEL": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6419.11-6419.20" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6398.12-6398.13" + } + }, + "OCLK": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6421.11-6421.15" + } + }, + "OFB": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6422.11-6422.14" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6399.12-6399.14" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6400.12-6400.14" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6401.12-6401.14" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6402.12-6402.14" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6403.12-6403.14" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6404.12-6404.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6423.11-6423.14" + } + }, + "SHIFTIN1": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6424.11-6424.19" + } + }, + "SHIFTIN2": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6425.11-6425.19" + } + }, + "SHIFTOUT1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6405.12-6405.21" + } + }, + "SHIFTOUT2": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6406.12-6406.21" + } + } + } + }, + "ISERDESE2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6533.1-6599.10" + }, + "parameter_default_values": { + "DATA_RATE": "DDR", + "DATA_WIDTH": "00000000000000000000000000000100", + "DYN_CLKDIV_INV_EN": "FALSE", + "DYN_CLK_INV_EN": "FALSE", + "INIT_Q1": "0", + "INIT_Q2": "0", + "INIT_Q3": "0", + "INIT_Q4": "0", + "INTERFACE_TYPE": "MEMORY", + "IOBDELAY": "NONE", + "IS_CLKB_INVERTED": "0", + "IS_CLKDIVP_INVERTED": "0", + "IS_CLKDIV_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_OCLKB_INVERTED": "0", + "IS_OCLK_INVERTED": "0", + "NUM_CE": "00000000000000000000000000000010", + "OFB_USED": "FALSE", + "SERDES_MODE": "MASTER", + "SRVAL_Q1": "0", + "SRVAL_Q2": "0", + "SRVAL_Q3": "0", + "SRVAL_Q4": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "Q1": { + "direction": "output", + "bits": [ 3 ] + }, + "Q2": { + "direction": "output", + "bits": [ 4 ] + }, + "Q3": { + "direction": "output", + "bits": [ 5 ] + }, + "Q4": { + "direction": "output", + "bits": [ 6 ] + }, + "Q5": { + "direction": "output", + "bits": [ 7 ] + }, + "Q6": { + "direction": "output", + "bits": [ 8 ] + }, + "Q7": { + "direction": "output", + "bits": [ 9 ] + }, + "Q8": { + "direction": "output", + "bits": [ 10 ] + }, + "SHIFTOUT1": { + "direction": "output", + "bits": [ 11 ] + }, + "SHIFTOUT2": { + "direction": "output", + "bits": [ 12 ] + }, + "BITSLIP": { + "direction": "input", + "bits": [ 13 ] + }, + "CE1": { + "direction": "input", + "bits": [ 14 ] + }, + "CE2": { + "direction": "input", + "bits": [ 15 ] + }, + "CLK": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 17 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 18 ] + }, + "CLKDIVP": { + "direction": "input", + "bits": [ 19 ] + }, + "D": { + "direction": "input", + "bits": [ 20 ] + }, + "DDLY": { + "direction": "input", + "bits": [ 21 ] + }, + "DYNCLKDIVSEL": { + "direction": "input", + "bits": [ 22 ] + }, + "DYNCLKSEL": { + "direction": "input", + "bits": [ 23 ] + }, + "OCLK": { + "direction": "input", + "bits": [ 24 ] + }, + "OCLKB": { + "direction": "input", + "bits": [ 25 ] + }, + "OFB": { + "direction": "input", + "bits": [ 26 ] + }, + "RST": { + "direction": "input", + "bits": [ 27 ] + }, + "SHIFTIN1": { + "direction": "input", + "bits": [ 28 ] + }, + "SHIFTIN2": { + "direction": "input", + "bits": [ 29 ] + } + }, + "cells": { + }, + "netnames": { + "BITSLIP": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6569.11-6569.18" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6570.11-6570.14" + } + }, + "CE2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6571.11-6571.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6574.11-6574.14" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6577.11-6577.15" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKDIV_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6580.11-6580.17" + } + }, + "CLKDIVP": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKDIVP_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6583.11-6583.18" + } + }, + "D": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6585.11-6585.12" + } + }, + "DDLY": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6586.11-6586.15" + } + }, + "DYNCLKDIVSEL": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6587.11-6587.23" + } + }, + "DYNCLKSEL": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6588.11-6588.20" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6558.12-6558.13" + } + }, + "OCLK": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_OCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6591.11-6591.15" + } + }, + "OCLKB": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_OCLKB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6594.11-6594.16" + } + }, + "OFB": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6595.11-6595.14" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6559.12-6559.14" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6560.12-6560.14" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6561.12-6561.14" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6562.12-6562.14" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6563.12-6563.14" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6564.12-6564.14" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6565.12-6565.14" + } + }, + "Q8": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6566.12-6566.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6596.11-6596.14" + } + }, + "SHIFTIN1": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6597.11-6597.19" + } + }, + "SHIFTIN2": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6598.11-6598.19" + } + }, + "SHIFTOUT1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6567.12-6567.21" + } + }, + "SHIFTOUT2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6568.12-6568.21" + } + } + } + }, + "ISERDESE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7010.1-7038.10" + }, + "parameter_default_values": { + "DATA_WIDTH": "00000000000000000000000000001000", + "DDR_CLK_EDGE": "OPPOSITE_EDGE", + "FIFO_ENABLE": "FALSE", + "FIFO_SYNC_MODE": "FALSE", + "IDDR_MODE": "FALSE", + "IS_CLK_B_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_RST_INVERTED": "0", + "SIM_DEVICE": "ULTRASCALE" + }, + "ports": { + "FIFO_EMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "INTERNAL_DIVCLK": { + "direction": "output", + "bits": [ 3 ] + }, + "Q": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11 ] + }, + "CLK": { + "direction": "input", + "bits": [ 12 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 13 ] + }, + "CLK_B": { + "direction": "input", + "bits": [ 14 ] + }, + "D": { + "direction": "input", + "bits": [ 15 ] + }, + "FIFO_RD_CLK": { + "direction": "input", + "bits": [ 16 ] + }, + "FIFO_RD_EN": { + "direction": "input", + "bits": [ 17 ] + }, + "RST": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7026.11-7026.14" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7028.11-7028.17" + } + }, + "CLK_B": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_B_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7031.11-7031.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7032.11-7032.12" + } + }, + "FIFO_EMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7021.12-7021.22" + } + }, + "FIFO_RD_CLK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7034.11-7034.22" + } + }, + "FIFO_RD_EN": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7035.11-7035.21" + } + }, + "INTERNAL_DIVCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7022.12-7022.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7023.18-7023.19" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7037.11-7037.14" + } + } + } + }, + "ISERDES_NODELAY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6318.1-6352.10" + }, + "parameter_default_values": { + "BITSLIP_ENABLE": "FALSE", + "DATA_RATE": "DDR", + "DATA_WIDTH": "00000000000000000000000000000100", + "INIT_Q1": "0", + "INIT_Q2": "0", + "INIT_Q3": "0", + "INIT_Q4": "0", + "INTERFACE_TYPE": "MEMORY", + "NUM_CE": "00000000000000000000000000000010", + "SERDES_MODE": "MASTER" + }, + "ports": { + "Q1": { + "direction": "output", + "bits": [ 2 ] + }, + "Q2": { + "direction": "output", + "bits": [ 3 ] + }, + "Q3": { + "direction": "output", + "bits": [ 4 ] + }, + "Q4": { + "direction": "output", + "bits": [ 5 ] + }, + "Q5": { + "direction": "output", + "bits": [ 6 ] + }, + "Q6": { + "direction": "output", + "bits": [ 7 ] + }, + "SHIFTOUT1": { + "direction": "output", + "bits": [ 8 ] + }, + "SHIFTOUT2": { + "direction": "output", + "bits": [ 9 ] + }, + "BITSLIP": { + "direction": "input", + "bits": [ 10 ] + }, + "CE1": { + "direction": "input", + "bits": [ 11 ] + }, + "CE2": { + "direction": "input", + "bits": [ 12 ] + }, + "CLK": { + "direction": "input", + "bits": [ 13 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 14 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 15 ] + }, + "D": { + "direction": "input", + "bits": [ 16 ] + }, + "OCLK": { + "direction": "input", + "bits": [ 17 ] + }, + "RST": { + "direction": "input", + "bits": [ 18 ] + }, + "SHIFTIN1": { + "direction": "input", + "bits": [ 19 ] + }, + "SHIFTIN2": { + "direction": "input", + "bits": [ 20 ] + } + }, + "cells": { + }, + "netnames": { + "BITSLIP": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6337.11-6337.18" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6338.11-6338.14" + } + }, + "CE2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6339.11-6339.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6341.11-6341.14" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6343.11-6343.15" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6345.11-6345.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6346.11-6346.12" + } + }, + "OCLK": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6348.11-6348.15" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6329.12-6329.14" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6330.12-6330.14" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6331.12-6331.14" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6332.12-6332.14" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6333.12-6333.14" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6334.12-6334.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6349.11-6349.14" + } + }, + "SHIFTIN1": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6350.11-6350.19" + } + }, + "SHIFTIN2": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6351.11-6351.19" + } + }, + "SHIFTOUT1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6335.12-6335.21" + } + }, + "SHIFTOUT2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6336.12-6336.21" + } + } + } + }, + "KEEPER": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7942.1-7944.10" + }, + "ports": { + "O": { + "direction": "inout", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7943.11-7943.12" + } + } + } + }, + "KEY_CLEAR": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9965.1-9967.10" + }, + "ports": { + "KEYCLEARB": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "KEYCLEARB": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9966.11-9966.20" + } + } + } + }, + "LDCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:920.1-940.10" + }, + "parameter_default_values": { + "INIT": "0", + "IS_CLR_INVERTED": "0", + "IS_G_INVERTED": "0", + "MSGON": "TRUE", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLR": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "G": { + "direction": "input", + "bits": [ 5 ] + }, + "GE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CLR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:923.9-923.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:924.9-924.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:926.9-926.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:927.9-927.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:921.14-921.15" + } + } + } + }, + "LDCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:964.1-993.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_CLR_INVERTED": "0", + "IS_D_INVERTED": "0", + "IS_GE_INVERTED": "0", + "IS_G_INVERTED": "0", + "IS_PRE_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLR": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "G": { + "direction": "input", + "bits": [ 5 ] + }, + "GE": { + "direction": "input", + "bits": [ 6 ] + }, + "PRE": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CLR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "invertible_pin": "IS_CLR_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:967.9-967.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_D_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:969.9-969.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:971.9-971.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_GE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:973.9-973.11" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:975.9-975.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:965.14-965.15" + } + } + } + }, + "LDPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:942.1-962.10" + }, + "parameter_default_values": { + "INIT": "1", + "IS_G_INVERTED": "0", + "IS_PRE_INVERTED": "0", + "MSGON": "TRUE", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "G": { + "direction": "input", + "bits": [ 4 ] + }, + "GE": { + "direction": "input", + "bits": [ 5 ] + }, + "PRE": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:944.9-944.10" + } + }, + "G": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_G_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:946.9-946.10" + } + }, + "GE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:947.9-947.11" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_PRE_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:949.9-949.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:943.14-943.15" + } + } + } + }, + "LUT1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:185.1-191.10" + }, + "parameter_default_values": { + "INIT": "00" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$10": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:189.5-189.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:185.29-185.31" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:185.20-185.21" + } + } + } + }, + "LUT2": { + "attributes": { + "abc9_lut": "00000000000000000000000000000010", + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:194.1-202.10" + }, + "parameter_default_values": { + "INIT": "0000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + "$specify$11": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011101110", + "T_FALL_MIN": "00000000000000000000000011101110", + "T_FALL_TYP": "00000000000000000000000011101110", + "T_RISE_MAX": "00000000000000000000000011101110", + "T_RISE_MIN": "00000000000000000000000011101110", + "T_RISE_TYP": "00000000000000000000000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:199.5-199.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$12": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:200.5-200.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:194.29-194.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:194.33-194.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:194.20-194.21" + } + } + } + }, + "LUT3": { + "attributes": { + "abc9_lut": "00000000000000000000000000000011", + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:205.1-215.10" + }, + "parameter_default_values": { + "INIT": "00000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$13": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110010111", + "T_FALL_MIN": "00000000000000000000000110010111", + "T_FALL_TYP": "00000000000000000000000110010111", + "T_RISE_MAX": "00000000000000000000000110010111", + "T_RISE_MIN": "00000000000000000000000110010111", + "T_RISE_TYP": "00000000000000000000000110010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:211.5-211.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$14": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011101110", + "T_FALL_MIN": "00000000000000000000000011101110", + "T_FALL_TYP": "00000000000000000000000011101110", + "T_RISE_MAX": "00000000000000000000000011101110", + "T_RISE_MIN": "00000000000000000000000011101110", + "T_RISE_TYP": "00000000000000000000000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:212.5-212.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$15": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:213.5-213.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:205.29-205.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:205.33-205.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:205.37-205.39" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:205.20-205.21" + } + } + } + }, + "LUT4": { + "attributes": { + "abc9_lut": "00000000000000000000000000000011", + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:218.1-230.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + "$specify$16": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111011000", + "T_FALL_MIN": "00000000000000000000000111011000", + "T_FALL_TYP": "00000000000000000000000111011000", + "T_RISE_MAX": "00000000000000000000000111011000", + "T_RISE_MIN": "00000000000000000000000111011000", + "T_RISE_TYP": "00000000000000000000000111011000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:225.5-225.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$17": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110010111", + "T_FALL_MIN": "00000000000000000000000110010111", + "T_FALL_TYP": "00000000000000000000000110010111", + "T_RISE_MAX": "00000000000000000000000110010111", + "T_RISE_MIN": "00000000000000000000000110010111", + "T_RISE_TYP": "00000000000000000000000110010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:226.5-226.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$18": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011101110", + "T_FALL_MIN": "00000000000000000000000011101110", + "T_FALL_TYP": "00000000000000000000000011101110", + "T_RISE_MAX": "00000000000000000000000011101110", + "T_RISE_MIN": "00000000000000000000000011101110", + "T_RISE_TYP": "00000000000000000000000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:227.5-227.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$19": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:228.5-228.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:218.29-218.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:218.33-218.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:218.37-218.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:218.41-218.43" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:218.20-218.21" + } + } + } + }, + "LUT5": { + "attributes": { + "abc9_lut": "00000000000000000000000000000011", + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:233.1-247.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + "$specify$20": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001110111", + "T_FALL_MIN": "00000000000000000000001001110111", + "T_FALL_TYP": "00000000000000000000001001110111", + "T_RISE_MAX": "00000000000000000000001001110111", + "T_RISE_MIN": "00000000000000000000001001110111", + "T_RISE_TYP": "00000000000000000000001001110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:241.5-241.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$21": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111011000", + "T_FALL_MIN": "00000000000000000000000111011000", + "T_FALL_TYP": "00000000000000000000000111011000", + "T_RISE_MAX": "00000000000000000000000111011000", + "T_RISE_MIN": "00000000000000000000000111011000", + "T_RISE_TYP": "00000000000000000000000111011000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:242.5-242.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$22": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110010111", + "T_FALL_MIN": "00000000000000000000000110010111", + "T_FALL_TYP": "00000000000000000000000110010111", + "T_RISE_MAX": "00000000000000000000000110010111", + "T_RISE_MIN": "00000000000000000000000110010111", + "T_RISE_TYP": "00000000000000000000000110010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:243.5-243.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$23": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011101110", + "T_FALL_MIN": "00000000000000000000000011101110", + "T_FALL_TYP": "00000000000000000000000011101110", + "T_RISE_MAX": "00000000000000000000000011101110", + "T_RISE_MIN": "00000000000000000000000011101110", + "T_RISE_TYP": "00000000000000000000000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:244.5-244.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$24": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:245.5-245.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:233.29-233.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:233.33-233.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:233.37-233.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:233.41-233.43" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:233.45-233.47" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:233.20-233.21" + } + } + } + }, + "LUT6": { + "attributes": { + "abc9_lut": "00000000000000000000000000000101", + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:253.1-269.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "I4": { + "direction": "input", + "bits": [ 7 ] + }, + "I5": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + "$specify$25": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010000010", + "T_FALL_MIN": "00000000000000000000001010000010", + "T_FALL_TYP": "00000000000000000000001010000010", + "T_RISE_MAX": "00000000000000000000001010000010", + "T_RISE_MIN": "00000000000000000000001010000010", + "T_RISE_TYP": "00000000000000000000001010000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:262.5-262.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$26": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001110111", + "T_FALL_MIN": "00000000000000000000001001110111", + "T_FALL_TYP": "00000000000000000000001001110111", + "T_RISE_MAX": "00000000000000000000001001110111", + "T_RISE_MIN": "00000000000000000000001001110111", + "T_RISE_TYP": "00000000000000000000001001110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:263.5-263.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$27": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111011000", + "T_FALL_MIN": "00000000000000000000000111011000", + "T_FALL_TYP": "00000000000000000000000111011000", + "T_RISE_MAX": "00000000000000000000000111011000", + "T_RISE_MIN": "00000000000000000000000111011000", + "T_RISE_TYP": "00000000000000000000000111011000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:264.5-264.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$28": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110010111", + "T_FALL_MIN": "00000000000000000000000110010111", + "T_FALL_TYP": "00000000000000000000000110010111", + "T_RISE_MAX": "00000000000000000000000110010111", + "T_RISE_MIN": "00000000000000000000000110010111", + "T_RISE_TYP": "00000000000000000000000110010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:265.5-265.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$29": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011101110", + "T_FALL_MIN": "00000000000000000000000011101110", + "T_FALL_TYP": "00000000000000000000000011101110", + "T_RISE_MAX": "00000000000000000000000011101110", + "T_RISE_MIN": "00000000000000000000000011101110", + "T_RISE_TYP": "00000000000000000000000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:266.5-266.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$30": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:267.5-267.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:253.29-253.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:253.33-253.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:253.37-253.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:253.41-253.43" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:253.45-253.47" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:253.49-253.51" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:253.20-253.21" + } + } + } + }, + "LUT6_2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.1-285.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O6": { + "direction": "output", + "bits": [ 2 ] + }, + "O5": { + "direction": "output", + "bits": [ 3 ] + }, + "I0": { + "direction": "input", + "bits": [ 4 ] + }, + "I1": { + "direction": "input", + "bits": [ 5 ] + }, + "I2": { + "direction": "input", + "bits": [ 6 ] + }, + "I3": { + "direction": "input", + "bits": [ 7 ] + }, + "I4": { + "direction": "input", + "bits": [ 8 ] + }, + "I5": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.43-271.45" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.47-271.49" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.51-271.53" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.55-271.57" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.59-271.61" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.63-271.65" + } + }, + "O5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.33-271.35" + } + }, + "O6": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:271.22-271.24" + } + } + } + }, + "MASTER_JTAG": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9970.1-9975.10" + }, + "ports": { + "TDO": { + "direction": "output", + "bits": [ 2 ] + }, + "TCK": { + "direction": "input", + "bits": [ 3 ] + }, + "TDI": { + "direction": "input", + "bits": [ 4 ] + }, + "TMS": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "TCK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9972.11-9972.14" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9973.11-9973.14" + } + }, + "TDO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9971.12-9971.15" + } + }, + "TMS": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9974.11-9974.14" + } + } + } + }, + "MCB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28031.1-28292.10" + }, + "parameter_default_values": { + "ARB_NUM_TIME_SLOTS": "00000000000000000000000000001100", + "ARB_TIME_SLOT_0": "111111111111111111", + "ARB_TIME_SLOT_1": "111111111111111111", + "ARB_TIME_SLOT_10": "111111111111111111", + "ARB_TIME_SLOT_11": "111111111111111111", + "ARB_TIME_SLOT_2": "111111111111111111", + "ARB_TIME_SLOT_3": "111111111111111111", + "ARB_TIME_SLOT_4": "111111111111111111", + "ARB_TIME_SLOT_5": "111111111111111111", + "ARB_TIME_SLOT_6": "111111111111111111", + "ARB_TIME_SLOT_7": "111111111111111111", + "ARB_TIME_SLOT_8": "111111111111111111", + "ARB_TIME_SLOT_9": "111111111111111111", + "CAL_BA": "000", + "CAL_BYPASS": "YES", + "CAL_CA": "000000000000", + "CAL_CALIBRATION_MODE": "NOCALIBRATION", + "CAL_CLK_DIV": "00000000000000000000000000000001", + "CAL_DELAY": "QUARTER", + "CAL_RA": "000000000000000", + "MEM_ADDR_ORDER": "BANK_ROW_COLUMN", + "MEM_BA_SIZE": "00000000000000000000000000000011", + "MEM_BURST_LEN": "00000000000000000000000000001000", + "MEM_CAS_LATENCY": "00000000000000000000000000000100", + "MEM_CA_SIZE": "00000000000000000000000000001011", + "MEM_DDR1_2_ODS": "FULL", + "MEM_DDR2_3_HIGH_TEMP_SR": "NORMAL", + "MEM_DDR2_3_PA_SR": "FULL", + "MEM_DDR2_ADD_LATENCY": "00000000000000000000000000000000", + "MEM_DDR2_DIFF_DQS_EN": "YES", + "MEM_DDR2_RTT": "50OHMS", + "MEM_DDR2_WRT_RECOVERY": "00000000000000000000000000000100", + "MEM_DDR3_ADD_LATENCY": "OFF", + "MEM_DDR3_AUTO_SR": "ENABLED", + "MEM_DDR3_CAS_LATENCY": "00000000000000000000000000000111", + "MEM_DDR3_CAS_WR_LATENCY": "00000000000000000000000000000101", + "MEM_DDR3_DYN_WRT_ODT": "OFF", + "MEM_DDR3_ODS": "DIV7", + "MEM_DDR3_RTT": "DIV2", + "MEM_DDR3_WRT_RECOVERY": "00000000000000000000000000000111", + "MEM_MDDR_ODS": "FULL", + "MEM_MOBILE_PA_SR": "FULL", + "MEM_MOBILE_TC_SR": "00000000000000000000000000000000", + "MEM_RAS_VAL": "00000000000000000000000000000000", + "MEM_RA_SIZE": "00000000000000000000000000001101", + "MEM_RCD_VAL": "00000000000000000000000000000001", + "MEM_REFI_VAL": "00000000000000000000000000000000", + "MEM_RFC_VAL": "00000000000000000000000000000000", + "MEM_RP_VAL": "00000000000000000000000000000000", + "MEM_RTP_VAL": "00000000000000000000000000000000", + "MEM_TYPE": "DDR3", + "MEM_WIDTH": "00000000000000000000000000000100", + "MEM_WR_VAL": "00000000000000000000000000000000", + "MEM_WTR_VAL": "00000000000000000000000000000011", + "PORT_CONFIG": "B32_B32_B32_B32" + }, + "ports": { + "CAS": { + "direction": "output", + "bits": [ 2 ] + }, + "CKE": { + "direction": "output", + "bits": [ 3 ] + }, + "DQIOWEN0": { + "direction": "output", + "bits": [ 4 ] + }, + "DQSIOWEN90N": { + "direction": "output", + "bits": [ 5 ] + }, + "DQSIOWEN90P": { + "direction": "output", + "bits": [ 6 ] + }, + "IOIDRPADD": { + "direction": "output", + "bits": [ 7 ] + }, + "IOIDRPBROADCAST": { + "direction": "output", + "bits": [ 8 ] + }, + "IOIDRPCLK": { + "direction": "output", + "bits": [ 9 ] + }, + "IOIDRPCS": { + "direction": "output", + "bits": [ 10 ] + }, + "IOIDRPSDO": { + "direction": "output", + "bits": [ 11 ] + }, + "IOIDRPTRAIN": { + "direction": "output", + "bits": [ 12 ] + }, + "IOIDRPUPDATE": { + "direction": "output", + "bits": [ 13 ] + }, + "LDMN": { + "direction": "output", + "bits": [ 14 ] + }, + "LDMP": { + "direction": "output", + "bits": [ 15 ] + }, + "ODT": { + "direction": "output", + "bits": [ 16 ] + }, + "P0CMDEMPTY": { + "direction": "output", + "bits": [ 17 ] + }, + "P0CMDFULL": { + "direction": "output", + "bits": [ 18 ] + }, + "P0RDEMPTY": { + "direction": "output", + "bits": [ 19 ] + }, + "P0RDERROR": { + "direction": "output", + "bits": [ 20 ] + }, + "P0RDFULL": { + "direction": "output", + "bits": [ 21 ] + }, + "P0RDOVERFLOW": { + "direction": "output", + "bits": [ 22 ] + }, + "P0WREMPTY": { + "direction": "output", + "bits": [ 23 ] + }, + "P0WRERROR": { + "direction": "output", + "bits": [ 24 ] + }, + "P0WRFULL": { + "direction": "output", + "bits": [ 25 ] + }, + "P0WRUNDERRUN": { + "direction": "output", + "bits": [ 26 ] + }, + "P1CMDEMPTY": { + "direction": "output", + "bits": [ 27 ] + }, + "P1CMDFULL": { + "direction": "output", + "bits": [ 28 ] + }, + "P1RDEMPTY": { + "direction": "output", + "bits": [ 29 ] + }, + "P1RDERROR": { + "direction": "output", + "bits": [ 30 ] + }, + "P1RDFULL": { + "direction": "output", + "bits": [ 31 ] + }, + "P1RDOVERFLOW": { + "direction": "output", + "bits": [ 32 ] + }, + "P1WREMPTY": { + "direction": "output", + "bits": [ 33 ] + }, + "P1WRERROR": { + "direction": "output", + "bits": [ 34 ] + }, + "P1WRFULL": { + "direction": "output", + "bits": [ 35 ] + }, + "P1WRUNDERRUN": { + "direction": "output", + "bits": [ 36 ] + }, + "P2CMDEMPTY": { + "direction": "output", + "bits": [ 37 ] + }, + "P2CMDFULL": { + "direction": "output", + "bits": [ 38 ] + }, + "P2EMPTY": { + "direction": "output", + "bits": [ 39 ] + }, + "P2ERROR": { + "direction": "output", + "bits": [ 40 ] + }, + "P2FULL": { + "direction": "output", + "bits": [ 41 ] + }, + "P2RDOVERFLOW": { + "direction": "output", + "bits": [ 42 ] + }, + "P2WRUNDERRUN": { + "direction": "output", + "bits": [ 43 ] + }, + "P3CMDEMPTY": { + "direction": "output", + "bits": [ 44 ] + }, + "P3CMDFULL": { + "direction": "output", + "bits": [ 45 ] + }, + "P3EMPTY": { + "direction": "output", + "bits": [ 46 ] + }, + "P3ERROR": { + "direction": "output", + "bits": [ 47 ] + }, + "P3FULL": { + "direction": "output", + "bits": [ 48 ] + }, + "P3RDOVERFLOW": { + "direction": "output", + "bits": [ 49 ] + }, + "P3WRUNDERRUN": { + "direction": "output", + "bits": [ 50 ] + }, + "P4CMDEMPTY": { + "direction": "output", + "bits": [ 51 ] + }, + "P4CMDFULL": { + "direction": "output", + "bits": [ 52 ] + }, + "P4EMPTY": { + "direction": "output", + "bits": [ 53 ] + }, + "P4ERROR": { + "direction": "output", + "bits": [ 54 ] + }, + "P4FULL": { + "direction": "output", + "bits": [ 55 ] + }, + "P4RDOVERFLOW": { + "direction": "output", + "bits": [ 56 ] + }, + "P4WRUNDERRUN": { + "direction": "output", + "bits": [ 57 ] + }, + "P5CMDEMPTY": { + "direction": "output", + "bits": [ 58 ] + }, + "P5CMDFULL": { + "direction": "output", + "bits": [ 59 ] + }, + "P5EMPTY": { + "direction": "output", + "bits": [ 60 ] + }, + "P5ERROR": { + "direction": "output", + "bits": [ 61 ] + }, + "P5FULL": { + "direction": "output", + "bits": [ 62 ] + }, + "P5RDOVERFLOW": { + "direction": "output", + "bits": [ 63 ] + }, + "P5WRUNDERRUN": { + "direction": "output", + "bits": [ 64 ] + }, + "RAS": { + "direction": "output", + "bits": [ 65 ] + }, + "RST": { + "direction": "output", + "bits": [ 66 ] + }, + "SELFREFRESHMODE": { + "direction": "output", + "bits": [ 67 ] + }, + "UDMN": { + "direction": "output", + "bits": [ 68 ] + }, + "UDMP": { + "direction": "output", + "bits": [ 69 ] + }, + "UOCALSTART": { + "direction": "output", + "bits": [ 70 ] + }, + "UOCMDREADYIN": { + "direction": "output", + "bits": [ 71 ] + }, + "UODATAVALID": { + "direction": "output", + "bits": [ 72 ] + }, + "UODONECAL": { + "direction": "output", + "bits": [ 73 ] + }, + "UOREFRSHFLAG": { + "direction": "output", + "bits": [ 74 ] + }, + "UOSDO": { + "direction": "output", + "bits": [ 75 ] + }, + "WE": { + "direction": "output", + "bits": [ 76 ] + }, + "ADDR": { + "direction": "output", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91 ] + }, + "DQON": { + "direction": "output", + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107 ] + }, + "DQOP": { + "direction": "output", + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123 ] + }, + "BA": { + "direction": "output", + "bits": [ 124, 125, 126 ] + }, + "P0RDDATA": { + "direction": "output", + "bits": [ 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158 ] + }, + "P1RDDATA": { + "direction": "output", + "bits": [ 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190 ] + }, + "P2RDDATA": { + "direction": "output", + "bits": [ 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222 ] + }, + "P3RDDATA": { + "direction": "output", + "bits": [ 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254 ] + }, + "P4RDDATA": { + "direction": "output", + "bits": [ 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286 ] + }, + "P5RDDATA": { + "direction": "output", + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318 ] + }, + "STATUS": { + "direction": "output", + "bits": [ 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350 ] + }, + "IOIDRPADDR": { + "direction": "output", + "bits": [ 351, 352, 353, 354, 355 ] + }, + "P0RDCOUNT": { + "direction": "output", + "bits": [ 356, 357, 358, 359, 360, 361, 362 ] + }, + "P0WRCOUNT": { + "direction": "output", + "bits": [ 363, 364, 365, 366, 367, 368, 369 ] + }, + "P1RDCOUNT": { + "direction": "output", + "bits": [ 370, 371, 372, 373, 374, 375, 376 ] + }, + "P1WRCOUNT": { + "direction": "output", + "bits": [ 377, 378, 379, 380, 381, 382, 383 ] + }, + "P2COUNT": { + "direction": "output", + "bits": [ 384, 385, 386, 387, 388, 389, 390 ] + }, + "P3COUNT": { + "direction": "output", + "bits": [ 391, 392, 393, 394, 395, 396, 397 ] + }, + "P4COUNT": { + "direction": "output", + "bits": [ 398, 399, 400, 401, 402, 403, 404 ] + }, + "P5COUNT": { + "direction": "output", + "bits": [ 405, 406, 407, 408, 409, 410, 411 ] + }, + "UODATA": { + "direction": "output", + "bits": [ 412, 413, 414, 415, 416, 417, 418, 419 ] + }, + "DQSIOIN": { + "direction": "input", + "bits": [ 420 ] + }, + "DQSIOIP": { + "direction": "input", + "bits": [ 421 ] + }, + "IOIDRPSDI": { + "direction": "input", + "bits": [ 422 ] + }, + "P0ARBEN": { + "direction": "input", + "bits": [ 423 ] + }, + "P0CMDCLK": { + "direction": "input", + "bits": [ 424 ] + }, + "P0CMDEN": { + "direction": "input", + "bits": [ 425 ] + }, + "P0RDCLK": { + "direction": "input", + "bits": [ 426 ] + }, + "P0RDEN": { + "direction": "input", + "bits": [ 427 ] + }, + "P0WRCLK": { + "direction": "input", + "bits": [ 428 ] + }, + "P0WREN": { + "direction": "input", + "bits": [ 429 ] + }, + "P1ARBEN": { + "direction": "input", + "bits": [ 430 ] + }, + "P1CMDCLK": { + "direction": "input", + "bits": [ 431 ] + }, + "P1CMDEN": { + "direction": "input", + "bits": [ 432 ] + }, + "P1RDCLK": { + "direction": "input", + "bits": [ 433 ] + }, + "P1RDEN": { + "direction": "input", + "bits": [ 434 ] + }, + "P1WRCLK": { + "direction": "input", + "bits": [ 435 ] + }, + "P1WREN": { + "direction": "input", + "bits": [ 436 ] + }, + "P2ARBEN": { + "direction": "input", + "bits": [ 437 ] + }, + "P2CLK": { + "direction": "input", + "bits": [ 438 ] + }, + "P2CMDCLK": { + "direction": "input", + "bits": [ 439 ] + }, + "P2CMDEN": { + "direction": "input", + "bits": [ 440 ] + }, + "P2EN": { + "direction": "input", + "bits": [ 441 ] + }, + "P3ARBEN": { + "direction": "input", + "bits": [ 442 ] + }, + "P3CLK": { + "direction": "input", + "bits": [ 443 ] + }, + "P3CMDCLK": { + "direction": "input", + "bits": [ 444 ] + }, + "P3CMDEN": { + "direction": "input", + "bits": [ 445 ] + }, + "P3EN": { + "direction": "input", + "bits": [ 446 ] + }, + "P4ARBEN": { + "direction": "input", + "bits": [ 447 ] + }, + "P4CLK": { + "direction": "input", + "bits": [ 448 ] + }, + "P4CMDCLK": { + "direction": "input", + "bits": [ 449 ] + }, + "P4CMDEN": { + "direction": "input", + "bits": [ 450 ] + }, + "P4EN": { + "direction": "input", + "bits": [ 451 ] + }, + "P5ARBEN": { + "direction": "input", + "bits": [ 452 ] + }, + "P5CLK": { + "direction": "input", + "bits": [ 453 ] + }, + "P5CMDCLK": { + "direction": "input", + "bits": [ 454 ] + }, + "P5CMDEN": { + "direction": "input", + "bits": [ 455 ] + }, + "P5EN": { + "direction": "input", + "bits": [ 456 ] + }, + "PLLLOCK": { + "direction": "input", + "bits": [ 457 ] + }, + "RECAL": { + "direction": "input", + "bits": [ 458 ] + }, + "SELFREFRESHENTER": { + "direction": "input", + "bits": [ 459 ] + }, + "SYSRST": { + "direction": "input", + "bits": [ 460 ] + }, + "UDQSIOIN": { + "direction": "input", + "bits": [ 461 ] + }, + "UDQSIOIP": { + "direction": "input", + "bits": [ 462 ] + }, + "UIADD": { + "direction": "input", + "bits": [ 463 ] + }, + "UIBROADCAST": { + "direction": "input", + "bits": [ 464 ] + }, + "UICLK": { + "direction": "input", + "bits": [ 465 ] + }, + "UICMD": { + "direction": "input", + "bits": [ 466 ] + }, + "UICMDEN": { + "direction": "input", + "bits": [ 467 ] + }, + "UICMDIN": { + "direction": "input", + "bits": [ 468 ] + }, + "UICS": { + "direction": "input", + "bits": [ 469 ] + }, + "UIDONECAL": { + "direction": "input", + "bits": [ 470 ] + }, + "UIDQLOWERDEC": { + "direction": "input", + "bits": [ 471 ] + }, + "UIDQLOWERINC": { + "direction": "input", + "bits": [ 472 ] + }, + "UIDQUPPERDEC": { + "direction": "input", + "bits": [ 473 ] + }, + "UIDQUPPERINC": { + "direction": "input", + "bits": [ 474 ] + }, + "UIDRPUPDATE": { + "direction": "input", + "bits": [ 475 ] + }, + "UILDQSDEC": { + "direction": "input", + "bits": [ 476 ] + }, + "UILDQSINC": { + "direction": "input", + "bits": [ 477 ] + }, + "UIREAD": { + "direction": "input", + "bits": [ 478 ] + }, + "UISDI": { + "direction": "input", + "bits": [ 479 ] + }, + "UIUDQSDEC": { + "direction": "input", + "bits": [ 480 ] + }, + "UIUDQSINC": { + "direction": "input", + "bits": [ 481 ] + }, + "P0CMDCA": { + "direction": "input", + "bits": [ 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493 ] + }, + "P1CMDCA": { + "direction": "input", + "bits": [ 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505 ] + }, + "P2CMDCA": { + "direction": "input", + "bits": [ 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517 ] + }, + "P3CMDCA": { + "direction": "input", + "bits": [ 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529 ] + }, + "P4CMDCA": { + "direction": "input", + "bits": [ 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541 ] + }, + "P5CMDCA": { + "direction": "input", + "bits": [ 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553 ] + }, + "P0CMDRA": { + "direction": "input", + "bits": [ 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568 ] + }, + "P1CMDRA": { + "direction": "input", + "bits": [ 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583 ] + }, + "P2CMDRA": { + "direction": "input", + "bits": [ 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598 ] + }, + "P3CMDRA": { + "direction": "input", + "bits": [ 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613 ] + }, + "P4CMDRA": { + "direction": "input", + "bits": [ 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628 ] + }, + "P5CMDRA": { + "direction": "input", + "bits": [ 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643 ] + }, + "DQI": { + "direction": "input", + "bits": [ 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659 ] + }, + "PLLCE": { + "direction": "input", + "bits": [ 660, 661 ] + }, + "PLLCLK": { + "direction": "input", + "bits": [ 662, 663 ] + }, + "P0CMDBA": { + "direction": "input", + "bits": [ 664, 665, 666 ] + }, + "P0CMDINSTR": { + "direction": "input", + "bits": [ 667, 668, 669 ] + }, + "P1CMDBA": { + "direction": "input", + "bits": [ 670, 671, 672 ] + }, + "P1CMDINSTR": { + "direction": "input", + "bits": [ 673, 674, 675 ] + }, + "P2CMDBA": { + "direction": "input", + "bits": [ 676, 677, 678 ] + }, + "P2CMDINSTR": { + "direction": "input", + "bits": [ 679, 680, 681 ] + }, + "P3CMDBA": { + "direction": "input", + "bits": [ 682, 683, 684 ] + }, + "P3CMDINSTR": { + "direction": "input", + "bits": [ 685, 686, 687 ] + }, + "P4CMDBA": { + "direction": "input", + "bits": [ 688, 689, 690 ] + }, + "P4CMDINSTR": { + "direction": "input", + "bits": [ 691, 692, 693 ] + }, + "P5CMDBA": { + "direction": "input", + "bits": [ 694, 695, 696 ] + }, + "P5CMDINSTR": { + "direction": "input", + "bits": [ 697, 698, 699 ] + }, + "P0WRDATA": { + "direction": "input", + "bits": [ 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731 ] + }, + "P1WRDATA": { + "direction": "input", + "bits": [ 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763 ] + }, + "P2WRDATA": { + "direction": "input", + "bits": [ 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795 ] + }, + "P3WRDATA": { + "direction": "input", + "bits": [ 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827 ] + }, + "P4WRDATA": { + "direction": "input", + "bits": [ 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859 ] + }, + "P5WRDATA": { + "direction": "input", + "bits": [ 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891 ] + }, + "P0RWRMASK": { + "direction": "input", + "bits": [ 892, 893, 894, 895 ] + }, + "P1RWRMASK": { + "direction": "input", + "bits": [ 896, 897, 898, 899 ] + }, + "P2WRMASK": { + "direction": "input", + "bits": [ 900, 901, 902, 903 ] + }, + "P3WRMASK": { + "direction": "input", + "bits": [ 904, 905, 906, 907 ] + }, + "P4WRMASK": { + "direction": "input", + "bits": [ 908, 909, 910, 911 ] + }, + "P5WRMASK": { + "direction": "input", + "bits": [ 912, 913, 914, 915 ] + }, + "UIDQCOUNT": { + "direction": "input", + "bits": [ 916, 917, 918, 919 ] + }, + "UIADDR": { + "direction": "input", + "bits": [ 920, 921, 922, 923, 924 ] + }, + "P0CMDBL": { + "direction": "input", + "bits": [ 925, 926, 927, 928, 929, 930 ] + }, + "P1CMDBL": { + "direction": "input", + "bits": [ 931, 932, 933, 934, 935, 936 ] + }, + "P2CMDBL": { + "direction": "input", + "bits": [ 937, 938, 939, 940, 941, 942 ] + }, + "P3CMDBL": { + "direction": "input", + "bits": [ 943, 944, 945, 946, 947, 948 ] + }, + "P4CMDBL": { + "direction": "input", + "bits": [ 949, 950, 951, 952, 953, 954 ] + }, + "P5CMDBL": { + "direction": "input", + "bits": [ 955, 956, 957, 958, 959, 960 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28162.19-28162.23" + } + }, + "BA": { + "hide_name": 0, + "bits": [ 124, 125, 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28165.18-28165.20" + } + }, + "CAS": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28087.12-28087.15" + } + }, + "CKE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28088.12-28088.15" + } + }, + "DQI": { + "hide_name": 0, + "bits": [ 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28257.18-28257.21" + } + }, + "DQIOWEN0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28089.12-28089.20" + } + }, + "DQON": { + "hide_name": 0, + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28163.19-28163.23" + } + }, + "DQOP": { + "hide_name": 0, + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28164.19-28164.23" + } + }, + "DQSIOIN": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28183.11-28183.18" + } + }, + "DQSIOIP": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28184.11-28184.18" + } + }, + "DQSIOWEN90N": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28090.12-28090.23" + } + }, + "DQSIOWEN90P": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28091.12-28091.23" + } + }, + "IOIDRPADD": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28092.12-28092.21" + } + }, + "IOIDRPADDR": { + "hide_name": 0, + "bits": [ 351, 352, 353, 354, 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28173.18-28173.28" + } + }, + "IOIDRPBROADCAST": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28093.12-28093.27" + } + }, + "IOIDRPCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28094.12-28094.21" + } + }, + "IOIDRPCS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28095.12-28095.20" + } + }, + "IOIDRPSDI": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28185.11-28185.20" + } + }, + "IOIDRPSDO": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28096.12-28096.21" + } + }, + "IOIDRPTRAIN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28097.12-28097.23" + } + }, + "IOIDRPUPDATE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28098.12-28098.24" + } + }, + "LDMN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28099.12-28099.16" + } + }, + "LDMP": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28100.12-28100.16" + } + }, + "ODT": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28101.12-28101.15" + } + }, + "P0ARBEN": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28186.11-28186.18" + } + }, + "P0CMDBA": { + "hide_name": 0, + "bits": [ 664, 665, 666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28260.17-28260.24" + } + }, + "P0CMDBL": { + "hide_name": 0, + "bits": [ 925, 926, 927, 928, 929, 930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28286.17-28286.24" + } + }, + "P0CMDCA": { + "hide_name": 0, + "bits": [ 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28245.18-28245.25" + } + }, + "P0CMDCLK": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28187.11-28187.19" + } + }, + "P0CMDEMPTY": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28102.12-28102.22" + } + }, + "P0CMDEN": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28188.11-28188.18" + } + }, + "P0CMDFULL": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28103.12-28103.21" + } + }, + "P0CMDINSTR": { + "hide_name": 0, + "bits": [ 667, 668, 669 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28261.17-28261.27" + } + }, + "P0CMDRA": { + "hide_name": 0, + "bits": [ 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28251.18-28251.25" + } + }, + "P0RDCLK": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28189.11-28189.18" + } + }, + "P0RDCOUNT": { + "hide_name": 0, + "bits": [ 356, 357, 358, 359, 360, 361, 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28174.18-28174.27" + } + }, + "P0RDDATA": { + "hide_name": 0, + "bits": [ 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28166.19-28166.27" + } + }, + "P0RDEMPTY": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28104.12-28104.21" + } + }, + "P0RDEN": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28190.11-28190.17" + } + }, + "P0RDERROR": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28105.12-28105.21" + } + }, + "P0RDFULL": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28106.12-28106.20" + } + }, + "P0RDOVERFLOW": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28107.12-28107.24" + } + }, + "P0RWRMASK": { + "hide_name": 0, + "bits": [ 892, 893, 894, 895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28278.17-28278.26" + } + }, + "P0WRCLK": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28191.11-28191.18" + } + }, + "P0WRCOUNT": { + "hide_name": 0, + "bits": [ 363, 364, 365, 366, 367, 368, 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28175.18-28175.27" + } + }, + "P0WRDATA": { + "hide_name": 0, + "bits": [ 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28272.18-28272.26" + } + }, + "P0WREMPTY": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28108.12-28108.21" + } + }, + "P0WREN": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28192.11-28192.17" + } + }, + "P0WRERROR": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28109.12-28109.21" + } + }, + "P0WRFULL": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28110.12-28110.20" + } + }, + "P0WRUNDERRUN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28111.12-28111.24" + } + }, + "P1ARBEN": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28193.11-28193.18" + } + }, + "P1CMDBA": { + "hide_name": 0, + "bits": [ 670, 671, 672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28262.17-28262.24" + } + }, + "P1CMDBL": { + "hide_name": 0, + "bits": [ 931, 932, 933, 934, 935, 936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28287.17-28287.24" + } + }, + "P1CMDCA": { + "hide_name": 0, + "bits": [ 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28246.18-28246.25" + } + }, + "P1CMDCLK": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28194.11-28194.19" + } + }, + "P1CMDEMPTY": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28112.12-28112.22" + } + }, + "P1CMDEN": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28195.11-28195.18" + } + }, + "P1CMDFULL": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28113.12-28113.21" + } + }, + "P1CMDINSTR": { + "hide_name": 0, + "bits": [ 673, 674, 675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28263.17-28263.27" + } + }, + "P1CMDRA": { + "hide_name": 0, + "bits": [ 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28252.18-28252.25" + } + }, + "P1RDCLK": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28196.11-28196.18" + } + }, + "P1RDCOUNT": { + "hide_name": 0, + "bits": [ 370, 371, 372, 373, 374, 375, 376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28176.18-28176.27" + } + }, + "P1RDDATA": { + "hide_name": 0, + "bits": [ 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28167.19-28167.27" + } + }, + "P1RDEMPTY": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28114.12-28114.21" + } + }, + "P1RDEN": { + "hide_name": 0, + "bits": [ 434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28197.11-28197.17" + } + }, + "P1RDERROR": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28115.12-28115.21" + } + }, + "P1RDFULL": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28116.12-28116.20" + } + }, + "P1RDOVERFLOW": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28117.12-28117.24" + } + }, + "P1RWRMASK": { + "hide_name": 0, + "bits": [ 896, 897, 898, 899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28279.17-28279.26" + } + }, + "P1WRCLK": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28198.11-28198.18" + } + }, + "P1WRCOUNT": { + "hide_name": 0, + "bits": [ 377, 378, 379, 380, 381, 382, 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28177.18-28177.27" + } + }, + "P1WRDATA": { + "hide_name": 0, + "bits": [ 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28273.18-28273.26" + } + }, + "P1WREMPTY": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28118.12-28118.21" + } + }, + "P1WREN": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28199.11-28199.17" + } + }, + "P1WRERROR": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28119.12-28119.21" + } + }, + "P1WRFULL": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28120.12-28120.20" + } + }, + "P1WRUNDERRUN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28121.12-28121.24" + } + }, + "P2ARBEN": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28200.11-28200.18" + } + }, + "P2CLK": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28201.11-28201.16" + } + }, + "P2CMDBA": { + "hide_name": 0, + "bits": [ 676, 677, 678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28264.17-28264.24" + } + }, + "P2CMDBL": { + "hide_name": 0, + "bits": [ 937, 938, 939, 940, 941, 942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28288.17-28288.24" + } + }, + "P2CMDCA": { + "hide_name": 0, + "bits": [ 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28247.18-28247.25" + } + }, + "P2CMDCLK": { + "hide_name": 0, + "bits": [ 439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28202.11-28202.19" + } + }, + "P2CMDEMPTY": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28122.12-28122.22" + } + }, + "P2CMDEN": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28203.11-28203.18" + } + }, + "P2CMDFULL": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28123.12-28123.21" + } + }, + "P2CMDINSTR": { + "hide_name": 0, + "bits": [ 679, 680, 681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28265.17-28265.27" + } + }, + "P2CMDRA": { + "hide_name": 0, + "bits": [ 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28253.18-28253.25" + } + }, + "P2COUNT": { + "hide_name": 0, + "bits": [ 384, 385, 386, 387, 388, 389, 390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28178.18-28178.25" + } + }, + "P2EMPTY": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28124.12-28124.19" + } + }, + "P2EN": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28204.11-28204.15" + } + }, + "P2ERROR": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28125.12-28125.19" + } + }, + "P2FULL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28126.12-28126.18" + } + }, + "P2RDDATA": { + "hide_name": 0, + "bits": [ 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28168.19-28168.27" + } + }, + "P2RDOVERFLOW": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28127.12-28127.24" + } + }, + "P2WRDATA": { + "hide_name": 0, + "bits": [ 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28274.18-28274.26" + } + }, + "P2WRMASK": { + "hide_name": 0, + "bits": [ 900, 901, 902, 903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28280.17-28280.25" + } + }, + "P2WRUNDERRUN": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28128.12-28128.24" + } + }, + "P3ARBEN": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28205.11-28205.18" + } + }, + "P3CLK": { + "hide_name": 0, + "bits": [ 443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28206.11-28206.16" + } + }, + "P3CMDBA": { + "hide_name": 0, + "bits": [ 682, 683, 684 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28266.17-28266.24" + } + }, + "P3CMDBL": { + "hide_name": 0, + "bits": [ 943, 944, 945, 946, 947, 948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28289.17-28289.24" + } + }, + "P3CMDCA": { + "hide_name": 0, + "bits": [ 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28248.18-28248.25" + } + }, + "P3CMDCLK": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28207.11-28207.19" + } + }, + "P3CMDEMPTY": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28129.12-28129.22" + } + }, + "P3CMDEN": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28208.11-28208.18" + } + }, + "P3CMDFULL": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28130.12-28130.21" + } + }, + "P3CMDINSTR": { + "hide_name": 0, + "bits": [ 685, 686, 687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28267.17-28267.27" + } + }, + "P3CMDRA": { + "hide_name": 0, + "bits": [ 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28254.18-28254.25" + } + }, + "P3COUNT": { + "hide_name": 0, + "bits": [ 391, 392, 393, 394, 395, 396, 397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28179.18-28179.25" + } + }, + "P3EMPTY": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28131.12-28131.19" + } + }, + "P3EN": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28209.11-28209.15" + } + }, + "P3ERROR": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28132.12-28132.19" + } + }, + "P3FULL": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28133.12-28133.18" + } + }, + "P3RDDATA": { + "hide_name": 0, + "bits": [ 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28169.19-28169.27" + } + }, + "P3RDOVERFLOW": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28134.12-28134.24" + } + }, + "P3WRDATA": { + "hide_name": 0, + "bits": [ 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28275.18-28275.26" + } + }, + "P3WRMASK": { + "hide_name": 0, + "bits": [ 904, 905, 906, 907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28281.17-28281.25" + } + }, + "P3WRUNDERRUN": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28135.12-28135.24" + } + }, + "P4ARBEN": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28210.11-28210.18" + } + }, + "P4CLK": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28211.11-28211.16" + } + }, + "P4CMDBA": { + "hide_name": 0, + "bits": [ 688, 689, 690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28268.17-28268.24" + } + }, + "P4CMDBL": { + "hide_name": 0, + "bits": [ 949, 950, 951, 952, 953, 954 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28290.17-28290.24" + } + }, + "P4CMDCA": { + "hide_name": 0, + "bits": [ 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28249.18-28249.25" + } + }, + "P4CMDCLK": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28212.11-28212.19" + } + }, + "P4CMDEMPTY": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28136.12-28136.22" + } + }, + "P4CMDEN": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28213.11-28213.18" + } + }, + "P4CMDFULL": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28137.12-28137.21" + } + }, + "P4CMDINSTR": { + "hide_name": 0, + "bits": [ 691, 692, 693 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28269.17-28269.27" + } + }, + "P4CMDRA": { + "hide_name": 0, + "bits": [ 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28255.18-28255.25" + } + }, + "P4COUNT": { + "hide_name": 0, + "bits": [ 398, 399, 400, 401, 402, 403, 404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28180.18-28180.25" + } + }, + "P4EMPTY": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28138.12-28138.19" + } + }, + "P4EN": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28214.11-28214.15" + } + }, + "P4ERROR": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28139.12-28139.19" + } + }, + "P4FULL": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28140.12-28140.18" + } + }, + "P4RDDATA": { + "hide_name": 0, + "bits": [ 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28170.19-28170.27" + } + }, + "P4RDOVERFLOW": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28141.12-28141.24" + } + }, + "P4WRDATA": { + "hide_name": 0, + "bits": [ 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28276.18-28276.26" + } + }, + "P4WRMASK": { + "hide_name": 0, + "bits": [ 908, 909, 910, 911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28282.17-28282.25" + } + }, + "P4WRUNDERRUN": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28142.12-28142.24" + } + }, + "P5ARBEN": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28215.11-28215.18" + } + }, + "P5CLK": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28216.11-28216.16" + } + }, + "P5CMDBA": { + "hide_name": 0, + "bits": [ 694, 695, 696 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28270.17-28270.24" + } + }, + "P5CMDBL": { + "hide_name": 0, + "bits": [ 955, 956, 957, 958, 959, 960 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28291.17-28291.24" + } + }, + "P5CMDCA": { + "hide_name": 0, + "bits": [ 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28250.18-28250.25" + } + }, + "P5CMDCLK": { + "hide_name": 0, + "bits": [ 454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28217.11-28217.19" + } + }, + "P5CMDEMPTY": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28143.12-28143.22" + } + }, + "P5CMDEN": { + "hide_name": 0, + "bits": [ 455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28218.11-28218.18" + } + }, + "P5CMDFULL": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28144.12-28144.21" + } + }, + "P5CMDINSTR": { + "hide_name": 0, + "bits": [ 697, 698, 699 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28271.17-28271.27" + } + }, + "P5CMDRA": { + "hide_name": 0, + "bits": [ 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28256.18-28256.25" + } + }, + "P5COUNT": { + "hide_name": 0, + "bits": [ 405, 406, 407, 408, 409, 410, 411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28181.18-28181.25" + } + }, + "P5EMPTY": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28145.12-28145.19" + } + }, + "P5EN": { + "hide_name": 0, + "bits": [ 456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28219.11-28219.15" + } + }, + "P5ERROR": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28146.12-28146.19" + } + }, + "P5FULL": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28147.12-28147.18" + } + }, + "P5RDDATA": { + "hide_name": 0, + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28171.19-28171.27" + } + }, + "P5RDOVERFLOW": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28148.12-28148.24" + } + }, + "P5WRDATA": { + "hide_name": 0, + "bits": [ 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28277.18-28277.26" + } + }, + "P5WRMASK": { + "hide_name": 0, + "bits": [ 912, 913, 914, 915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28283.17-28283.25" + } + }, + "P5WRUNDERRUN": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28149.12-28149.24" + } + }, + "PLLCE": { + "hide_name": 0, + "bits": [ 660, 661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28258.17-28258.22" + } + }, + "PLLCLK": { + "hide_name": 0, + "bits": [ 662, 663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28259.17-28259.23" + } + }, + "PLLLOCK": { + "hide_name": 0, + "bits": [ 457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28220.11-28220.18" + } + }, + "RAS": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28150.12-28150.15" + } + }, + "RECAL": { + "hide_name": 0, + "bits": [ 458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28221.11-28221.16" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28151.12-28151.15" + } + }, + "SELFREFRESHENTER": { + "hide_name": 0, + "bits": [ 459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28222.11-28222.27" + } + }, + "SELFREFRESHMODE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28152.12-28152.27" + } + }, + "STATUS": { + "hide_name": 0, + "bits": [ 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28172.19-28172.25" + } + }, + "SYSRST": { + "hide_name": 0, + "bits": [ 460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28223.11-28223.17" + } + }, + "UDMN": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28153.12-28153.16" + } + }, + "UDMP": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28154.12-28154.16" + } + }, + "UDQSIOIN": { + "hide_name": 0, + "bits": [ 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28224.11-28224.19" + } + }, + "UDQSIOIP": { + "hide_name": 0, + "bits": [ 462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28225.11-28225.19" + } + }, + "UIADD": { + "hide_name": 0, + "bits": [ 463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28226.11-28226.16" + } + }, + "UIADDR": { + "hide_name": 0, + "bits": [ 920, 921, 922, 923, 924 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28285.17-28285.23" + } + }, + "UIBROADCAST": { + "hide_name": 0, + "bits": [ 464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28227.11-28227.22" + } + }, + "UICLK": { + "hide_name": 0, + "bits": [ 465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28228.11-28228.16" + } + }, + "UICMD": { + "hide_name": 0, + "bits": [ 466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28229.11-28229.16" + } + }, + "UICMDEN": { + "hide_name": 0, + "bits": [ 467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28230.11-28230.18" + } + }, + "UICMDIN": { + "hide_name": 0, + "bits": [ 468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28231.11-28231.18" + } + }, + "UICS": { + "hide_name": 0, + "bits": [ 469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28232.11-28232.15" + } + }, + "UIDONECAL": { + "hide_name": 0, + "bits": [ 470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28233.11-28233.20" + } + }, + "UIDQCOUNT": { + "hide_name": 0, + "bits": [ 916, 917, 918, 919 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28284.17-28284.26" + } + }, + "UIDQLOWERDEC": { + "hide_name": 0, + "bits": [ 471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28234.11-28234.23" + } + }, + "UIDQLOWERINC": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28235.11-28235.23" + } + }, + "UIDQUPPERDEC": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28236.11-28236.23" + } + }, + "UIDQUPPERINC": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28237.11-28237.23" + } + }, + "UIDRPUPDATE": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28238.11-28238.22" + } + }, + "UILDQSDEC": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28239.11-28239.20" + } + }, + "UILDQSINC": { + "hide_name": 0, + "bits": [ 477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28240.11-28240.20" + } + }, + "UIREAD": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28241.11-28241.17" + } + }, + "UISDI": { + "hide_name": 0, + "bits": [ 479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28242.11-28242.16" + } + }, + "UIUDQSDEC": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28243.11-28243.20" + } + }, + "UIUDQSINC": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28244.11-28244.20" + } + }, + "UOCALSTART": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28155.12-28155.22" + } + }, + "UOCMDREADYIN": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28156.12-28156.24" + } + }, + "UODATA": { + "hide_name": 0, + "bits": [ 412, 413, 414, 415, 416, 417, 418, 419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28182.18-28182.24" + } + }, + "UODATAVALID": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28157.12-28157.23" + } + }, + "UODONECAL": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28158.12-28158.21" + } + }, + "UOREFRSHFLAG": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28159.12-28159.24" + } + }, + "UOSDO": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28160.12-28160.17" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:28161.12-28161.14" + } + } + } + }, + "MMCME2_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8655.1-8748.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKFBOUT_USE_FINE_PS": "FALSE", + "CLKOUT0_USE_FINE_PS": "FALSE", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_USE_FINE_PS": "FALSE", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_USE_FINE_PS": "FALSE", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_USE_FINE_PS": "FALSE", + "CLKOUT4_CASCADE": "FALSE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_USE_FINE_PS": "FALSE", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_USE_FINE_PS": "FALSE", + "CLKOUT6_DIVIDE": "00000000000000000000000000000001", + "CLKOUT6_USE_FINE_PS": "FALSE", + "COMPENSATION": "ZHOLD", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKINSEL_INVERTED": "0", + "IS_PSEN_INVERTED": "0", + "IS_PSINCDEC_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "SS_EN": "FALSE", + "SS_MODE": "CENTER_HIGH", + "SS_MOD_PERIOD": "00000000000000000010011100010000", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKFBSTOPPED": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKINSTOPPED": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUT2B": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUT3B": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 14 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKOUT6": { + "direction": "output", + "bits": [ 16 ] + }, + "DO": { + "direction": "output", + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 33 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 34 ] + }, + "PSDONE": { + "direction": "output", + "bits": [ 35 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 36 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 37 ] + }, + "CLKIN2": { + "direction": "input", + "bits": [ 38 ] + }, + "CLKINSEL": { + "direction": "input", + "bits": [ 39 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 47 ] + }, + "DEN": { + "direction": "input", + "bits": [ 48 ] + }, + "DI": { + "direction": "input", + "bits": [ 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64 ] + }, + "DWE": { + "direction": "input", + "bits": [ 65 ] + }, + "PSCLK": { + "direction": "input", + "bits": [ 66 ] + }, + "PSEN": { + "direction": "input", + "bits": [ 67 ] + }, + "PSINCDEC": { + "direction": "input", + "bits": [ 68 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 69 ] + }, + "RST": { + "direction": "input", + "bits": [ 70 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8729.11-8729.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8710.12-8710.20" + } + }, + "CLKFBOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8711.12-8711.21" + } + }, + "CLKFBSTOPPED": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8712.12-8712.24" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8730.11-8730.17" + } + }, + "CLKIN2": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8731.11-8731.17" + } + }, + "CLKINSEL": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "invertible_pin": "IS_CLKINSEL_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8733.11-8733.19" + } + }, + "CLKINSTOPPED": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8713.12-8713.24" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8714.12-8714.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8715.12-8715.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8716.12-8716.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8717.12-8717.20" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8718.12-8718.19" + } + }, + "CLKOUT2B": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8719.12-8719.20" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8720.12-8720.19" + } + }, + "CLKOUT3B": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8721.12-8721.20" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8722.12-8722.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8723.12-8723.19" + } + }, + "CLKOUT6": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8724.12-8724.19" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8734.17-8734.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8735.11-8735.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8736.11-8736.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8737.18-8737.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8725.19-8725.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8726.12-8726.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8738.11-8738.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8727.12-8727.18" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8739.11-8739.16" + } + }, + "PSDONE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8728.12-8728.18" + } + }, + "PSEN": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "invertible_pin": "IS_PSEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8741.11-8741.15" + } + }, + "PSINCDEC": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "invertible_pin": "IS_PSINCDEC_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8743.11-8743.19" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8745.11-8745.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8747.11-8747.14" + } + } + } + }, + "MMCME2_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8750.1-8798.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_CASCADE": "FALSE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLKOUT6_DIVIDE": "00000000000000000000000000000001", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT2B": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUT3B": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUT6": { + "direction": "output", + "bits": [ 14 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 17 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 18 ] + }, + "RST": { + "direction": "input", + "bits": [ 19 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8794.11-8794.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8780.12-8780.20" + } + }, + "CLKFBOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8781.12-8781.21" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8795.11-8795.17" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8782.12-8782.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8783.12-8783.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8784.12-8784.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8785.12-8785.20" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8786.12-8786.19" + } + }, + "CLKOUT2B": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8787.12-8787.20" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8788.12-8788.19" + } + }, + "CLKOUT3B": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8789.12-8789.20" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8790.12-8790.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8791.12-8791.19" + } + }, + "CLKOUT6": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8792.12-8792.19" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8793.12-8793.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8796.11-8796.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8797.11-8797.14" + } + } + } + }, + "MMCME3_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8904.1-9005.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKFBOUT_USE_FINE_PS": "FALSE", + "CLKOUT0_USE_FINE_PS": "FALSE", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_USE_FINE_PS": "FALSE", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_USE_FINE_PS": "FALSE", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_USE_FINE_PS": "FALSE", + "CLKOUT4_CASCADE": "FALSE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_USE_FINE_PS": "FALSE", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_USE_FINE_PS": "FALSE", + "CLKOUT6_DIVIDE": "00000000000000000000000000000001", + "CLKOUT6_USE_FINE_PS": "FALSE", + "COMPENSATION": "AUTO", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKFBIN_INVERTED": "0", + "IS_CLKIN1_INVERTED": "0", + "IS_CLKIN2_INVERTED": "0", + "IS_CLKINSEL_INVERTED": "0", + "IS_PSEN_INVERTED": "0", + "IS_PSINCDEC_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "SS_EN": "FALSE", + "SS_MODE": "CENTER_HIGH", + "SS_MOD_PERIOD": "00000000000000000010011100010000", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CDDCDONE": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUT": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKFBOUTB": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKFBSTOPPED": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKINSTOPPED": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUT2B": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUT3B": { + "direction": "output", + "bits": [ 14 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 16 ] + }, + "CLKOUT6": { + "direction": "output", + "bits": [ 17 ] + }, + "DO": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 34 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 35 ] + }, + "PSDONE": { + "direction": "output", + "bits": [ 36 ] + }, + "CDDCREQ": { + "direction": "input", + "bits": [ 37 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 38 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 39 ] + }, + "CLKIN2": { + "direction": "input", + "bits": [ 40 ] + }, + "CLKINSEL": { + "direction": "input", + "bits": [ 41 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 42, 43, 44, 45, 46, 47, 48 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 49 ] + }, + "DEN": { + "direction": "input", + "bits": [ 50 ] + }, + "DI": { + "direction": "input", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "DWE": { + "direction": "input", + "bits": [ 67 ] + }, + "PSCLK": { + "direction": "input", + "bits": [ 68 ] + }, + "PSEN": { + "direction": "input", + "bits": [ 69 ] + }, + "PSINCDEC": { + "direction": "input", + "bits": [ 70 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 71 ] + }, + "RST": { + "direction": "input", + "bits": [ 72 ] + } + }, + "cells": { + }, + "netnames": { + "CDDCDONE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8962.12-8962.20" + } + }, + "CDDCREQ": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8982.11-8982.18" + } + }, + "CLKFBIN": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "invertible_pin": "IS_CLKFBIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8984.11-8984.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8963.12-8963.20" + } + }, + "CLKFBOUTB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8964.12-8964.21" + } + }, + "CLKFBSTOPPED": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8965.12-8965.24" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "invertible_pin": "IS_CLKIN1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8986.11-8986.17" + } + }, + "CLKIN2": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "invertible_pin": "IS_CLKIN2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8988.11-8988.17" + } + }, + "CLKINSEL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "invertible_pin": "IS_CLKINSEL_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8990.11-8990.19" + } + }, + "CLKINSTOPPED": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8966.12-8966.24" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8967.12-8967.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8968.12-8968.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8969.12-8969.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8970.12-8970.20" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8971.12-8971.19" + } + }, + "CLKOUT2B": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8972.12-8972.20" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8973.12-8973.19" + } + }, + "CLKOUT3B": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8974.12-8974.20" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8975.12-8975.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8976.12-8976.19" + } + }, + "CLKOUT6": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8977.12-8977.19" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8991.17-8991.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8992.11-8992.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8993.11-8993.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8994.18-8994.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8978.19-8978.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8979.12-8979.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8995.11-8995.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8980.12-8980.18" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8996.11-8996.16" + } + }, + "PSDONE": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8981.12-8981.18" + } + }, + "PSEN": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "invertible_pin": "IS_PSEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8998.11-8998.15" + } + }, + "PSINCDEC": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "invertible_pin": "IS_PSINCDEC_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9000.11-9000.19" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9002.11-9002.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9004.11-9004.14" + } + } + } + }, + "MMCME3_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9007.1-9063.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_CASCADE": "FALSE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLKOUT6_DIVIDE": "00000000000000000000000000000001", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKFBIN_INVERTED": "0", + "IS_CLKIN1_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT2B": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUT3B": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUT6": { + "direction": "output", + "bits": [ 14 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 17 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 18 ] + }, + "RST": { + "direction": "input", + "bits": [ 19 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "invertible_pin": "IS_CLKFBIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9056.11-9056.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9041.12-9041.20" + } + }, + "CLKFBOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9042.12-9042.21" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "invertible_pin": "IS_CLKIN1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9058.11-9058.17" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9043.12-9043.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9044.12-9044.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9045.12-9045.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9046.12-9046.20" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9047.12-9047.19" + } + }, + "CLKOUT2B": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9048.12-9048.20" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9049.12-9049.19" + } + }, + "CLKOUT3B": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9050.12-9050.20" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9051.12-9051.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9052.12-9052.19" + } + }, + "CLKOUT6": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9053.12-9053.19" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9054.12-9054.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9060.11-9060.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9062.11-9062.14" + } + } + } + }, + "MMCME4_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9151.1-9252.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKFBOUT_USE_FINE_PS": "FALSE", + "CLKOUT0_USE_FINE_PS": "FALSE", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_USE_FINE_PS": "FALSE", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_USE_FINE_PS": "FALSE", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_USE_FINE_PS": "FALSE", + "CLKOUT4_CASCADE": "FALSE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_USE_FINE_PS": "FALSE", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_USE_FINE_PS": "FALSE", + "CLKOUT6_DIVIDE": "00000000000000000000000000000001", + "CLKOUT6_USE_FINE_PS": "FALSE", + "COMPENSATION": "AUTO", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKFBIN_INVERTED": "0", + "IS_CLKIN1_INVERTED": "0", + "IS_CLKIN2_INVERTED": "0", + "IS_CLKINSEL_INVERTED": "0", + "IS_PSEN_INVERTED": "0", + "IS_PSINCDEC_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "SS_EN": "FALSE", + "SS_MODE": "CENTER_HIGH", + "SS_MOD_PERIOD": "00000000000000000010011100010000", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CDDCDONE": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUT": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKFBOUTB": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKFBSTOPPED": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKINSTOPPED": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUT2B": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUT3B": { + "direction": "output", + "bits": [ 14 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 16 ] + }, + "CLKOUT6": { + "direction": "output", + "bits": [ 17 ] + }, + "DO": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 34 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 35 ] + }, + "PSDONE": { + "direction": "output", + "bits": [ 36 ] + }, + "CDDCREQ": { + "direction": "input", + "bits": [ 37 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 38 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 39 ] + }, + "CLKIN2": { + "direction": "input", + "bits": [ 40 ] + }, + "CLKINSEL": { + "direction": "input", + "bits": [ 41 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 42, 43, 44, 45, 46, 47, 48 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 49 ] + }, + "DEN": { + "direction": "input", + "bits": [ 50 ] + }, + "DI": { + "direction": "input", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "DWE": { + "direction": "input", + "bits": [ 67 ] + }, + "PSCLK": { + "direction": "input", + "bits": [ 68 ] + }, + "PSEN": { + "direction": "input", + "bits": [ 69 ] + }, + "PSINCDEC": { + "direction": "input", + "bits": [ 70 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 71 ] + }, + "RST": { + "direction": "input", + "bits": [ 72 ] + } + }, + "cells": { + }, + "netnames": { + "CDDCDONE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9209.12-9209.20" + } + }, + "CDDCREQ": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9229.11-9229.18" + } + }, + "CLKFBIN": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "invertible_pin": "IS_CLKFBIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9231.11-9231.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9210.12-9210.20" + } + }, + "CLKFBOUTB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9211.12-9211.21" + } + }, + "CLKFBSTOPPED": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9212.12-9212.24" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "invertible_pin": "IS_CLKIN1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9233.11-9233.17" + } + }, + "CLKIN2": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "invertible_pin": "IS_CLKIN2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9235.11-9235.17" + } + }, + "CLKINSEL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "invertible_pin": "IS_CLKINSEL_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9237.11-9237.19" + } + }, + "CLKINSTOPPED": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9213.12-9213.24" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9214.12-9214.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9215.12-9215.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9216.12-9216.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9217.12-9217.20" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9218.12-9218.19" + } + }, + "CLKOUT2B": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9219.12-9219.20" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9220.12-9220.19" + } + }, + "CLKOUT3B": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9221.12-9221.20" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9222.12-9222.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9223.12-9223.19" + } + }, + "CLKOUT6": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9224.12-9224.19" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9238.17-9238.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9239.11-9239.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9240.11-9240.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9241.18-9241.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9225.19-9225.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9226.12-9226.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9242.11-9242.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9227.12-9227.18" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9243.11-9243.16" + } + }, + "PSDONE": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9228.12-9228.18" + } + }, + "PSEN": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "invertible_pin": "IS_PSEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9245.11-9245.15" + } + }, + "PSINCDEC": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "invertible_pin": "IS_PSINCDEC_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9247.11-9247.19" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9249.11-9249.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9251.11-9251.14" + } + } + } + }, + "MMCME4_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9254.1-9310.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_CASCADE": "FALSE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLKOUT6_DIVIDE": "00000000000000000000000000000001", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKFBIN_INVERTED": "0", + "IS_CLKIN1_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT2B": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUT3B": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUT6": { + "direction": "output", + "bits": [ 14 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 17 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 18 ] + }, + "RST": { + "direction": "input", + "bits": [ 19 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "invertible_pin": "IS_CLKFBIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9303.11-9303.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9288.12-9288.20" + } + }, + "CLKFBOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9289.12-9289.21" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "invertible_pin": "IS_CLKIN1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9305.11-9305.17" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9290.12-9290.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9291.12-9291.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9292.12-9292.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9293.12-9293.20" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9294.12-9294.19" + } + }, + "CLKOUT2B": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9295.12-9295.20" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9296.12-9296.19" + } + }, + "CLKOUT3B": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9297.12-9297.20" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9298.12-9298.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9299.12-9299.19" + } + }, + "CLKOUT6": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9300.12-9300.19" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9301.12-9301.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9307.11-9307.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9309.11-9309.14" + } + } + } + }, + "MMCM_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8521.1-8602.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKFBOUT_USE_FINE_PS": "FALSE", + "CLKOUT0_USE_FINE_PS": "FALSE", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_USE_FINE_PS": "FALSE", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_USE_FINE_PS": "FALSE", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_USE_FINE_PS": "FALSE", + "CLKOUT4_CASCADE": "FALSE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_USE_FINE_PS": "FALSE", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_USE_FINE_PS": "FALSE", + "CLKOUT6_DIVIDE": "00000000000000000000000000000001", + "CLKOUT6_USE_FINE_PS": "FALSE", + "CLOCK_HOLD": "FALSE", + "COMPENSATION": "ZHOLD", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKFBSTOPPED": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKINSTOPPED": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUT2B": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUT3B": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 14 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKOUT6": { + "direction": "output", + "bits": [ 16 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 17 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 18 ] + }, + "PSDONE": { + "direction": "output", + "bits": [ 19 ] + }, + "DO": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 36 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 37 ] + }, + "CLKIN2": { + "direction": "input", + "bits": [ 38 ] + }, + "CLKINSEL": { + "direction": "input", + "bits": [ 39 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 40 ] + }, + "DEN": { + "direction": "input", + "bits": [ 41 ] + }, + "DWE": { + "direction": "input", + "bits": [ 42 ] + }, + "PSCLK": { + "direction": "input", + "bits": [ 43 ] + }, + "PSEN": { + "direction": "input", + "bits": [ 44 ] + }, + "PSINCDEC": { + "direction": "input", + "bits": [ 45 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 46 ] + }, + "RST": { + "direction": "input", + "bits": [ 47 ] + }, + "DI": { + "direction": "input", + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 64, 65, 66, 67, 68, 69, 70 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8588.11-8588.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8569.12-8569.20" + } + }, + "CLKFBOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8570.12-8570.21" + } + }, + "CLKFBSTOPPED": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8571.12-8571.24" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8589.11-8589.17" + } + }, + "CLKIN2": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8590.11-8590.17" + } + }, + "CLKINSEL": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8591.11-8591.19" + } + }, + "CLKINSTOPPED": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8572.12-8572.24" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8573.12-8573.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8574.12-8574.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8575.12-8575.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8576.12-8576.20" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8577.12-8577.19" + } + }, + "CLKOUT2B": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8578.12-8578.20" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8579.12-8579.19" + } + }, + "CLKOUT3B": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8580.12-8580.20" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8581.12-8581.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8582.12-8582.19" + } + }, + "CLKOUT6": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8583.12-8583.19" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 64, 65, 66, 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8601.17-8601.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8592.11-8592.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8593.11-8593.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8600.18-8600.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8587.19-8587.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8584.12-8584.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8594.11-8594.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8585.12-8585.18" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8595.11-8595.16" + } + }, + "PSDONE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8586.12-8586.18" + } + }, + "PSEN": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8596.11-8596.15" + } + }, + "PSINCDEC": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8597.11-8597.19" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8598.11-8598.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8599.11-8599.14" + } + } + } + }, + "MMCM_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8604.1-8653.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_CASCADE": "FALSE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLKOUT6_DIVIDE": "00000000000000000000000000000001", + "CLOCK_HOLD": "FALSE", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT2B": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUT3B": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUT6": { + "direction": "output", + "bits": [ 14 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 15 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 17 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 18 ] + }, + "RST": { + "direction": "input", + "bits": [ 19 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8649.11-8649.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8635.12-8635.20" + } + }, + "CLKFBOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8636.12-8636.21" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8650.11-8650.17" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8637.12-8637.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8638.12-8638.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8639.12-8639.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8640.12-8640.20" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8641.12-8641.19" + } + }, + "CLKOUT2B": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8642.12-8642.20" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8643.12-8643.19" + } + }, + "CLKOUT3B": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8644.12-8644.20" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8645.12-8645.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8646.12-8646.19" + } + }, + "CLKOUT6": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8647.12-8647.19" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8648.12-8648.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8651.11-8651.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8652.11-8652.14" + } + } + } + }, + "MULT18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2462.1-2470.10" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2463.25-2463.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2464.25-2464.26" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2465.26-2465.27" + } + } + } + }, + "MULT18X18S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2474.1-2490.10" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "C": { + "direction": "input", + "bits": [ 74 ] + }, + "CE": { + "direction": "input", + "bits": [ 75 ] + }, + "R": { + "direction": "input", + "bits": [ 76 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2475.25-2475.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2476.25-2476.26" + } + }, + "C": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2479.11-2479.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2480.11-2480.13" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2477.30-2477.31" + } + }, + "R": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2481.11-2481.12" + } + } + } + }, + "MULT18X18SIO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2494.1-2561.10" + }, + "parameter_default_values": { + "AREG": "00000000000000000000000000000001", + "BREG": "00000000000000000000000000000001", + "B_INPUT": "DIRECT", + "PREG": "00000000000000000000000000000001" + }, + "ports": { + "A": { + "direction": "input", + "signed": 1, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "signed": 1, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "P": { + "direction": "output", + "signed": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "CLK": { + "direction": "input", + "bits": [ 74 ] + }, + "CEA": { + "direction": "input", + "bits": [ 75 ] + }, + "CEB": { + "direction": "input", + "bits": [ 76 ] + }, + "CEP": { + "direction": "input", + "bits": [ 77 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 78 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 79 ] + }, + "RSTP": { + "direction": "input", + "bits": [ 80 ] + }, + "BCIN": { + "direction": "input", + "signed": 1, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "BCOUT": { + "direction": "output", + "signed": 1, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2495.25-2495.26" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2496.25-2496.26" + } + }, + "BCIN": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2506.25-2506.29" + } + }, + "BCOUT": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2507.26-2507.31" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2500.11-2500.14" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2501.11-2501.14" + } + }, + "CEP": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2502.11-2502.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2499.11-2499.14" + } + }, + "P": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "signed": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2497.26-2497.27" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2503.11-2503.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2504.11-2504.15" + } + }, + "RSTP": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2505.11-2505.15" + } + } + } + }, + "MULT_AND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:462.1-464.10" + }, + "ports": { + "LO": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:462.35-462.37" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:462.39-462.41" + } + }, + "LO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:462.25-462.27" + } + } + } + }, + "MUXCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:324.1-326.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "DI": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:324.30-324.32" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:324.34-324.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:324.21-324.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:324.38-324.39" + } + } + } + }, + "MUXF5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:328.1-330.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:328.30-328.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:328.34-328.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:328.21-328.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:328.38-328.39" + } + } + } + }, + "MUXF6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:332.1-334.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:332.30-332.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:332.34-332.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:332.21-332.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:332.38-332.39" + } + } + } + }, + "MUXF7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:337.1-345.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:337.30-337.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:337.34-337.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:337.21-337.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:337.38-337.39" + } + } + } + }, + "MUXF8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:348.1-356.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:348.30-348.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:348.34-348.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:348.21-348.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:348.38-348.39" + } + } + } + }, + "MUXF9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:358.1-360.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:358.30-358.32" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:358.34-358.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:358.21-358.22" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:358.38-358.39" + } + } + } + }, + "OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:55.1-66.10" + }, + "parameter_default_values": { + "DRIVE": "00000000000000000000000000001100", + "IOSTANDARD": "default", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$4": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:64.5-64.18" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:58.11-58.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:57.12-57.13" + } + } + } + }, + "OBUFDS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7906.1-7915.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7914.11-7914.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7911.12-7911.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7913.12-7913.14" + } + } + } + }, + "OBUFDS_DPHY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7917.1-7928.10" + }, + "parameter_default_values": { + "IOSTANDARD": "DEFAULT" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "HSTX_I": { + "direction": "input", + "bits": [ 4 ] + }, + "HSTX_T": { + "direction": "input", + "bits": [ 5 ] + }, + "LPTX_I_N": { + "direction": "input", + "bits": [ 6 ] + }, + "LPTX_I_P": { + "direction": "input", + "bits": [ 7 ] + }, + "LPTX_T": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "HSTX_I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7923.11-7923.17" + } + }, + "HSTX_T": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7924.11-7924.17" + } + }, + "LPTX_I_N": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7925.11-7925.19" + } + }, + "LPTX_I_P": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7926.11-7926.19" + } + }, + "LPTX_T": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7927.11-7927.17" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7920.12-7920.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7922.12-7922.14" + } + } + } + }, + "OBUFDS_GTE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16827.1-16836.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_ICNTL_TX": "00000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16834.11-16834.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16835.11-16835.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16831.12-16831.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16833.12-16833.14" + } + } + } + }, + "OBUFDS_GTE3_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16838.1-16848.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_ICNTL_TX": "00000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5, 6, 7, 8 ] + }, + "RXRECCLK_SEL": { + "direction": "input", + "bits": [ 9, 10 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16845.11-16845.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16846.17-16846.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16842.12-16842.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16844.12-16844.14" + } + }, + "RXRECCLK_SEL": { + "hide_name": 0, + "bits": [ 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:16847.17-16847.29" + } + } + } + }, + "OBUFDS_GTE4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18905.1-18914.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_ICNTL_TX": "00000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18912.11-18912.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18913.11-18913.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18909.12-18909.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18911.12-18911.14" + } + } + } + }, + "OBUFDS_GTE4_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18916.1-18926.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_ICNTL_TX": "00000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5, 6, 7, 8 ] + }, + "RXRECCLK_SEL": { + "direction": "input", + "bits": [ 9, 10 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18923.11-18923.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18924.17-18924.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18920.12-18920.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18922.12-18922.14" + } + }, + "RXRECCLK_SEL": { + "hide_name": 0, + "bits": [ 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:18925.17-18925.29" + } + } + } + }, + "OBUFDS_GTM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19588.1-19597.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_ICNTL_TX": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19595.11-19595.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19596.11-19596.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19592.12-19592.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19594.12-19594.14" + } + } + } + }, + "OBUFDS_GTM_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19599.1-19609.10" + }, + "parameter_default_values": { + "REFCLK_EN_TX_PATH": "0", + "REFCLK_ICNTL_TX": "00000000000000000000000000000000", + "RXRECCLK_SEL": "00" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "CEB": { + "direction": "input", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5, 6, 7, 8 ] + } + }, + "cells": { + }, + "netnames": { + "CEB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19607.11-19607.14" + } + }, + "I": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19608.17-19608.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19604.12-19604.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19606.12-19606.14" + } + } + } + }, + "OBUFT": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:87.1-101.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "DRIVE": "00000000000000000000000000001100", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "T": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + "$specify$7": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:99.9-99.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:90.11-90.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:89.12-89.13" + } + }, + "T": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:91.11-91.12" + } + } + } + }, + "OBUFTDS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7930.1-7940.10" + }, + "parameter_default_values": { + "CAPACITANCE": "DONT_CARE", + "IOSTANDARD": "DEFAULT", + "SLEW": "SLOW" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "T": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7938.11-7938.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7935.12-7935.13" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7937.12-7937.14" + } + }, + "T": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7939.11-7939.12" + } + } + } + }, + "ODDR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6170.1-6190.10" + }, + "parameter_default_values": { + "DDR_CLK_EDGE": "OPPOSITE_EDGE", + "INIT": "0", + "IS_C_INVERTED": "0", + "IS_D1_INVERTED": "0", + "IS_D2_INVERTED": "0", + "MSGON": "TRUE", + "SRTYPE": "SYNC", + "XON": "TRUE" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "D1": { + "direction": "input", + "bits": [ 5 ] + }, + "D2": { + "direction": "input", + "bits": [ 6 ] + }, + "R": { + "direction": "input", + "bits": [ 7 ] + }, + "S": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6182.11-6182.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6183.11-6183.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6185.11-6185.13" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "invertible_pin": "IS_D2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6187.11-6187.13" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6179.12-6179.13" + } + }, + "R": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6188.11-6188.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6189.11-6189.12" + } + } + } + }, + "ODDR2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6110.1-6124.10" + }, + "parameter_default_values": { + "DDR_ALIGNMENT": "NONE", + "INIT": "0", + "SRTYPE": "SYNC" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C0": { + "direction": "input", + "bits": [ 3 ] + }, + "C1": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "D0": { + "direction": "input", + "bits": [ 6 ] + }, + "D1": { + "direction": "input", + "bits": [ 7 ] + }, + "R": { + "direction": "input", + "bits": [ 8 ] + }, + "S": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6116.11-6116.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6118.11-6118.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6119.11-6119.13" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6120.11-6120.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6121.11-6121.13" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6114.12-6114.13" + } + }, + "R": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6122.11-6122.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6123.11-6123.12" + } + } + } + }, + "ODDRE1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6932.1-6947.10" + }, + "parameter_default_values": { + "IS_C_INVERTED": "0", + "IS_D1_INVERTED": "0", + "IS_D2_INVERTED": "0", + "SIM_DEVICE": "ULTRASCALE", + "SRVAL": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "D1": { + "direction": "input", + "bits": [ 4 ] + }, + "D2": { + "direction": "input", + "bits": [ 5 ] + }, + "SR": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6941.11-6941.12" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_D1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6943.11-6943.13" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_D2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6945.11-6945.13" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6938.12-6938.13" + } + }, + "SR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6946.11-6946.13" + } + } + } + }, + "ODELAYE2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6504.1-6531.10" + }, + "parameter_default_values": { + "CINVCTRL_SEL": "FALSE", + "DELAY_SRC": "ODATAIN", + "HIGH_PERFORMANCE_MODE": "FALSE", + "IS_C_INVERTED": "0", + "IS_ODATAIN_INVERTED": "0", + "ODELAY_TYPE": "FIXED", + "ODELAY_VALUE": "00000000000000000000000000000000", + "PIPE_SEL": "FALSE", + "SIGNAL_PATTERN": "DATA", + "SIM_DELAY_D": "00000000000000000000000000000000" + }, + "ports": { + "CNTVALUEOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 7 ] + }, + "C": { + "direction": "input", + "bits": [ 8 ] + }, + "CE": { + "direction": "input", + "bits": [ 9 ] + }, + "CINVCTRL": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 11 ] + }, + "CNTVALUEIN": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16 ] + }, + "INC": { + "direction": "input", + "bits": [ 17 ] + }, + "LD": { + "direction": "input", + "bits": [ 18 ] + }, + "LDPIPEEN": { + "direction": "input", + "bits": [ 19 ] + }, + "ODATAIN": { + "direction": "input", + "bits": [ 20 ] + }, + "REGRST": { + "direction": "input", + "bits": [ 21 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_C_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6520.11-6520.12" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6521.11-6521.13" + } + }, + "CINVCTRL": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6522.11-6522.19" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6523.11-6523.16" + } + }, + "CNTVALUEIN": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6524.17-6524.27" + } + }, + "CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6516.18-6516.29" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6517.12-6517.19" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6525.11-6525.14" + } + }, + "LD": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6526.11-6526.13" + } + }, + "LDPIPEEN": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6527.11-6527.19" + } + }, + "ODATAIN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "invertible_pin": "IS_ODATAIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6529.11-6529.18" + } + }, + "REGRST": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6530.11-6530.17" + } + } + } + }, + "ODELAYE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6981.1-7008.10" + }, + "parameter_default_values": { + "CASCADE": "NONE", + "DELAY_FORMAT": "TIME", + "DELAY_TYPE": "FIXED", + "DELAY_VALUE": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0", + "IS_RST_INVERTED": "0", + "SIM_DEVICE": "ULTRASCALE", + "UPDATE_MODE": "ASYNC" + }, + "ports": { + "CASC_OUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CNTVALUEOUT": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 12 ] + }, + "CASC_IN": { + "direction": "input", + "bits": [ 13 ] + }, + "CASC_RETURN": { + "direction": "input", + "bits": [ 14 ] + }, + "CE": { + "direction": "input", + "bits": [ 15 ] + }, + "CLK": { + "direction": "input", + "bits": [ 16 ] + }, + "CNTVALUEIN": { + "direction": "input", + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "EN_VTC": { + "direction": "input", + "bits": [ 26 ] + }, + "INC": { + "direction": "input", + "bits": [ 27 ] + }, + "LOAD": { + "direction": "input", + "bits": [ 28 ] + }, + "ODATAIN": { + "direction": "input", + "bits": [ 29 ] + }, + "RST": { + "direction": "input", + "bits": [ 30 ] + } + }, + "cells": { + }, + "netnames": { + "CASC_IN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6995.11-6995.18" + } + }, + "CASC_OUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6992.12-6992.20" + } + }, + "CASC_RETURN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6996.11-6996.22" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6997.11-6997.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7000.11-7000.14" + } + }, + "CNTVALUEIN": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7001.17-7001.27" + } + }, + "CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6993.18-6993.29" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6994.12-6994.19" + } + }, + "EN_VTC": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7002.11-7002.17" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7003.11-7003.14" + } + }, + "LOAD": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7004.11-7004.15" + } + }, + "ODATAIN": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7005.11-7005.18" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7007.11-7007.14" + } + } + } + }, + "OFDDRCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6035.1-6047.10" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C0": { + "direction": "input", + "bits": [ 3 ] + }, + "C1": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "CLR": { + "direction": "input", + "bits": [ 6 ] + }, + "D0": { + "direction": "input", + "bits": [ 7 ] + }, + "D1": { + "direction": "input", + "bits": [ 8 ] + }, + "PRE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6039.11-6039.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6041.11-6041.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6042.11-6042.13" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6043.11-6043.14" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6044.11-6044.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6045.11-6045.13" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6046.11-6046.14" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6037.12-6037.13" + } + } + } + }, + "OFDDRRSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6049.1-6061.10" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C0": { + "direction": "input", + "bits": [ 3 ] + }, + "C1": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "D0": { + "direction": "input", + "bits": [ 6 ] + }, + "D1": { + "direction": "input", + "bits": [ 7 ] + }, + "R": { + "direction": "input", + "bits": [ 8 ] + }, + "S": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6053.11-6053.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6055.11-6055.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6056.11-6056.13" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6057.11-6057.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6058.11-6058.13" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6051.12-6051.13" + } + }, + "R": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6059.11-6059.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6060.11-6060.12" + } + } + } + }, + "OFDDRTCPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6063.1-6076.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "C0": { + "direction": "input", + "bits": [ 3 ] + }, + "C1": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "CLR": { + "direction": "input", + "bits": [ 6 ] + }, + "D0": { + "direction": "input", + "bits": [ 7 ] + }, + "D1": { + "direction": "input", + "bits": [ 8 ] + }, + "PRE": { + "direction": "input", + "bits": [ 9 ] + }, + "T": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6067.11-6067.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6069.11-6069.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6070.11-6070.13" + } + }, + "CLR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6071.11-6071.14" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6072.11-6072.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6073.11-6073.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6065.12-6065.13" + } + }, + "PRE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6074.11-6074.14" + } + }, + "T": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6075.11-6075.12" + } + } + } + }, + "OFDDRTRSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6078.1-6091.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "C0": { + "direction": "input", + "bits": [ 3 ] + }, + "C1": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "D0": { + "direction": "input", + "bits": [ 6 ] + }, + "D1": { + "direction": "input", + "bits": [ 7 ] + }, + "R": { + "direction": "input", + "bits": [ 8 ] + }, + "S": { + "direction": "input", + "bits": [ 9 ] + }, + "T": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "C0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6082.11-6082.13" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6084.11-6084.13" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6085.11-6085.13" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6086.11-6086.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6087.11-6087.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "iopad_external_pin": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6080.12-6080.13" + } + }, + "R": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6088.11-6088.12" + } + }, + "S": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6089.11-6089.12" + } + }, + "T": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6090.11-6090.12" + } + } + } + }, + "OR2L": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1005.1-1013.10" + }, + "parameter_default_values": { + "IS_SRI_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "SRI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1007.9-1007.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1006.10-1006.11" + } + }, + "SRI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_SRI_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1009.9-1009.12" + } + } + } + }, + "ORCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:458.1-460.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:458.30-458.32" + } + }, + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:458.34-458.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:458.21-458.22" + } + } + } + }, + "OSERDES": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6262.1-6296.10" + }, + "parameter_default_values": { + "DATA_RATE_OQ": "DDR", + "DATA_RATE_TQ": "DDR", + "DATA_WIDTH": "00000000000000000000000000000100", + "INIT_OQ": "0", + "INIT_TQ": "0", + "SERDES_MODE": "MASTER", + "SRVAL_OQ": "0", + "SRVAL_TQ": "0", + "TRISTATE_WIDTH": "00000000000000000000000000000100" + }, + "ports": { + "OQ": { + "direction": "output", + "bits": [ 2 ] + }, + "SHIFTOUT1": { + "direction": "output", + "bits": [ 3 ] + }, + "SHIFTOUT2": { + "direction": "output", + "bits": [ 4 ] + }, + "TQ": { + "direction": "output", + "bits": [ 5 ] + }, + "CLK": { + "direction": "input", + "bits": [ 6 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 7 ] + }, + "D1": { + "direction": "input", + "bits": [ 8 ] + }, + "D2": { + "direction": "input", + "bits": [ 9 ] + }, + "D3": { + "direction": "input", + "bits": [ 10 ] + }, + "D4": { + "direction": "input", + "bits": [ 11 ] + }, + "D5": { + "direction": "input", + "bits": [ 12 ] + }, + "D6": { + "direction": "input", + "bits": [ 13 ] + }, + "OCE": { + "direction": "input", + "bits": [ 14 ] + }, + "REV": { + "direction": "input", + "bits": [ 15 ] + }, + "SHIFTIN1": { + "direction": "input", + "bits": [ 16 ] + }, + "SHIFTIN2": { + "direction": "input", + "bits": [ 17 ] + }, + "SR": { + "direction": "input", + "bits": [ 18 ] + }, + "T1": { + "direction": "input", + "bits": [ 19 ] + }, + "T2": { + "direction": "input", + "bits": [ 20 ] + }, + "T3": { + "direction": "input", + "bits": [ 21 ] + }, + "T4": { + "direction": "input", + "bits": [ 22 ] + }, + "TCE": { + "direction": "input", + "bits": [ 23 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6277.11-6277.14" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6279.11-6279.17" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6280.11-6280.13" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6281.11-6281.13" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6282.11-6282.13" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6283.11-6283.13" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6284.11-6284.13" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6285.11-6285.13" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6286.11-6286.14" + } + }, + "OQ": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6272.12-6272.14" + } + }, + "REV": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6287.11-6287.14" + } + }, + "SHIFTIN1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6288.11-6288.19" + } + }, + "SHIFTIN2": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6289.11-6289.19" + } + }, + "SHIFTOUT1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6273.12-6273.21" + } + }, + "SHIFTOUT2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6274.12-6274.21" + } + }, + "SR": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6290.11-6290.13" + } + }, + "T1": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6291.11-6291.13" + } + }, + "T2": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6292.11-6292.13" + } + }, + "T3": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6293.11-6293.13" + } + }, + "T4": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6294.11-6294.13" + } + }, + "TCE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6295.11-6295.14" + } + }, + "TQ": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6275.12-6275.14" + } + } + } + }, + "OSERDES2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7475.1-7512.10" + }, + "parameter_default_values": { + "BYPASS_GCLK_FF": "FALSE", + "DATA_RATE_OQ": "DDR", + "DATA_RATE_OT": "DDR", + "DATA_WIDTH": "00000000000000000000000000000010", + "OUTPUT_MODE": "SINGLE_ENDED", + "SERDES_MODE": "NONE", + "TRAIN_PATTERN": "00000000000000000000000000000000" + }, + "ports": { + "OQ": { + "direction": "output", + "bits": [ 2 ] + }, + "SHIFTOUT1": { + "direction": "output", + "bits": [ 3 ] + }, + "SHIFTOUT2": { + "direction": "output", + "bits": [ 4 ] + }, + "SHIFTOUT3": { + "direction": "output", + "bits": [ 5 ] + }, + "SHIFTOUT4": { + "direction": "output", + "bits": [ 6 ] + }, + "TQ": { + "direction": "output", + "bits": [ 7 ] + }, + "CLK0": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK1": { + "direction": "input", + "bits": [ 9 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "D2": { + "direction": "input", + "bits": [ 12 ] + }, + "D3": { + "direction": "input", + "bits": [ 13 ] + }, + "D4": { + "direction": "input", + "bits": [ 14 ] + }, + "IOCE": { + "direction": "input", + "bits": [ 15 ] + }, + "OCE": { + "direction": "input", + "bits": [ 16 ] + }, + "RST": { + "direction": "input", + "bits": [ 17 ] + }, + "SHIFTIN1": { + "direction": "input", + "bits": [ 18 ] + }, + "SHIFTIN2": { + "direction": "input", + "bits": [ 19 ] + }, + "SHIFTIN3": { + "direction": "input", + "bits": [ 20 ] + }, + "SHIFTIN4": { + "direction": "input", + "bits": [ 21 ] + }, + "T1": { + "direction": "input", + "bits": [ 22 ] + }, + "T2": { + "direction": "input", + "bits": [ 23 ] + }, + "T3": { + "direction": "input", + "bits": [ 24 ] + }, + "T4": { + "direction": "input", + "bits": [ 25 ] + }, + "TCE": { + "direction": "input", + "bits": [ 26 ] + }, + "TRAIN": { + "direction": "input", + "bits": [ 27 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7490.11-7490.15" + } + }, + "CLK1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7492.11-7492.15" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7494.11-7494.17" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7495.11-7495.13" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7496.11-7496.13" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7497.11-7497.13" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7498.11-7498.13" + } + }, + "IOCE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7499.11-7499.15" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7500.11-7500.14" + } + }, + "OQ": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7483.12-7483.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7501.11-7501.14" + } + }, + "SHIFTIN1": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7502.11-7502.19" + } + }, + "SHIFTIN2": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7503.11-7503.19" + } + }, + "SHIFTIN3": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7504.11-7504.19" + } + }, + "SHIFTIN4": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7505.11-7505.19" + } + }, + "SHIFTOUT1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7484.12-7484.21" + } + }, + "SHIFTOUT2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7485.12-7485.21" + } + }, + "SHIFTOUT3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7486.12-7486.21" + } + }, + "SHIFTOUT4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7487.12-7487.21" + } + }, + "T1": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7506.11-7506.13" + } + }, + "T2": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7507.11-7507.13" + } + }, + "T3": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7508.11-7508.13" + } + }, + "T4": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7509.11-7509.13" + } + }, + "TCE": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7510.11-7510.14" + } + }, + "TQ": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7488.12-7488.14" + } + }, + "TRAIN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7511.11-7511.16" + } + } + } + }, + "OSERDESE1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6428.1-6471.10" + }, + "parameter_default_values": { + "DATA_RATE_OQ": "DDR", + "DATA_RATE_TQ": "DDR", + "DATA_WIDTH": "00000000000000000000000000000100", + "DDR3_DATA": "00000000000000000000000000000001", + "INIT_OQ": "0", + "INIT_TQ": "0", + "INTERFACE_TYPE": "DEFAULT", + "ODELAY_USED": "00000000000000000000000000000000", + "SERDES_MODE": "MASTER", + "SRVAL_OQ": "0", + "SRVAL_TQ": "0", + "TRISTATE_WIDTH": "00000000000000000000000000000100" + }, + "ports": { + "OCBEXTEND": { + "direction": "output", + "bits": [ 2 ] + }, + "OFB": { + "direction": "output", + "bits": [ 3 ] + }, + "OQ": { + "direction": "output", + "bits": [ 4 ] + }, + "SHIFTOUT1": { + "direction": "output", + "bits": [ 5 ] + }, + "SHIFTOUT2": { + "direction": "output", + "bits": [ 6 ] + }, + "TFB": { + "direction": "output", + "bits": [ 7 ] + }, + "TQ": { + "direction": "output", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKPERF": { + "direction": "input", + "bits": [ 11 ] + }, + "CLKPERFDELAY": { + "direction": "input", + "bits": [ 12 ] + }, + "D1": { + "direction": "input", + "bits": [ 13 ] + }, + "D2": { + "direction": "input", + "bits": [ 14 ] + }, + "D3": { + "direction": "input", + "bits": [ 15 ] + }, + "D4": { + "direction": "input", + "bits": [ 16 ] + }, + "D5": { + "direction": "input", + "bits": [ 17 ] + }, + "D6": { + "direction": "input", + "bits": [ 18 ] + }, + "OCE": { + "direction": "input", + "bits": [ 19 ] + }, + "ODV": { + "direction": "input", + "bits": [ 20 ] + }, + "RST": { + "direction": "input", + "bits": [ 21 ] + }, + "SHIFTIN1": { + "direction": "input", + "bits": [ 22 ] + }, + "SHIFTIN2": { + "direction": "input", + "bits": [ 23 ] + }, + "T1": { + "direction": "input", + "bits": [ 24 ] + }, + "T2": { + "direction": "input", + "bits": [ 25 ] + }, + "T3": { + "direction": "input", + "bits": [ 26 ] + }, + "T4": { + "direction": "input", + "bits": [ 27 ] + }, + "TCE": { + "direction": "input", + "bits": [ 28 ] + }, + "WC": { + "direction": "input", + "bits": [ 29 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6449.11-6449.14" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6451.11-6451.17" + } + }, + "CLKPERF": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6452.11-6452.18" + } + }, + "CLKPERFDELAY": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6453.11-6453.23" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6454.11-6454.13" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6455.11-6455.13" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6456.11-6456.13" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6457.11-6457.13" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6458.11-6458.13" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6459.11-6459.13" + } + }, + "OCBEXTEND": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6441.12-6441.21" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6460.11-6460.14" + } + }, + "ODV": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6461.11-6461.14" + } + }, + "OFB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6442.12-6442.15" + } + }, + "OQ": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6443.12-6443.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6462.11-6462.14" + } + }, + "SHIFTIN1": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6463.11-6463.19" + } + }, + "SHIFTIN2": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6464.11-6464.19" + } + }, + "SHIFTOUT1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6444.12-6444.21" + } + }, + "SHIFTOUT2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6445.12-6445.21" + } + }, + "T1": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6465.11-6465.13" + } + }, + "T2": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6466.11-6466.13" + } + }, + "T3": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6467.11-6467.13" + } + }, + "T4": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6468.11-6468.13" + } + }, + "TCE": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6469.11-6469.14" + } + }, + "TFB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6446.12-6446.15" + } + }, + "TQ": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6447.12-6447.14" + } + }, + "WC": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6470.11-6470.13" + } + } + } + }, + "OSERDESE2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6601.1-6670.10" + }, + "parameter_default_values": { + "DATA_RATE_OQ": "DDR", + "DATA_RATE_TQ": "DDR", + "DATA_WIDTH": "00000000000000000000000000000100", + "INIT_OQ": "0", + "INIT_TQ": "0", + "IS_CLKDIV_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_D1_INVERTED": "0", + "IS_D2_INVERTED": "0", + "IS_D3_INVERTED": "0", + "IS_D4_INVERTED": "0", + "IS_D5_INVERTED": "0", + "IS_D6_INVERTED": "0", + "IS_D7_INVERTED": "0", + "IS_D8_INVERTED": "0", + "IS_T1_INVERTED": "0", + "IS_T2_INVERTED": "0", + "IS_T3_INVERTED": "0", + "IS_T4_INVERTED": "0", + "SERDES_MODE": "MASTER", + "SRVAL_OQ": "0", + "SRVAL_TQ": "0", + "TBYTE_CTL": "FALSE", + "TBYTE_SRC": "FALSE", + "TRISTATE_WIDTH": "00000000000000000000000000000100" + }, + "ports": { + "OFB": { + "direction": "output", + "bits": [ 2 ] + }, + "OQ": { + "direction": "output", + "bits": [ 3 ] + }, + "SHIFTOUT1": { + "direction": "output", + "bits": [ 4 ] + }, + "SHIFTOUT2": { + "direction": "output", + "bits": [ 5 ] + }, + "TBYTEOUT": { + "direction": "output", + "bits": [ 6 ] + }, + "TFB": { + "direction": "output", + "bits": [ 7 ] + }, + "TQ": { + "direction": "output", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "D2": { + "direction": "input", + "bits": [ 12 ] + }, + "D3": { + "direction": "input", + "bits": [ 13 ] + }, + "D4": { + "direction": "input", + "bits": [ 14 ] + }, + "D5": { + "direction": "input", + "bits": [ 15 ] + }, + "D6": { + "direction": "input", + "bits": [ 16 ] + }, + "D7": { + "direction": "input", + "bits": [ 17 ] + }, + "D8": { + "direction": "input", + "bits": [ 18 ] + }, + "OCE": { + "direction": "input", + "bits": [ 19 ] + }, + "RST": { + "direction": "input", + "bits": [ 20 ] + }, + "SHIFTIN1": { + "direction": "input", + "bits": [ 21 ] + }, + "SHIFTIN2": { + "direction": "input", + "bits": [ 22 ] + }, + "T1": { + "direction": "input", + "bits": [ 23 ] + }, + "T2": { + "direction": "input", + "bits": [ 24 ] + }, + "T3": { + "direction": "input", + "bits": [ 25 ] + }, + "T4": { + "direction": "input", + "bits": [ 26 ] + }, + "TBYTEIN": { + "direction": "input", + "bits": [ 27 ] + }, + "TCE": { + "direction": "input", + "bits": [ 28 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6636.11-6636.14" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKDIV_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6639.11-6639.17" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "invertible_pin": "IS_D1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6641.11-6641.13" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "invertible_pin": "IS_D2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6643.11-6643.13" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "invertible_pin": "IS_D3_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6645.11-6645.13" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "invertible_pin": "IS_D4_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6647.11-6647.13" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "invertible_pin": "IS_D5_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6649.11-6649.13" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "invertible_pin": "IS_D6_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6651.11-6651.13" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "invertible_pin": "IS_D7_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6653.11-6653.13" + } + }, + "D8": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "invertible_pin": "IS_D8_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6655.11-6655.13" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6656.11-6656.14" + } + }, + "OFB": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6627.12-6627.15" + } + }, + "OQ": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6628.12-6628.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6657.11-6657.14" + } + }, + "SHIFTIN1": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6658.11-6658.19" + } + }, + "SHIFTIN2": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6659.11-6659.19" + } + }, + "SHIFTOUT1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6629.12-6629.21" + } + }, + "SHIFTOUT2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6630.12-6630.21" + } + }, + "T1": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "invertible_pin": "IS_T1_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6661.11-6661.13" + } + }, + "T2": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "invertible_pin": "IS_T2_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6663.11-6663.13" + } + }, + "T3": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "invertible_pin": "IS_T3_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6665.11-6665.13" + } + }, + "T4": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "invertible_pin": "IS_T4_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6667.11-6667.13" + } + }, + "TBYTEIN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6668.11-6668.18" + } + }, + "TBYTEOUT": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6631.12-6631.20" + } + }, + "TCE": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6669.11-6669.14" + } + }, + "TFB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6632.12-6632.15" + } + }, + "TQ": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6633.12-6633.14" + } + } + } + }, + "OSERDESE3": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7040.1-7063.10" + }, + "parameter_default_values": { + "DATA_WIDTH": "00000000000000000000000000001000", + "INIT": "0", + "IS_CLKDIV_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_RST_INVERTED": "0", + "ODDR_MODE": "FALSE", + "OSERDES_D_BYPASS": "FALSE", + "OSERDES_T_BYPASS": "FALSE", + "SIM_DEVICE": "ULTRASCALE" + }, + "ports": { + "OQ": { + "direction": "output", + "bits": [ 2 ] + }, + "T_OUT": { + "direction": "output", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CLKDIV": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] + }, + "RST": { + "direction": "input", + "bits": [ 14 ] + }, + "T": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7055.11-7055.14" + } + }, + "CLKDIV": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKDIV_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7058.11-7058.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7059.17-7059.18" + } + }, + "OQ": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7051.12-7051.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7061.11-7061.14" + } + }, + "T": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7062.11-7062.12" + } + }, + "T_OUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7052.12-7052.17" + } + } + } + }, + "OUT_FIFO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9442.1-9479.10" + }, + "parameter_default_values": { + "ALMOST_EMPTY_VALUE": "00000000000000000000000000000001", + "ALMOST_FULL_VALUE": "00000000000000000000000000000001", + "ARRAY_MODE": "ARRAY_MODE_8_X_4", + "OUTPUT_DISABLE": "FALSE", + "SYNCHRONOUS_MODE": "FALSE" + }, + "ports": { + "ALMOSTEMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "ALMOSTFULL": { + "direction": "output", + "bits": [ 3 ] + }, + "EMPTY": { + "direction": "output", + "bits": [ 4 ] + }, + "FULL": { + "direction": "output", + "bits": [ 5 ] + }, + "Q0": { + "direction": "output", + "bits": [ 6, 7, 8, 9 ] + }, + "Q1": { + "direction": "output", + "bits": [ 10, 11, 12, 13 ] + }, + "Q2": { + "direction": "output", + "bits": [ 14, 15, 16, 17 ] + }, + "Q3": { + "direction": "output", + "bits": [ 18, 19, 20, 21 ] + }, + "Q4": { + "direction": "output", + "bits": [ 22, 23, 24, 25 ] + }, + "Q7": { + "direction": "output", + "bits": [ 26, 27, 28, 29 ] + }, + "Q8": { + "direction": "output", + "bits": [ 30, 31, 32, 33 ] + }, + "Q9": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "Q5": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "Q6": { + "direction": "output", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 54 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 55 ] + }, + "RESET": { + "direction": "input", + "bits": [ 56 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 57 ] + }, + "WREN": { + "direction": "input", + "bits": [ 58 ] + }, + "D0": { + "direction": "input", + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "D1": { + "direction": "input", + "bits": [ 67, 68, 69, 70, 71, 72, 73, 74 ] + }, + "D2": { + "direction": "input", + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "D3": { + "direction": "input", + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "D4": { + "direction": "input", + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "D5": { + "direction": "input", + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106 ] + }, + "D6": { + "direction": "input", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114 ] + }, + "D7": { + "direction": "input", + "bits": [ 115, 116, 117, 118, 119, 120, 121, 122 ] + }, + "D8": { + "direction": "input", + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130 ] + }, + "D9": { + "direction": "input", + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138 ] + } + }, + "cells": { + }, + "netnames": { + "ALMOSTEMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9448.12-9448.23" + } + }, + "ALMOSTFULL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9449.12-9449.22" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9469.17-9469.19" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 67, 68, 69, 70, 71, 72, 73, 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9470.17-9470.19" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9471.17-9471.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9472.17-9472.19" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9473.17-9473.19" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9474.17-9474.19" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9475.17-9475.19" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 115, 116, 117, 118, 119, 120, 121, 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9476.17-9476.19" + } + }, + "D8": { + "hide_name": 0, + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9477.17-9477.19" + } + }, + "D9": { + "hide_name": 0, + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9478.17-9478.19" + } + }, + "EMPTY": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9450.12-9450.17" + } + }, + "FULL": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9451.12-9451.16" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9452.18-9452.20" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9453.18-9453.20" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9454.18-9454.20" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9455.18-9455.20" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9456.18-9456.20" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9460.18-9460.20" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9461.18-9461.20" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9457.18-9457.20" + } + }, + "Q8": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9458.18-9458.20" + } + }, + "Q9": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9459.18-9459.20" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9463.11-9463.16" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9464.11-9464.15" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9465.11-9465.16" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9467.11-9467.16" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9468.11-9468.15" + } + } + } + }, + "PCIE40E4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24002.1-25229.10" + }, + "parameter_default_values": { + "ARI_CAP_ENABLE": "FALSE", + "AUTO_FLR_RESPONSE": "FALSE", + "AXISTEN_IF_CC_ALIGNMENT_MODE": "00", + "AXISTEN_IF_COMPL_TIMEOUT_REG0": "101111101011110000100000", + "AXISTEN_IF_COMPL_TIMEOUT_REG1": "0010111110101111000010000000", + "AXISTEN_IF_CQ_ALIGNMENT_MODE": "00", + "AXISTEN_IF_CQ_EN_POISONED_MEM_WR": "FALSE", + "AXISTEN_IF_ENABLE_256_TAGS": "FALSE", + "AXISTEN_IF_ENABLE_CLIENT_TAG": "FALSE", + "AXISTEN_IF_ENABLE_INTERNAL_MSIX_TABLE": "FALSE", + "AXISTEN_IF_ENABLE_MESSAGE_RID_CHECK": "TRUE", + "AXISTEN_IF_ENABLE_MSG_ROUTE": "000000000000000000", + "AXISTEN_IF_ENABLE_RX_MSG_INTFC": "FALSE", + "AXISTEN_IF_EXT_512": "FALSE", + "AXISTEN_IF_EXT_512_CC_STRADDLE": "FALSE", + "AXISTEN_IF_EXT_512_CQ_STRADDLE": "FALSE", + "AXISTEN_IF_EXT_512_RC_STRADDLE": "FALSE", + "AXISTEN_IF_EXT_512_RQ_STRADDLE": "FALSE", + "AXISTEN_IF_LEGACY_MODE_ENABLE": "FALSE", + "AXISTEN_IF_MSIX_FROM_RAM_PIPELINE": "FALSE", + "AXISTEN_IF_MSIX_RX_PARITY_EN": "TRUE", + "AXISTEN_IF_MSIX_TO_RAM_PIPELINE": "FALSE", + "AXISTEN_IF_RC_ALIGNMENT_MODE": "00", + "AXISTEN_IF_RC_STRADDLE": "FALSE", + "AXISTEN_IF_RQ_ALIGNMENT_MODE": "00", + "AXISTEN_IF_RX_PARITY_EN": "TRUE", + "AXISTEN_IF_SIM_SHORT_CPL_TIMEOUT": "FALSE", + "AXISTEN_IF_TX_PARITY_EN": "TRUE", + "AXISTEN_IF_WIDTH": "10", + "CFG_BYPASS_MODE_ENABLE": "FALSE", + "CRM_CORE_CLK_FREQ_500": "TRUE", + "CRM_USER_CLK_FREQ": "10", + "DEBUG_AXI4ST_SPARE": "0000000000000000", + "DEBUG_AXIST_DISABLE_FEATURE_BIT": "00000000", + "DEBUG_CAR_SPARE": "0000", + "DEBUG_CFG_SPARE": "0000000000000000", + "DEBUG_LL_SPARE": "0000000000000000", + "DEBUG_PL_DISABLE_LES_UPDATE_ON_DEFRAMER_ERROR": "FALSE", + "DEBUG_PL_DISABLE_LES_UPDATE_ON_SKP_ERROR": "FALSE", + "DEBUG_PL_DISABLE_LES_UPDATE_ON_SKP_PARITY_ERROR": "FALSE", + "DEBUG_PL_DISABLE_REC_ENTRY_ON_DYNAMIC_DSKEW_FAIL": "FALSE", + "DEBUG_PL_DISABLE_REC_ENTRY_ON_RX_BUFFER_UNDER_OVER_FLOW": "FALSE", + "DEBUG_PL_DISABLE_SCRAMBLING": "FALSE", + "DEBUG_PL_SIM_RESET_LFSR": "FALSE", + "DEBUG_PL_SPARE": "0000000000000000", + "DEBUG_TL_DISABLE_FC_TIMEOUT": "FALSE", + "DEBUG_TL_DISABLE_RX_TLP_ORDER_CHECKS": "FALSE", + "DEBUG_TL_SPARE": "0000000000000000", + "DNSTREAM_LINK_NUM": "00000000", + "DSN_CAP_ENABLE": "FALSE", + "EXTENDED_CFG_EXTEND_INTERFACE_ENABLE": "FALSE", + "HEADER_TYPE_OVERRIDE": "FALSE", + "IS_SWITCH_PORT": "FALSE", + "LEGACY_CFG_EXTEND_INTERFACE_ENABLE": "FALSE", + "LL_ACK_TIMEOUT": "000000000", + "LL_ACK_TIMEOUT_EN": "FALSE", + "LL_ACK_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LL_DISABLE_SCHED_TX_NAK": "FALSE", + "LL_REPLAY_FROM_RAM_PIPELINE": "FALSE", + "LL_REPLAY_TIMEOUT": "000000000", + "LL_REPLAY_TIMEOUT_EN": "FALSE", + "LL_REPLAY_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LL_REPLAY_TO_RAM_PIPELINE": "FALSE", + "LL_RX_TLP_PARITY_GEN": "TRUE", + "LL_TX_TLP_PARITY_CHK": "TRUE", + "LL_USER_SPARE": "0000000000000000", + "LTR_TX_MESSAGE_MINIMUM_INTERVAL": "1001010000", + "LTR_TX_MESSAGE_ON_FUNC_POWER_STATE_CHANGE": "FALSE", + "LTR_TX_MESSAGE_ON_LTR_ENABLE": "FALSE", + "MCAP_CAP_NEXTPTR": "000000000000", + "MCAP_CONFIGURE_OVERRIDE": "FALSE", + "MCAP_ENABLE": "FALSE", + "MCAP_EOS_DESIGN_SWITCH": "FALSE", + "MCAP_FPGA_BITSTREAM_VERSION": "00000000000000000000000000000000", + "MCAP_GATE_IO_ENABLE_DESIGN_SWITCH": "FALSE", + "MCAP_GATE_MEM_ENABLE_DESIGN_SWITCH": "FALSE", + "MCAP_INPUT_GATE_DESIGN_SWITCH": "FALSE", + "MCAP_INTERRUPT_ON_MCAP_EOS": "FALSE", + "MCAP_INTERRUPT_ON_MCAP_ERROR": "FALSE", + "MCAP_VSEC_ID": "0000000000000000", + "MCAP_VSEC_LEN": "000000101100", + "MCAP_VSEC_REV": "0000", + "PF0_AER_CAP_ECRC_GEN_AND_CHECK_CAPABLE": "FALSE", + "PF0_AER_CAP_NEXTPTR": "000000000000", + "PF0_ARI_CAP_NEXTPTR": "000000000000", + "PF0_ARI_CAP_NEXT_FUNC": "00000000", + "PF0_ARI_CAP_VER": "0001", + "PF0_BAR0_APERTURE_SIZE": "000011", + "PF0_BAR0_CONTROL": "100", + "PF0_BAR1_APERTURE_SIZE": "00000", + "PF0_BAR1_CONTROL": "000", + "PF0_BAR2_APERTURE_SIZE": "000011", + "PF0_BAR2_CONTROL": "100", + "PF0_BAR3_APERTURE_SIZE": "00011", + "PF0_BAR3_CONTROL": "000", + "PF0_BAR4_APERTURE_SIZE": "000011", + "PF0_BAR4_CONTROL": "100", + "PF0_BAR5_APERTURE_SIZE": "00011", + "PF0_BAR5_CONTROL": "000", + "PF0_CAPABILITY_POINTER": "10000000", + "PF0_CLASS_CODE": "000000000000000000000000", + "PF0_DEV_CAP2_128B_CAS_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_32B_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_64B_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_ARI_FORWARD_ENABLE": "FALSE", + "PF0_DEV_CAP2_CPL_TIMEOUT_DISABLE": "TRUE", + "PF0_DEV_CAP2_LTR_SUPPORT": "TRUE", + "PF0_DEV_CAP2_OBFF_SUPPORT": "00", + "PF0_DEV_CAP2_TPH_COMPLETER_SUPPORT": "FALSE", + "PF0_DEV_CAP_ENDPOINT_L0S_LATENCY": "00000000000000000000000000000000", + "PF0_DEV_CAP_ENDPOINT_L1_LATENCY": "00000000000000000000000000000000", + "PF0_DEV_CAP_EXT_TAG_SUPPORTED": "TRUE", + "PF0_DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE": "TRUE", + "PF0_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF0_DSN_CAP_NEXTPTR": "000100001100", + "PF0_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF0_EXPANSION_ROM_ENABLE": "FALSE", + "PF0_INTERRUPT_PIN": "001", + "PF0_LINK_CAP_ASPM_SUPPORT": "00000000000000000000000000000000", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN4": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN4": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN4": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN4": "00000000000000000000000000000111", + "PF0_LINK_CONTROL_RCB": "0", + "PF0_LINK_STATUS_SLOT_CLOCK_CONFIG": "TRUE", + "PF0_LTR_CAP_MAX_NOSNOOP_LAT": "0000000000", + "PF0_LTR_CAP_MAX_SNOOP_LAT": "0000000000", + "PF0_LTR_CAP_NEXTPTR": "000000000000", + "PF0_LTR_CAP_VER": "0001", + "PF0_MSIX_CAP_NEXTPTR": "00000000", + "PF0_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF0_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF0_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF0_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF0_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF0_MSIX_VECTOR_COUNT": "000100", + "PF0_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF0_MSI_CAP_NEXTPTR": "00000000", + "PF0_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF0_PCIE_CAP_NEXTPTR": "00000000", + "PF0_PM_CAP_ID": "00000001", + "PF0_PM_CAP_NEXTPTR": "00000000", + "PF0_PM_CAP_PMESUPPORT_D0": "TRUE", + "PF0_PM_CAP_PMESUPPORT_D1": "TRUE", + "PF0_PM_CAP_PMESUPPORT_D3HOT": "TRUE", + "PF0_PM_CAP_SUPP_D1_STATE": "TRUE", + "PF0_PM_CAP_VER_ID": "011", + "PF0_PM_CSR_NOSOFTRESET": "TRUE", + "PF0_SECONDARY_PCIE_CAP_NEXTPTR": "000000000000", + "PF0_SRIOV_ARI_CAPBL_HIER_PRESERVED": "FALSE", + "PF0_SRIOV_BAR0_APERTURE_SIZE": "000011", + "PF0_SRIOV_BAR0_CONTROL": "100", + "PF0_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF0_SRIOV_BAR1_CONTROL": "000", + "PF0_SRIOV_BAR2_APERTURE_SIZE": "000011", + "PF0_SRIOV_BAR2_CONTROL": "100", + "PF0_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR3_CONTROL": "000", + "PF0_SRIOV_BAR4_APERTURE_SIZE": "000011", + "PF0_SRIOV_BAR4_CONTROL": "100", + "PF0_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR5_CONTROL": "000", + "PF0_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF0_SRIOV_CAP_NEXTPTR": "000000000000", + "PF0_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF0_SRIOV_CAP_VER": "0001", + "PF0_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF0_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF0_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF0_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF0_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "PF0_TPHR_CAP_ENABLE": "FALSE", + "PF0_TPHR_CAP_INT_VEC_MODE": "TRUE", + "PF0_TPHR_CAP_NEXTPTR": "000000000000", + "PF0_TPHR_CAP_ST_MODE_SEL": "000", + "PF0_TPHR_CAP_ST_TABLE_LOC": "00", + "PF0_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "PF0_TPHR_CAP_VER": "0001", + "PF0_VC_CAP_ENABLE": "FALSE", + "PF0_VC_CAP_NEXTPTR": "000000000000", + "PF0_VC_CAP_VER": "0001", + "PF1_AER_CAP_NEXTPTR": "000000000000", + "PF1_ARI_CAP_NEXTPTR": "000000000000", + "PF1_ARI_CAP_NEXT_FUNC": "00000000", + "PF1_BAR0_APERTURE_SIZE": "000011", + "PF1_BAR0_CONTROL": "100", + "PF1_BAR1_APERTURE_SIZE": "00000", + "PF1_BAR1_CONTROL": "000", + "PF1_BAR2_APERTURE_SIZE": "000011", + "PF1_BAR2_CONTROL": "100", + "PF1_BAR3_APERTURE_SIZE": "00011", + "PF1_BAR3_CONTROL": "000", + "PF1_BAR4_APERTURE_SIZE": "000011", + "PF1_BAR4_CONTROL": "100", + "PF1_BAR5_APERTURE_SIZE": "00011", + "PF1_BAR5_CONTROL": "000", + "PF1_CAPABILITY_POINTER": "10000000", + "PF1_CLASS_CODE": "000000000000000000000000", + "PF1_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF1_DSN_CAP_NEXTPTR": "000100001100", + "PF1_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF1_EXPANSION_ROM_ENABLE": "FALSE", + "PF1_INTERRUPT_PIN": "001", + "PF1_MSIX_CAP_NEXTPTR": "00000000", + "PF1_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF1_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF1_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF1_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF1_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF1_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF1_MSI_CAP_NEXTPTR": "00000000", + "PF1_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF1_PCIE_CAP_NEXTPTR": "00000000", + "PF1_PM_CAP_NEXTPTR": "00000000", + "PF1_SRIOV_ARI_CAPBL_HIER_PRESERVED": "FALSE", + "PF1_SRIOV_BAR0_APERTURE_SIZE": "000011", + "PF1_SRIOV_BAR0_CONTROL": "100", + "PF1_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF1_SRIOV_BAR1_CONTROL": "000", + "PF1_SRIOV_BAR2_APERTURE_SIZE": "000011", + "PF1_SRIOV_BAR2_CONTROL": "100", + "PF1_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR3_CONTROL": "000", + "PF1_SRIOV_BAR4_APERTURE_SIZE": "000011", + "PF1_SRIOV_BAR4_CONTROL": "100", + "PF1_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR5_CONTROL": "000", + "PF1_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF1_SRIOV_CAP_NEXTPTR": "000000000000", + "PF1_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF1_SRIOV_CAP_VER": "0001", + "PF1_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF1_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF1_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF1_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF1_TPHR_CAP_NEXTPTR": "000000000000", + "PF1_TPHR_CAP_ST_MODE_SEL": "000", + "PF2_AER_CAP_NEXTPTR": "000000000000", + "PF2_ARI_CAP_NEXTPTR": "000000000000", + "PF2_ARI_CAP_NEXT_FUNC": "00000000", + "PF2_BAR0_APERTURE_SIZE": "000011", + "PF2_BAR0_CONTROL": "100", + "PF2_BAR1_APERTURE_SIZE": "00000", + "PF2_BAR1_CONTROL": "000", + "PF2_BAR2_APERTURE_SIZE": "000011", + "PF2_BAR2_CONTROL": "100", + "PF2_BAR3_APERTURE_SIZE": "00011", + "PF2_BAR3_CONTROL": "000", + "PF2_BAR4_APERTURE_SIZE": "000011", + "PF2_BAR4_CONTROL": "100", + "PF2_BAR5_APERTURE_SIZE": "00011", + "PF2_BAR5_CONTROL": "000", + "PF2_CAPABILITY_POINTER": "10000000", + "PF2_CLASS_CODE": "000000000000000000000000", + "PF2_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF2_DSN_CAP_NEXTPTR": "000100001100", + "PF2_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF2_EXPANSION_ROM_ENABLE": "FALSE", + "PF2_INTERRUPT_PIN": "001", + "PF2_MSIX_CAP_NEXTPTR": "00000000", + "PF2_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF2_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF2_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF2_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF2_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF2_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF2_MSI_CAP_NEXTPTR": "00000000", + "PF2_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF2_PCIE_CAP_NEXTPTR": "00000000", + "PF2_PM_CAP_NEXTPTR": "00000000", + "PF2_SRIOV_ARI_CAPBL_HIER_PRESERVED": "FALSE", + "PF2_SRIOV_BAR0_APERTURE_SIZE": "000011", + "PF2_SRIOV_BAR0_CONTROL": "100", + "PF2_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF2_SRIOV_BAR1_CONTROL": "000", + "PF2_SRIOV_BAR2_APERTURE_SIZE": "000011", + "PF2_SRIOV_BAR2_CONTROL": "100", + "PF2_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR3_CONTROL": "000", + "PF2_SRIOV_BAR4_APERTURE_SIZE": "000011", + "PF2_SRIOV_BAR4_CONTROL": "100", + "PF2_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR5_CONTROL": "000", + "PF2_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF2_SRIOV_CAP_NEXTPTR": "000000000000", + "PF2_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF2_SRIOV_CAP_VER": "0001", + "PF2_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF2_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF2_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF2_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF2_TPHR_CAP_NEXTPTR": "000000000000", + "PF2_TPHR_CAP_ST_MODE_SEL": "000", + "PF3_AER_CAP_NEXTPTR": "000000000000", + "PF3_ARI_CAP_NEXTPTR": "000000000000", + "PF3_ARI_CAP_NEXT_FUNC": "00000000", + "PF3_BAR0_APERTURE_SIZE": "000011", + "PF3_BAR0_CONTROL": "100", + "PF3_BAR1_APERTURE_SIZE": "00000", + "PF3_BAR1_CONTROL": "000", + "PF3_BAR2_APERTURE_SIZE": "000011", + "PF3_BAR2_CONTROL": "100", + "PF3_BAR3_APERTURE_SIZE": "00011", + "PF3_BAR3_CONTROL": "000", + "PF3_BAR4_APERTURE_SIZE": "000011", + "PF3_BAR4_CONTROL": "100", + "PF3_BAR5_APERTURE_SIZE": "00011", + "PF3_BAR5_CONTROL": "000", + "PF3_CAPABILITY_POINTER": "10000000", + "PF3_CLASS_CODE": "000000000000000000000000", + "PF3_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF3_DSN_CAP_NEXTPTR": "000100001100", + "PF3_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF3_EXPANSION_ROM_ENABLE": "FALSE", + "PF3_INTERRUPT_PIN": "001", + "PF3_MSIX_CAP_NEXTPTR": "00000000", + "PF3_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF3_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF3_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF3_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF3_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF3_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF3_MSI_CAP_NEXTPTR": "00000000", + "PF3_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF3_PCIE_CAP_NEXTPTR": "00000000", + "PF3_PM_CAP_NEXTPTR": "00000000", + "PF3_SRIOV_ARI_CAPBL_HIER_PRESERVED": "FALSE", + "PF3_SRIOV_BAR0_APERTURE_SIZE": "000011", + "PF3_SRIOV_BAR0_CONTROL": "100", + "PF3_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF3_SRIOV_BAR1_CONTROL": "000", + "PF3_SRIOV_BAR2_APERTURE_SIZE": "000011", + "PF3_SRIOV_BAR2_CONTROL": "100", + "PF3_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR3_CONTROL": "000", + "PF3_SRIOV_BAR4_APERTURE_SIZE": "000011", + "PF3_SRIOV_BAR4_CONTROL": "100", + "PF3_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR5_CONTROL": "000", + "PF3_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF3_SRIOV_CAP_NEXTPTR": "000000000000", + "PF3_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF3_SRIOV_CAP_VER": "0001", + "PF3_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF3_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF3_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF3_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF3_TPHR_CAP_NEXTPTR": "000000000000", + "PF3_TPHR_CAP_ST_MODE_SEL": "000", + "PL_CFG_STATE_ROBUSTNESS_ENABLE": "TRUE", + "PL_DEEMPH_SOURCE_SELECT": "TRUE", + "PL_DESKEW_ON_SKIP_IN_GEN12": "FALSE", + "PL_DISABLE_AUTO_EQ_SPEED_CHANGE_TO_GEN3": "FALSE", + "PL_DISABLE_AUTO_EQ_SPEED_CHANGE_TO_GEN4": "FALSE", + "PL_DISABLE_AUTO_SPEED_CHANGE_TO_GEN2": "FALSE", + "PL_DISABLE_DC_BALANCE": "FALSE", + "PL_DISABLE_EI_INFER_IN_L0": "FALSE", + "PL_DISABLE_LANE_REVERSAL": "FALSE", + "PL_DISABLE_LFSR_UPDATE_ON_SKP": "00", + "PL_DISABLE_RETRAIN_ON_EB_ERROR": "FALSE", + "PL_DISABLE_RETRAIN_ON_FRAMING_ERROR": "FALSE", + "PL_DISABLE_RETRAIN_ON_SPECIFIC_FRAMING_ERROR": "0000000000000000", + "PL_DISABLE_UPCONFIG_CAPABLE": "FALSE", + "PL_EQ_ADAPT_DISABLE_COEFF_CHECK": "00", + "PL_EQ_ADAPT_DISABLE_PRESET_CHECK": "00", + "PL_EQ_ADAPT_ITER_COUNT": "00010", + "PL_EQ_ADAPT_REJECT_RETRY_COUNT": "01", + "PL_EQ_BYPASS_PHASE23": "00", + "PL_EQ_DEFAULT_RX_PRESET_HINT": "110011", + "PL_EQ_DEFAULT_TX_PRESET": "01000100", + "PL_EQ_DISABLE_MISMATCH_CHECK": "TRUE", + "PL_EQ_RX_ADAPT_EQ_PHASE0": "00", + "PL_EQ_RX_ADAPT_EQ_PHASE1": "00", + "PL_EQ_SHORT_ADAPT_PHASE": "FALSE", + "PL_EQ_TX_8G_EQ_TS2_ENABLE": "FALSE", + "PL_EXIT_LOOPBACK_ON_EI_ENTRY": "TRUE", + "PL_INFER_EI_DISABLE_LPBK_ACTIVE": "TRUE", + "PL_INFER_EI_DISABLE_REC_RC": "FALSE", + "PL_INFER_EI_DISABLE_REC_SPD": "FALSE", + "PL_LANE0_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE10_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE11_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE12_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE13_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE14_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE15_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE1_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE2_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE3_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE4_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE5_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE6_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE7_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE8_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE9_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LINK_CAP_MAX_LINK_SPEED": "0100", + "PL_LINK_CAP_MAX_LINK_WIDTH": "01000", + "PL_N_FTS": "00000000000000000000000011111111", + "PL_QUIESCE_GUARANTEE_DISABLE": "FALSE", + "PL_REDO_EQ_SOURCE_SELECT": "TRUE", + "PL_REPORT_ALL_PHY_ERRORS": "00000000", + "PL_RX_ADAPT_TIMER_CLWS_CLOBBER_TX_TS": "00", + "PL_RX_ADAPT_TIMER_CLWS_GEN3": "0000", + "PL_RX_ADAPT_TIMER_CLWS_GEN4": "0000", + "PL_RX_ADAPT_TIMER_RRL_CLOBBER_TX_TS": "00", + "PL_RX_ADAPT_TIMER_RRL_GEN3": "0000", + "PL_RX_ADAPT_TIMER_RRL_GEN4": "0000", + "PL_RX_L0S_EXIT_TO_RECOVERY": "00", + "PL_SIM_FAST_LINK_TRAINING": "00", + "PL_SRIS_ENABLE": "FALSE", + "PL_SRIS_SKPOS_GEN_SPD_VEC": "0000000", + "PL_SRIS_SKPOS_REC_SPD_VEC": "0000000", + "PL_UPSTREAM_FACING": "TRUE", + "PL_USER_SPARE": "0000000000000000", + "PM_ASPML0S_TIMEOUT": "0001010100000000", + "PM_ASPML1_ENTRY_DELAY": "00000000001111101000", + "PM_ENABLE_L23_ENTRY": "FALSE", + "PM_ENABLE_SLOT_POWER_CAPTURE": "TRUE", + "PM_L1_REENTRY_DELAY": "00000000000000000000000100000000", + "PM_PME_SERVICE_TIMEOUT_DELAY": "00000000000000000000", + "PM_PME_TURNOFF_ACK_DELAY": "0000000100000000", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "SIM_JTAG_IDCODE": "00000000000000000000000000000000", + "SIM_VERSION": "1.0", + "SPARE_BIT0": "FALSE", + "SPARE_BIT1": "00000000000000000000000000000000", + "SPARE_BIT2": "00000000000000000000000000000000", + "SPARE_BIT3": "FALSE", + "SPARE_BIT4": "00000000000000000000000000000000", + "SPARE_BIT5": "00000000000000000000000000000000", + "SPARE_BIT6": "00000000000000000000000000000000", + "SPARE_BIT7": "00000000000000000000000000000000", + "SPARE_BIT8": "00000000000000000000000000000000", + "SPARE_BYTE0": "00000000", + "SPARE_BYTE1": "00000000", + "SPARE_BYTE2": "00000000", + "SPARE_BYTE3": "00000000", + "SPARE_WORD0": "00000000000000000000000000000000", + "SPARE_WORD1": "00000000000000000000000000000000", + "SPARE_WORD2": "00000000000000000000000000000000", + "SPARE_WORD3": "00000000000000000000000000000000", + "SRIOV_CAP_ENABLE": "0000", + "TL2CFG_IF_PARITY_CHK": "TRUE", + "TL_COMPLETION_RAM_NUM_TLPS": "00", + "TL_COMPLETION_RAM_SIZE": "01", + "TL_CREDITS_CD": "000000000000", + "TL_CREDITS_CH": "00000000", + "TL_CREDITS_NPD": "000000000100", + "TL_CREDITS_NPH": "00100000", + "TL_CREDITS_PD": "000011100000", + "TL_CREDITS_PH": "00100000", + "TL_FC_UPDATE_MIN_INTERVAL_TIME": "00010", + "TL_FC_UPDATE_MIN_INTERVAL_TLP_COUNT": "01000", + "TL_PF_ENABLE_REG": "00", + "TL_POSTED_RAM_SIZE": "0", + "TL_RX_COMPLETION_FROM_RAM_READ_PIPELINE": "FALSE", + "TL_RX_COMPLETION_TO_RAM_READ_PIPELINE": "FALSE", + "TL_RX_COMPLETION_TO_RAM_WRITE_PIPELINE": "FALSE", + "TL_RX_POSTED_FROM_RAM_READ_PIPELINE": "FALSE", + "TL_RX_POSTED_TO_RAM_READ_PIPELINE": "FALSE", + "TL_RX_POSTED_TO_RAM_WRITE_PIPELINE": "FALSE", + "TL_TX_MUX_STRICT_PRIORITY": "TRUE", + "TL_TX_TLP_STRADDLE_ENABLE": "FALSE", + "TL_TX_TLP_TERMINATE_PARITY": "FALSE", + "TL_USER_SPARE": "0000000000000000", + "TPH_FROM_RAM_PIPELINE": "FALSE", + "TPH_TO_RAM_PIPELINE": "FALSE", + "VF0_CAPABILITY_POINTER": "10000000", + "VFG0_ARI_CAP_NEXTPTR": "000000000000", + "VFG0_MSIX_CAP_NEXTPTR": "00000000", + "VFG0_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VFG0_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VFG0_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VFG0_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VFG0_MSIX_CAP_TABLE_SIZE": "00000000000", + "VFG0_PCIE_CAP_NEXTPTR": "00000000", + "VFG0_TPHR_CAP_NEXTPTR": "000000000000", + "VFG0_TPHR_CAP_ST_MODE_SEL": "000", + "VFG1_ARI_CAP_NEXTPTR": "000000000000", + "VFG1_MSIX_CAP_NEXTPTR": "00000000", + "VFG1_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VFG1_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VFG1_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VFG1_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VFG1_MSIX_CAP_TABLE_SIZE": "00000000000", + "VFG1_PCIE_CAP_NEXTPTR": "00000000", + "VFG1_TPHR_CAP_NEXTPTR": "000000000000", + "VFG1_TPHR_CAP_ST_MODE_SEL": "000", + "VFG2_ARI_CAP_NEXTPTR": "000000000000", + "VFG2_MSIX_CAP_NEXTPTR": "00000000", + "VFG2_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VFG2_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VFG2_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VFG2_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VFG2_MSIX_CAP_TABLE_SIZE": "00000000000", + "VFG2_PCIE_CAP_NEXTPTR": "00000000", + "VFG2_TPHR_CAP_NEXTPTR": "000000000000", + "VFG2_TPHR_CAP_ST_MODE_SEL": "000", + "VFG3_ARI_CAP_NEXTPTR": "000000000000", + "VFG3_MSIX_CAP_NEXTPTR": "00000000", + "VFG3_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VFG3_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VFG3_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VFG3_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VFG3_MSIX_CAP_TABLE_SIZE": "00000000000", + "VFG3_PCIE_CAP_NEXTPTR": "00000000", + "VFG3_TPHR_CAP_NEXTPTR": "000000000000", + "VFG3_TPHR_CAP_ST_MODE_SEL": "000" + }, + "ports": { + "AXIUSEROUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "CFGBUSNUMBER": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "CFGCURRENTSPEED": { + "direction": "output", + "bits": [ 18, 19 ] + }, + "CFGERRCOROUT": { + "direction": "output", + "bits": [ 20 ] + }, + "CFGERRFATALOUT": { + "direction": "output", + "bits": [ 21 ] + }, + "CFGERRNONFATALOUT": { + "direction": "output", + "bits": [ 22 ] + }, + "CFGEXTFUNCTIONNUMBER": { + "direction": "output", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ] + }, + "CFGEXTREADRECEIVED": { + "direction": "output", + "bits": [ 31 ] + }, + "CFGEXTREGISTERNUMBER": { + "direction": "output", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "CFGEXTWRITEBYTEENABLE": { + "direction": "output", + "bits": [ 42, 43, 44, 45 ] + }, + "CFGEXTWRITEDATA": { + "direction": "output", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + }, + "CFGEXTWRITERECEIVED": { + "direction": "output", + "bits": [ 78 ] + }, + "CFGFCCPLD": { + "direction": "output", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "CFGFCCPLH": { + "direction": "output", + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "CFGFCNPD": { + "direction": "output", + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ] + }, + "CFGFCNPH": { + "direction": "output", + "bits": [ 111, 112, 113, 114, 115, 116, 117, 118 ] + }, + "CFGFCPD": { + "direction": "output", + "bits": [ 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130 ] + }, + "CFGFCPH": { + "direction": "output", + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138 ] + }, + "CFGFLRINPROCESS": { + "direction": "output", + "bits": [ 139, 140, 141, 142 ] + }, + "CFGFUNCTIONPOWERSTATE": { + "direction": "output", + "bits": [ 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + }, + "CFGFUNCTIONSTATUS": { + "direction": "output", + "bits": [ 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170 ] + }, + "CFGHOTRESETOUT": { + "direction": "output", + "bits": [ 171 ] + }, + "CFGINTERRUPTMSIDATA": { + "direction": "output", + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203 ] + }, + "CFGINTERRUPTMSIENABLE": { + "direction": "output", + "bits": [ 204, 205, 206, 207 ] + }, + "CFGINTERRUPTMSIFAIL": { + "direction": "output", + "bits": [ 208 ] + }, + "CFGINTERRUPTMSIMASKUPDATE": { + "direction": "output", + "bits": [ 209 ] + }, + "CFGINTERRUPTMSIMMENABLE": { + "direction": "output", + "bits": [ 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221 ] + }, + "CFGINTERRUPTMSISENT": { + "direction": "output", + "bits": [ 222 ] + }, + "CFGINTERRUPTMSIXENABLE": { + "direction": "output", + "bits": [ 223, 224, 225, 226 ] + }, + "CFGINTERRUPTMSIXMASK": { + "direction": "output", + "bits": [ 227, 228, 229, 230 ] + }, + "CFGINTERRUPTMSIXVECPENDINGSTATUS": { + "direction": "output", + "bits": [ 231 ] + }, + "CFGINTERRUPTSENT": { + "direction": "output", + "bits": [ 232 ] + }, + "CFGLINKPOWERSTATE": { + "direction": "output", + "bits": [ 233, 234 ] + }, + "CFGLOCALERROROUT": { + "direction": "output", + "bits": [ 235, 236, 237, 238, 239 ] + }, + "CFGLOCALERRORVALID": { + "direction": "output", + "bits": [ 240 ] + }, + "CFGLTRENABLE": { + "direction": "output", + "bits": [ 241 ] + }, + "CFGLTSSMSTATE": { + "direction": "output", + "bits": [ 242, 243, 244, 245, 246, 247 ] + }, + "CFGMAXPAYLOAD": { + "direction": "output", + "bits": [ 248, 249 ] + }, + "CFGMAXREADREQ": { + "direction": "output", + "bits": [ 250, 251, 252 ] + }, + "CFGMGMTREADDATA": { + "direction": "output", + "bits": [ 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284 ] + }, + "CFGMGMTREADWRITEDONE": { + "direction": "output", + "bits": [ 285 ] + }, + "CFGMSGRECEIVED": { + "direction": "output", + "bits": [ 286 ] + }, + "CFGMSGRECEIVEDDATA": { + "direction": "output", + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294 ] + }, + "CFGMSGRECEIVEDTYPE": { + "direction": "output", + "bits": [ 295, 296, 297, 298, 299 ] + }, + "CFGMSGTRANSMITDONE": { + "direction": "output", + "bits": [ 300 ] + }, + "CFGMSIXRAMADDRESS": { + "direction": "output", + "bits": [ 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313 ] + }, + "CFGMSIXRAMREADENABLE": { + "direction": "output", + "bits": [ 314 ] + }, + "CFGMSIXRAMWRITEBYTEENABLE": { + "direction": "output", + "bits": [ 315, 316, 317, 318 ] + }, + "CFGMSIXRAMWRITEDATA": { + "direction": "output", + "bits": [ 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354 ] + }, + "CFGNEGOTIATEDWIDTH": { + "direction": "output", + "bits": [ 355, 356, 357 ] + }, + "CFGOBFFENABLE": { + "direction": "output", + "bits": [ 358, 359 ] + }, + "CFGPHYLINKDOWN": { + "direction": "output", + "bits": [ 360 ] + }, + "CFGPHYLINKSTATUS": { + "direction": "output", + "bits": [ 361, 362 ] + }, + "CFGPLSTATUSCHANGE": { + "direction": "output", + "bits": [ 363 ] + }, + "CFGPOWERSTATECHANGEINTERRUPT": { + "direction": "output", + "bits": [ 364 ] + }, + "CFGRCBSTATUS": { + "direction": "output", + "bits": [ 365, 366, 367, 368 ] + }, + "CFGRXPMSTATE": { + "direction": "output", + "bits": [ 369, 370 ] + }, + "CFGTPHRAMADDRESS": { + "direction": "output", + "bits": [ 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382 ] + }, + "CFGTPHRAMREADENABLE": { + "direction": "output", + "bits": [ 383 ] + }, + "CFGTPHRAMWRITEBYTEENABLE": { + "direction": "output", + "bits": [ 384, 385, 386, 387 ] + }, + "CFGTPHRAMWRITEDATA": { + "direction": "output", + "bits": [ 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423 ] + }, + "CFGTPHREQUESTERENABLE": { + "direction": "output", + "bits": [ 424, 425, 426, 427 ] + }, + "CFGTPHSTMODE": { + "direction": "output", + "bits": [ 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439 ] + }, + "CFGTXPMSTATE": { + "direction": "output", + "bits": [ 440, 441 ] + }, + "CONFMCAPDESIGNSWITCH": { + "direction": "output", + "bits": [ 442 ] + }, + "CONFMCAPEOS": { + "direction": "output", + "bits": [ 443 ] + }, + "CONFMCAPINUSEBYPCIE": { + "direction": "output", + "bits": [ 444 ] + }, + "CONFREQREADY": { + "direction": "output", + "bits": [ 445 ] + }, + "CONFRESPRDATA": { + "direction": "output", + "bits": [ 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477 ] + }, + "CONFRESPVALID": { + "direction": "output", + "bits": [ 478 ] + }, + "DBGCTRL0OUT": { + "direction": "output", + "bits": [ 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510 ] + }, + "DBGCTRL1OUT": { + "direction": "output", + "bits": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542 ] + }, + "DBGDATA0OUT": { + "direction": "output", + "bits": [ 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798 ] + }, + "DBGDATA1OUT": { + "direction": "output", + "bits": [ 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 1071 ] + }, + "MAXISCQTDATA": { + "direction": "output", + "bits": [ 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327 ] + }, + "MAXISCQTKEEP": { + "direction": "output", + "bits": [ 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335 ] + }, + "MAXISCQTLAST": { + "direction": "output", + "bits": [ 1336 ] + }, + "MAXISCQTUSER": { + "direction": "output", + "bits": [ 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424 ] + }, + "MAXISCQTVALID": { + "direction": "output", + "bits": [ 1425 ] + }, + "MAXISRCTDATA": { + "direction": "output", + "bits": [ 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681 ] + }, + "MAXISRCTKEEP": { + "direction": "output", + "bits": [ 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689 ] + }, + "MAXISRCTLAST": { + "direction": "output", + "bits": [ 1690 ] + }, + "MAXISRCTUSER": { + "direction": "output", + "bits": [ 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765 ] + }, + "MAXISRCTVALID": { + "direction": "output", + "bits": [ 1766 ] + }, + "MIREPLAYRAMADDRESS0": { + "direction": "output", + "bits": [ 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775 ] + }, + "MIREPLAYRAMADDRESS1": { + "direction": "output", + "bits": [ 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784 ] + }, + "MIREPLAYRAMREADENABLE0": { + "direction": "output", + "bits": [ 1785 ] + }, + "MIREPLAYRAMREADENABLE1": { + "direction": "output", + "bits": [ 1786 ] + }, + "MIREPLAYRAMWRITEDATA0": { + "direction": "output", + "bits": [ 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914 ] + }, + "MIREPLAYRAMWRITEDATA1": { + "direction": "output", + "bits": [ 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042 ] + }, + "MIREPLAYRAMWRITEENABLE0": { + "direction": "output", + "bits": [ 2043 ] + }, + "MIREPLAYRAMWRITEENABLE1": { + "direction": "output", + "bits": [ 2044 ] + }, + "MIRXCOMPLETIONRAMREADADDRESS0": { + "direction": "output", + "bits": [ 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053 ] + }, + "MIRXCOMPLETIONRAMREADADDRESS1": { + "direction": "output", + "bits": [ 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062 ] + }, + "MIRXCOMPLETIONRAMREADENABLE0": { + "direction": "output", + "bits": [ 2063, 2064 ] + }, + "MIRXCOMPLETIONRAMREADENABLE1": { + "direction": "output", + "bits": [ 2065, 2066 ] + }, + "MIRXCOMPLETIONRAMWRITEADDRESS0": { + "direction": "output", + "bits": [ 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075 ] + }, + "MIRXCOMPLETIONRAMWRITEADDRESS1": { + "direction": "output", + "bits": [ 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084 ] + }, + "MIRXCOMPLETIONRAMWRITEDATA0": { + "direction": "output", + "bits": [ 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228 ] + }, + "MIRXCOMPLETIONRAMWRITEDATA1": { + "direction": "output", + "bits": [ 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372 ] + }, + "MIRXCOMPLETIONRAMWRITEENABLE0": { + "direction": "output", + "bits": [ 2373, 2374 ] + }, + "MIRXCOMPLETIONRAMWRITEENABLE1": { + "direction": "output", + "bits": [ 2375, 2376 ] + }, + "MIRXPOSTEDREQUESTRAMREADADDRESS0": { + "direction": "output", + "bits": [ 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385 ] + }, + "MIRXPOSTEDREQUESTRAMREADADDRESS1": { + "direction": "output", + "bits": [ 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394 ] + }, + "MIRXPOSTEDREQUESTRAMREADENABLE0": { + "direction": "output", + "bits": [ 2395 ] + }, + "MIRXPOSTEDREQUESTRAMREADENABLE1": { + "direction": "output", + "bits": [ 2396 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEADDRESS0": { + "direction": "output", + "bits": [ 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEADDRESS1": { + "direction": "output", + "bits": [ 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEDATA0": { + "direction": "output", + "bits": [ 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEDATA1": { + "direction": "output", + "bits": [ 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEENABLE0": { + "direction": "output", + "bits": [ 2703 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEENABLE1": { + "direction": "output", + "bits": [ 2704 ] + }, + "PCIECQNPREQCOUNT": { + "direction": "output", + "bits": [ 2705, 2706, 2707, 2708, 2709, 2710 ] + }, + "PCIEPERST0B": { + "direction": "output", + "bits": [ 2711 ] + }, + "PCIEPERST1B": { + "direction": "output", + "bits": [ 2712 ] + }, + "PCIERQSEQNUM0": { + "direction": "output", + "bits": [ 2713, 2714, 2715, 2716, 2717, 2718 ] + }, + "PCIERQSEQNUM1": { + "direction": "output", + "bits": [ 2719, 2720, 2721, 2722, 2723, 2724 ] + }, + "PCIERQSEQNUMVLD0": { + "direction": "output", + "bits": [ 2725 ] + }, + "PCIERQSEQNUMVLD1": { + "direction": "output", + "bits": [ 2726 ] + }, + "PCIERQTAG0": { + "direction": "output", + "bits": [ 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734 ] + }, + "PCIERQTAG1": { + "direction": "output", + "bits": [ 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742 ] + }, + "PCIERQTAGAV": { + "direction": "output", + "bits": [ 2743, 2744, 2745, 2746 ] + }, + "PCIERQTAGVLD0": { + "direction": "output", + "bits": [ 2747 ] + }, + "PCIERQTAGVLD1": { + "direction": "output", + "bits": [ 2748 ] + }, + "PCIETFCNPDAV": { + "direction": "output", + "bits": [ 2749, 2750, 2751, 2752 ] + }, + "PCIETFCNPHAV": { + "direction": "output", + "bits": [ 2753, 2754, 2755, 2756 ] + }, + "PIPERX00EQCONTROL": { + "direction": "output", + "bits": [ 2757, 2758 ] + }, + "PIPERX00POLARITY": { + "direction": "output", + "bits": [ 2759 ] + }, + "PIPERX01EQCONTROL": { + "direction": "output", + "bits": [ 2760, 2761 ] + }, + "PIPERX01POLARITY": { + "direction": "output", + "bits": [ 2762 ] + }, + "PIPERX02EQCONTROL": { + "direction": "output", + "bits": [ 2763, 2764 ] + }, + "PIPERX02POLARITY": { + "direction": "output", + "bits": [ 2765 ] + }, + "PIPERX03EQCONTROL": { + "direction": "output", + "bits": [ 2766, 2767 ] + }, + "PIPERX03POLARITY": { + "direction": "output", + "bits": [ 2768 ] + }, + "PIPERX04EQCONTROL": { + "direction": "output", + "bits": [ 2769, 2770 ] + }, + "PIPERX04POLARITY": { + "direction": "output", + "bits": [ 2771 ] + }, + "PIPERX05EQCONTROL": { + "direction": "output", + "bits": [ 2772, 2773 ] + }, + "PIPERX05POLARITY": { + "direction": "output", + "bits": [ 2774 ] + }, + "PIPERX06EQCONTROL": { + "direction": "output", + "bits": [ 2775, 2776 ] + }, + "PIPERX06POLARITY": { + "direction": "output", + "bits": [ 2777 ] + }, + "PIPERX07EQCONTROL": { + "direction": "output", + "bits": [ 2778, 2779 ] + }, + "PIPERX07POLARITY": { + "direction": "output", + "bits": [ 2780 ] + }, + "PIPERX08EQCONTROL": { + "direction": "output", + "bits": [ 2781, 2782 ] + }, + "PIPERX08POLARITY": { + "direction": "output", + "bits": [ 2783 ] + }, + "PIPERX09EQCONTROL": { + "direction": "output", + "bits": [ 2784, 2785 ] + }, + "PIPERX09POLARITY": { + "direction": "output", + "bits": [ 2786 ] + }, + "PIPERX10EQCONTROL": { + "direction": "output", + "bits": [ 2787, 2788 ] + }, + "PIPERX10POLARITY": { + "direction": "output", + "bits": [ 2789 ] + }, + "PIPERX11EQCONTROL": { + "direction": "output", + "bits": [ 2790, 2791 ] + }, + "PIPERX11POLARITY": { + "direction": "output", + "bits": [ 2792 ] + }, + "PIPERX12EQCONTROL": { + "direction": "output", + "bits": [ 2793, 2794 ] + }, + "PIPERX12POLARITY": { + "direction": "output", + "bits": [ 2795 ] + }, + "PIPERX13EQCONTROL": { + "direction": "output", + "bits": [ 2796, 2797 ] + }, + "PIPERX13POLARITY": { + "direction": "output", + "bits": [ 2798 ] + }, + "PIPERX14EQCONTROL": { + "direction": "output", + "bits": [ 2799, 2800 ] + }, + "PIPERX14POLARITY": { + "direction": "output", + "bits": [ 2801 ] + }, + "PIPERX15EQCONTROL": { + "direction": "output", + "bits": [ 2802, 2803 ] + }, + "PIPERX15POLARITY": { + "direction": "output", + "bits": [ 2804 ] + }, + "PIPERXEQLPLFFS": { + "direction": "output", + "bits": [ 2805, 2806, 2807, 2808, 2809, 2810 ] + }, + "PIPERXEQLPTXPRESET": { + "direction": "output", + "bits": [ 2811, 2812, 2813, 2814 ] + }, + "PIPETX00CHARISK": { + "direction": "output", + "bits": [ 2815, 2816 ] + }, + "PIPETX00COMPLIANCE": { + "direction": "output", + "bits": [ 2817 ] + }, + "PIPETX00DATA": { + "direction": "output", + "bits": [ 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849 ] + }, + "PIPETX00DATAVALID": { + "direction": "output", + "bits": [ 2850 ] + }, + "PIPETX00ELECIDLE": { + "direction": "output", + "bits": [ 2851 ] + }, + "PIPETX00EQCONTROL": { + "direction": "output", + "bits": [ 2852, 2853 ] + }, + "PIPETX00EQDEEMPH": { + "direction": "output", + "bits": [ 2854, 2855, 2856, 2857, 2858, 2859 ] + }, + "PIPETX00POWERDOWN": { + "direction": "output", + "bits": [ 2860, 2861 ] + }, + "PIPETX00STARTBLOCK": { + "direction": "output", + "bits": [ 2862 ] + }, + "PIPETX00SYNCHEADER": { + "direction": "output", + "bits": [ 2863, 2864 ] + }, + "PIPETX01CHARISK": { + "direction": "output", + "bits": [ 2865, 2866 ] + }, + "PIPETX01COMPLIANCE": { + "direction": "output", + "bits": [ 2867 ] + }, + "PIPETX01DATA": { + "direction": "output", + "bits": [ 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899 ] + }, + "PIPETX01DATAVALID": { + "direction": "output", + "bits": [ 2900 ] + }, + "PIPETX01ELECIDLE": { + "direction": "output", + "bits": [ 2901 ] + }, + "PIPETX01EQCONTROL": { + "direction": "output", + "bits": [ 2902, 2903 ] + }, + "PIPETX01EQDEEMPH": { + "direction": "output", + "bits": [ 2904, 2905, 2906, 2907, 2908, 2909 ] + }, + "PIPETX01POWERDOWN": { + "direction": "output", + "bits": [ 2910, 2911 ] + }, + "PIPETX01STARTBLOCK": { + "direction": "output", + "bits": [ 2912 ] + }, + "PIPETX01SYNCHEADER": { + "direction": "output", + "bits": [ 2913, 2914 ] + }, + "PIPETX02CHARISK": { + "direction": "output", + "bits": [ 2915, 2916 ] + }, + "PIPETX02COMPLIANCE": { + "direction": "output", + "bits": [ 2917 ] + }, + "PIPETX02DATA": { + "direction": "output", + "bits": [ 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949 ] + }, + "PIPETX02DATAVALID": { + "direction": "output", + "bits": [ 2950 ] + }, + "PIPETX02ELECIDLE": { + "direction": "output", + "bits": [ 2951 ] + }, + "PIPETX02EQCONTROL": { + "direction": "output", + "bits": [ 2952, 2953 ] + }, + "PIPETX02EQDEEMPH": { + "direction": "output", + "bits": [ 2954, 2955, 2956, 2957, 2958, 2959 ] + }, + "PIPETX02POWERDOWN": { + "direction": "output", + "bits": [ 2960, 2961 ] + }, + "PIPETX02STARTBLOCK": { + "direction": "output", + "bits": [ 2962 ] + }, + "PIPETX02SYNCHEADER": { + "direction": "output", + "bits": [ 2963, 2964 ] + }, + "PIPETX03CHARISK": { + "direction": "output", + "bits": [ 2965, 2966 ] + }, + "PIPETX03COMPLIANCE": { + "direction": "output", + "bits": [ 2967 ] + }, + "PIPETX03DATA": { + "direction": "output", + "bits": [ 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999 ] + }, + "PIPETX03DATAVALID": { + "direction": "output", + "bits": [ 3000 ] + }, + "PIPETX03ELECIDLE": { + "direction": "output", + "bits": [ 3001 ] + }, + "PIPETX03EQCONTROL": { + "direction": "output", + "bits": [ 3002, 3003 ] + }, + "PIPETX03EQDEEMPH": { + "direction": "output", + "bits": [ 3004, 3005, 3006, 3007, 3008, 3009 ] + }, + "PIPETX03POWERDOWN": { + "direction": "output", + "bits": [ 3010, 3011 ] + }, + "PIPETX03STARTBLOCK": { + "direction": "output", + "bits": [ 3012 ] + }, + "PIPETX03SYNCHEADER": { + "direction": "output", + "bits": [ 3013, 3014 ] + }, + "PIPETX04CHARISK": { + "direction": "output", + "bits": [ 3015, 3016 ] + }, + "PIPETX04COMPLIANCE": { + "direction": "output", + "bits": [ 3017 ] + }, + "PIPETX04DATA": { + "direction": "output", + "bits": [ 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049 ] + }, + "PIPETX04DATAVALID": { + "direction": "output", + "bits": [ 3050 ] + }, + "PIPETX04ELECIDLE": { + "direction": "output", + "bits": [ 3051 ] + }, + "PIPETX04EQCONTROL": { + "direction": "output", + "bits": [ 3052, 3053 ] + }, + "PIPETX04EQDEEMPH": { + "direction": "output", + "bits": [ 3054, 3055, 3056, 3057, 3058, 3059 ] + }, + "PIPETX04POWERDOWN": { + "direction": "output", + "bits": [ 3060, 3061 ] + }, + "PIPETX04STARTBLOCK": { + "direction": "output", + "bits": [ 3062 ] + }, + "PIPETX04SYNCHEADER": { + "direction": "output", + "bits": [ 3063, 3064 ] + }, + "PIPETX05CHARISK": { + "direction": "output", + "bits": [ 3065, 3066 ] + }, + "PIPETX05COMPLIANCE": { + "direction": "output", + "bits": [ 3067 ] + }, + "PIPETX05DATA": { + "direction": "output", + "bits": [ 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099 ] + }, + "PIPETX05DATAVALID": { + "direction": "output", + "bits": [ 3100 ] + }, + "PIPETX05ELECIDLE": { + "direction": "output", + "bits": [ 3101 ] + }, + "PIPETX05EQCONTROL": { + "direction": "output", + "bits": [ 3102, 3103 ] + }, + "PIPETX05EQDEEMPH": { + "direction": "output", + "bits": [ 3104, 3105, 3106, 3107, 3108, 3109 ] + }, + "PIPETX05POWERDOWN": { + "direction": "output", + "bits": [ 3110, 3111 ] + }, + "PIPETX05STARTBLOCK": { + "direction": "output", + "bits": [ 3112 ] + }, + "PIPETX05SYNCHEADER": { + "direction": "output", + "bits": [ 3113, 3114 ] + }, + "PIPETX06CHARISK": { + "direction": "output", + "bits": [ 3115, 3116 ] + }, + "PIPETX06COMPLIANCE": { + "direction": "output", + "bits": [ 3117 ] + }, + "PIPETX06DATA": { + "direction": "output", + "bits": [ 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149 ] + }, + "PIPETX06DATAVALID": { + "direction": "output", + "bits": [ 3150 ] + }, + "PIPETX06ELECIDLE": { + "direction": "output", + "bits": [ 3151 ] + }, + "PIPETX06EQCONTROL": { + "direction": "output", + "bits": [ 3152, 3153 ] + }, + "PIPETX06EQDEEMPH": { + "direction": "output", + "bits": [ 3154, 3155, 3156, 3157, 3158, 3159 ] + }, + "PIPETX06POWERDOWN": { + "direction": "output", + "bits": [ 3160, 3161 ] + }, + "PIPETX06STARTBLOCK": { + "direction": "output", + "bits": [ 3162 ] + }, + "PIPETX06SYNCHEADER": { + "direction": "output", + "bits": [ 3163, 3164 ] + }, + "PIPETX07CHARISK": { + "direction": "output", + "bits": [ 3165, 3166 ] + }, + "PIPETX07COMPLIANCE": { + "direction": "output", + "bits": [ 3167 ] + }, + "PIPETX07DATA": { + "direction": "output", + "bits": [ 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199 ] + }, + "PIPETX07DATAVALID": { + "direction": "output", + "bits": [ 3200 ] + }, + "PIPETX07ELECIDLE": { + "direction": "output", + "bits": [ 3201 ] + }, + "PIPETX07EQCONTROL": { + "direction": "output", + "bits": [ 3202, 3203 ] + }, + "PIPETX07EQDEEMPH": { + "direction": "output", + "bits": [ 3204, 3205, 3206, 3207, 3208, 3209 ] + }, + "PIPETX07POWERDOWN": { + "direction": "output", + "bits": [ 3210, 3211 ] + }, + "PIPETX07STARTBLOCK": { + "direction": "output", + "bits": [ 3212 ] + }, + "PIPETX07SYNCHEADER": { + "direction": "output", + "bits": [ 3213, 3214 ] + }, + "PIPETX08CHARISK": { + "direction": "output", + "bits": [ 3215, 3216 ] + }, + "PIPETX08COMPLIANCE": { + "direction": "output", + "bits": [ 3217 ] + }, + "PIPETX08DATA": { + "direction": "output", + "bits": [ 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249 ] + }, + "PIPETX08DATAVALID": { + "direction": "output", + "bits": [ 3250 ] + }, + "PIPETX08ELECIDLE": { + "direction": "output", + "bits": [ 3251 ] + }, + "PIPETX08EQCONTROL": { + "direction": "output", + "bits": [ 3252, 3253 ] + }, + "PIPETX08EQDEEMPH": { + "direction": "output", + "bits": [ 3254, 3255, 3256, 3257, 3258, 3259 ] + }, + "PIPETX08POWERDOWN": { + "direction": "output", + "bits": [ 3260, 3261 ] + }, + "PIPETX08STARTBLOCK": { + "direction": "output", + "bits": [ 3262 ] + }, + "PIPETX08SYNCHEADER": { + "direction": "output", + "bits": [ 3263, 3264 ] + }, + "PIPETX09CHARISK": { + "direction": "output", + "bits": [ 3265, 3266 ] + }, + "PIPETX09COMPLIANCE": { + "direction": "output", + "bits": [ 3267 ] + }, + "PIPETX09DATA": { + "direction": "output", + "bits": [ 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299 ] + }, + "PIPETX09DATAVALID": { + "direction": "output", + "bits": [ 3300 ] + }, + "PIPETX09ELECIDLE": { + "direction": "output", + "bits": [ 3301 ] + }, + "PIPETX09EQCONTROL": { + "direction": "output", + "bits": [ 3302, 3303 ] + }, + "PIPETX09EQDEEMPH": { + "direction": "output", + "bits": [ 3304, 3305, 3306, 3307, 3308, 3309 ] + }, + "PIPETX09POWERDOWN": { + "direction": "output", + "bits": [ 3310, 3311 ] + }, + "PIPETX09STARTBLOCK": { + "direction": "output", + "bits": [ 3312 ] + }, + "PIPETX09SYNCHEADER": { + "direction": "output", + "bits": [ 3313, 3314 ] + }, + "PIPETX10CHARISK": { + "direction": "output", + "bits": [ 3315, 3316 ] + }, + "PIPETX10COMPLIANCE": { + "direction": "output", + "bits": [ 3317 ] + }, + "PIPETX10DATA": { + "direction": "output", + "bits": [ 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349 ] + }, + "PIPETX10DATAVALID": { + "direction": "output", + "bits": [ 3350 ] + }, + "PIPETX10ELECIDLE": { + "direction": "output", + "bits": [ 3351 ] + }, + "PIPETX10EQCONTROL": { + "direction": "output", + "bits": [ 3352, 3353 ] + }, + "PIPETX10EQDEEMPH": { + "direction": "output", + "bits": [ 3354, 3355, 3356, 3357, 3358, 3359 ] + }, + "PIPETX10POWERDOWN": { + "direction": "output", + "bits": [ 3360, 3361 ] + }, + "PIPETX10STARTBLOCK": { + "direction": "output", + "bits": [ 3362 ] + }, + "PIPETX10SYNCHEADER": { + "direction": "output", + "bits": [ 3363, 3364 ] + }, + "PIPETX11CHARISK": { + "direction": "output", + "bits": [ 3365, 3366 ] + }, + "PIPETX11COMPLIANCE": { + "direction": "output", + "bits": [ 3367 ] + }, + "PIPETX11DATA": { + "direction": "output", + "bits": [ 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399 ] + }, + "PIPETX11DATAVALID": { + "direction": "output", + "bits": [ 3400 ] + }, + "PIPETX11ELECIDLE": { + "direction": "output", + "bits": [ 3401 ] + }, + "PIPETX11EQCONTROL": { + "direction": "output", + "bits": [ 3402, 3403 ] + }, + "PIPETX11EQDEEMPH": { + "direction": "output", + "bits": [ 3404, 3405, 3406, 3407, 3408, 3409 ] + }, + "PIPETX11POWERDOWN": { + "direction": "output", + "bits": [ 3410, 3411 ] + }, + "PIPETX11STARTBLOCK": { + "direction": "output", + "bits": [ 3412 ] + }, + "PIPETX11SYNCHEADER": { + "direction": "output", + "bits": [ 3413, 3414 ] + }, + "PIPETX12CHARISK": { + "direction": "output", + "bits": [ 3415, 3416 ] + }, + "PIPETX12COMPLIANCE": { + "direction": "output", + "bits": [ 3417 ] + }, + "PIPETX12DATA": { + "direction": "output", + "bits": [ 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449 ] + }, + "PIPETX12DATAVALID": { + "direction": "output", + "bits": [ 3450 ] + }, + "PIPETX12ELECIDLE": { + "direction": "output", + "bits": [ 3451 ] + }, + "PIPETX12EQCONTROL": { + "direction": "output", + "bits": [ 3452, 3453 ] + }, + "PIPETX12EQDEEMPH": { + "direction": "output", + "bits": [ 3454, 3455, 3456, 3457, 3458, 3459 ] + }, + "PIPETX12POWERDOWN": { + "direction": "output", + "bits": [ 3460, 3461 ] + }, + "PIPETX12STARTBLOCK": { + "direction": "output", + "bits": [ 3462 ] + }, + "PIPETX12SYNCHEADER": { + "direction": "output", + "bits": [ 3463, 3464 ] + }, + "PIPETX13CHARISK": { + "direction": "output", + "bits": [ 3465, 3466 ] + }, + "PIPETX13COMPLIANCE": { + "direction": "output", + "bits": [ 3467 ] + }, + "PIPETX13DATA": { + "direction": "output", + "bits": [ 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499 ] + }, + "PIPETX13DATAVALID": { + "direction": "output", + "bits": [ 3500 ] + }, + "PIPETX13ELECIDLE": { + "direction": "output", + "bits": [ 3501 ] + }, + "PIPETX13EQCONTROL": { + "direction": "output", + "bits": [ 3502, 3503 ] + }, + "PIPETX13EQDEEMPH": { + "direction": "output", + "bits": [ 3504, 3505, 3506, 3507, 3508, 3509 ] + }, + "PIPETX13POWERDOWN": { + "direction": "output", + "bits": [ 3510, 3511 ] + }, + "PIPETX13STARTBLOCK": { + "direction": "output", + "bits": [ 3512 ] + }, + "PIPETX13SYNCHEADER": { + "direction": "output", + "bits": [ 3513, 3514 ] + }, + "PIPETX14CHARISK": { + "direction": "output", + "bits": [ 3515, 3516 ] + }, + "PIPETX14COMPLIANCE": { + "direction": "output", + "bits": [ 3517 ] + }, + "PIPETX14DATA": { + "direction": "output", + "bits": [ 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549 ] + }, + "PIPETX14DATAVALID": { + "direction": "output", + "bits": [ 3550 ] + }, + "PIPETX14ELECIDLE": { + "direction": "output", + "bits": [ 3551 ] + }, + "PIPETX14EQCONTROL": { + "direction": "output", + "bits": [ 3552, 3553 ] + }, + "PIPETX14EQDEEMPH": { + "direction": "output", + "bits": [ 3554, 3555, 3556, 3557, 3558, 3559 ] + }, + "PIPETX14POWERDOWN": { + "direction": "output", + "bits": [ 3560, 3561 ] + }, + "PIPETX14STARTBLOCK": { + "direction": "output", + "bits": [ 3562 ] + }, + "PIPETX14SYNCHEADER": { + "direction": "output", + "bits": [ 3563, 3564 ] + }, + "PIPETX15CHARISK": { + "direction": "output", + "bits": [ 3565, 3566 ] + }, + "PIPETX15COMPLIANCE": { + "direction": "output", + "bits": [ 3567 ] + }, + "PIPETX15DATA": { + "direction": "output", + "bits": [ 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599 ] + }, + "PIPETX15DATAVALID": { + "direction": "output", + "bits": [ 3600 ] + }, + "PIPETX15ELECIDLE": { + "direction": "output", + "bits": [ 3601 ] + }, + "PIPETX15EQCONTROL": { + "direction": "output", + "bits": [ 3602, 3603 ] + }, + "PIPETX15EQDEEMPH": { + "direction": "output", + "bits": [ 3604, 3605, 3606, 3607, 3608, 3609 ] + }, + "PIPETX15POWERDOWN": { + "direction": "output", + "bits": [ 3610, 3611 ] + }, + "PIPETX15STARTBLOCK": { + "direction": "output", + "bits": [ 3612 ] + }, + "PIPETX15SYNCHEADER": { + "direction": "output", + "bits": [ 3613, 3614 ] + }, + "PIPETXDEEMPH": { + "direction": "output", + "bits": [ 3615 ] + }, + "PIPETXMARGIN": { + "direction": "output", + "bits": [ 3616, 3617, 3618 ] + }, + "PIPETXRATE": { + "direction": "output", + "bits": [ 3619, 3620 ] + }, + "PIPETXRCVRDET": { + "direction": "output", + "bits": [ 3621 ] + }, + "PIPETXRESET": { + "direction": "output", + "bits": [ 3622 ] + }, + "PIPETXSWING": { + "direction": "output", + "bits": [ 3623 ] + }, + "PLEQINPROGRESS": { + "direction": "output", + "bits": [ 3624 ] + }, + "PLEQPHASE": { + "direction": "output", + "bits": [ 3625, 3626 ] + }, + "PLGEN34EQMISMATCH": { + "direction": "output", + "bits": [ 3627 ] + }, + "SAXISCCTREADY": { + "direction": "output", + "bits": [ 3628, 3629, 3630, 3631 ] + }, + "SAXISRQTREADY": { + "direction": "output", + "bits": [ 3632, 3633, 3634, 3635 ] + }, + "USERSPAREOUT": { + "direction": "output", + "bits": [ 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667 ] + }, + "AXIUSERIN": { + "direction": "input", + "bits": [ 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675 ] + }, + "CFGCONFIGSPACEENABLE": { + "direction": "input", + "bits": [ 3676 ] + }, + "CFGDEVIDPF0": { + "direction": "input", + "bits": [ 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692 ] + }, + "CFGDEVIDPF1": { + "direction": "input", + "bits": [ 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708 ] + }, + "CFGDEVIDPF2": { + "direction": "input", + "bits": [ 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724 ] + }, + "CFGDEVIDPF3": { + "direction": "input", + "bits": [ 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740 ] + }, + "CFGDSBUSNUMBER": { + "direction": "input", + "bits": [ 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748 ] + }, + "CFGDSDEVICENUMBER": { + "direction": "input", + "bits": [ 3749, 3750, 3751, 3752, 3753 ] + }, + "CFGDSFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 3754, 3755, 3756 ] + }, + "CFGDSN": { + "direction": "input", + "bits": [ 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820 ] + }, + "CFGDSPORTNUMBER": { + "direction": "input", + "bits": [ 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828 ] + }, + "CFGERRCORIN": { + "direction": "input", + "bits": [ 3829 ] + }, + "CFGERRUNCORIN": { + "direction": "input", + "bits": [ 3830 ] + }, + "CFGEXTREADDATA": { + "direction": "input", + "bits": [ 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862 ] + }, + "CFGEXTREADDATAVALID": { + "direction": "input", + "bits": [ 3863 ] + }, + "CFGFCSEL": { + "direction": "input", + "bits": [ 3864, 3865, 3866 ] + }, + "CFGFLRDONE": { + "direction": "input", + "bits": [ 3867, 3868, 3869, 3870 ] + }, + "CFGHOTRESETIN": { + "direction": "input", + "bits": [ 3871 ] + }, + "CFGINTERRUPTINT": { + "direction": "input", + "bits": [ 3872, 3873, 3874, 3875 ] + }, + "CFGINTERRUPTMSIATTR": { + "direction": "input", + "bits": [ 3876, 3877, 3878 ] + }, + "CFGINTERRUPTMSIFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886 ] + }, + "CFGINTERRUPTMSIINT": { + "direction": "input", + "bits": [ 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUS": { + "direction": "input", + "bits": [ 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE": { + "direction": "input", + "bits": [ 3951 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM": { + "direction": "input", + "bits": [ 3952, 3953 ] + }, + "CFGINTERRUPTMSISELECT": { + "direction": "input", + "bits": [ 3954, 3955 ] + }, + "CFGINTERRUPTMSITPHPRESENT": { + "direction": "input", + "bits": [ 3956 ] + }, + "CFGINTERRUPTMSITPHSTTAG": { + "direction": "input", + "bits": [ 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964 ] + }, + "CFGINTERRUPTMSITPHTYPE": { + "direction": "input", + "bits": [ 3965, 3966 ] + }, + "CFGINTERRUPTMSIXADDRESS": { + "direction": "input", + "bits": [ 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030 ] + }, + "CFGINTERRUPTMSIXDATA": { + "direction": "input", + "bits": [ 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062 ] + }, + "CFGINTERRUPTMSIXINT": { + "direction": "input", + "bits": [ 4063 ] + }, + "CFGINTERRUPTMSIXVECPENDING": { + "direction": "input", + "bits": [ 4064, 4065 ] + }, + "CFGINTERRUPTPENDING": { + "direction": "input", + "bits": [ 4066, 4067, 4068, 4069 ] + }, + "CFGLINKTRAININGENABLE": { + "direction": "input", + "bits": [ 4070 ] + }, + "CFGMGMTADDR": { + "direction": "input", + "bits": [ 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080 ] + }, + "CFGMGMTBYTEENABLE": { + "direction": "input", + "bits": [ 4081, 4082, 4083, 4084 ] + }, + "CFGMGMTDEBUGACCESS": { + "direction": "input", + "bits": [ 4085 ] + }, + "CFGMGMTFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093 ] + }, + "CFGMGMTREAD": { + "direction": "input", + "bits": [ 4094 ] + }, + "CFGMGMTWRITE": { + "direction": "input", + "bits": [ 4095 ] + }, + "CFGMGMTWRITEDATA": { + "direction": "input", + "bits": [ 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127 ] + }, + "CFGMSGTRANSMIT": { + "direction": "input", + "bits": [ 4128 ] + }, + "CFGMSGTRANSMITDATA": { + "direction": "input", + "bits": [ 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160 ] + }, + "CFGMSGTRANSMITTYPE": { + "direction": "input", + "bits": [ 4161, 4162, 4163 ] + }, + "CFGMSIXRAMREADDATA": { + "direction": "input", + "bits": [ 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199 ] + }, + "CFGPMASPML1ENTRYREJECT": { + "direction": "input", + "bits": [ 4200 ] + }, + "CFGPMASPMTXL0SENTRYDISABLE": { + "direction": "input", + "bits": [ 4201 ] + }, + "CFGPOWERSTATECHANGEACK": { + "direction": "input", + "bits": [ 4202 ] + }, + "CFGREQPMTRANSITIONL23READY": { + "direction": "input", + "bits": [ 4203 ] + }, + "CFGREVIDPF0": { + "direction": "input", + "bits": [ 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211 ] + }, + "CFGREVIDPF1": { + "direction": "input", + "bits": [ 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219 ] + }, + "CFGREVIDPF2": { + "direction": "input", + "bits": [ 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227 ] + }, + "CFGREVIDPF3": { + "direction": "input", + "bits": [ 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235 ] + }, + "CFGSUBSYSIDPF0": { + "direction": "input", + "bits": [ 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251 ] + }, + "CFGSUBSYSIDPF1": { + "direction": "input", + "bits": [ 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267 ] + }, + "CFGSUBSYSIDPF2": { + "direction": "input", + "bits": [ 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283 ] + }, + "CFGSUBSYSIDPF3": { + "direction": "input", + "bits": [ 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299 ] + }, + "CFGSUBSYSVENDID": { + "direction": "input", + "bits": [ 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315 ] + }, + "CFGTPHRAMREADDATA": { + "direction": "input", + "bits": [ 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351 ] + }, + "CFGVENDID": { + "direction": "input", + "bits": [ 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367 ] + }, + "CFGVFFLRDONE": { + "direction": "input", + "bits": [ 4368 ] + }, + "CFGVFFLRFUNCNUM": { + "direction": "input", + "bits": [ 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376 ] + }, + "CONFMCAPREQUESTBYCONF": { + "direction": "input", + "bits": [ 4377 ] + }, + "CONFREQDATA": { + "direction": "input", + "bits": [ 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409 ] + }, + "CONFREQREGNUM": { + "direction": "input", + "bits": [ 4410, 4411, 4412, 4413 ] + }, + "CONFREQTYPE": { + "direction": "input", + "bits": [ 4414, 4415 ] + }, + "CONFREQVALID": { + "direction": "input", + "bits": [ 4416 ] + }, + "CORECLK": { + "direction": "input", + "bits": [ 4417 ] + }, + "CORECLKMIREPLAYRAM0": { + "direction": "input", + "bits": [ 4418 ] + }, + "CORECLKMIREPLAYRAM1": { + "direction": "input", + "bits": [ 4419 ] + }, + "CORECLKMIRXCOMPLETIONRAM0": { + "direction": "input", + "bits": [ 4420 ] + }, + "CORECLKMIRXCOMPLETIONRAM1": { + "direction": "input", + "bits": [ 4421 ] + }, + "CORECLKMIRXPOSTEDREQUESTRAM0": { + "direction": "input", + "bits": [ 4422 ] + }, + "CORECLKMIRXPOSTEDREQUESTRAM1": { + "direction": "input", + "bits": [ 4423 ] + }, + "DBGSEL0": { + "direction": "input", + "bits": [ 4424, 4425, 4426, 4427, 4428, 4429 ] + }, + "DBGSEL1": { + "direction": "input", + "bits": [ 4430, 4431, 4432, 4433, 4434, 4435 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 4446 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 4463 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 4464 ] + }, + "MAXISCQTREADY": { + "direction": "input", + "bits": [ 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486 ] + }, + "MAXISRCTREADY": { + "direction": "input", + "bits": [ 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508 ] + }, + "MCAPCLK": { + "direction": "input", + "bits": [ 4509 ] + }, + "MCAPPERST0B": { + "direction": "input", + "bits": [ 4510 ] + }, + "MCAPPERST1B": { + "direction": "input", + "bits": [ 4511 ] + }, + "MGMTRESETN": { + "direction": "input", + "bits": [ 4512 ] + }, + "MGMTSTICKYRESETN": { + "direction": "input", + "bits": [ 4513 ] + }, + "MIREPLAYRAMERRCOR": { + "direction": "input", + "bits": [ 4514, 4515, 4516, 4517, 4518, 4519 ] + }, + "MIREPLAYRAMERRUNCOR": { + "direction": "input", + "bits": [ 4520, 4521, 4522, 4523, 4524, 4525 ] + }, + "MIREPLAYRAMREADDATA0": { + "direction": "input", + "bits": [ 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653 ] + }, + "MIREPLAYRAMREADDATA1": { + "direction": "input", + "bits": [ 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781 ] + }, + "MIRXCOMPLETIONRAMERRCOR": { + "direction": "input", + "bits": [ 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793 ] + }, + "MIRXCOMPLETIONRAMERRUNCOR": { + "direction": "input", + "bits": [ 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805 ] + }, + "MIRXCOMPLETIONRAMREADDATA0": { + "direction": "input", + "bits": [ 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949 ] + }, + "MIRXCOMPLETIONRAMREADDATA1": { + "direction": "input", + "bits": [ 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093 ] + }, + "MIRXPOSTEDREQUESTRAMERRCOR": { + "direction": "input", + "bits": [ 5094, 5095, 5096, 5097, 5098, 5099 ] + }, + "MIRXPOSTEDREQUESTRAMERRUNCOR": { + "direction": "input", + "bits": [ 5100, 5101, 5102, 5103, 5104, 5105 ] + }, + "MIRXPOSTEDREQUESTRAMREADDATA0": { + "direction": "input", + "bits": [ 5106, 5107, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249 ] + }, + "MIRXPOSTEDREQUESTRAMREADDATA1": { + "direction": "input", + "bits": [ 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393 ] + }, + "PCIECOMPLDELIVERED": { + "direction": "input", + "bits": [ 5394, 5395 ] + }, + "PCIECOMPLDELIVEREDTAG0": { + "direction": "input", + "bits": [ 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403 ] + }, + "PCIECOMPLDELIVEREDTAG1": { + "direction": "input", + "bits": [ 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411 ] + }, + "PCIECQNPREQ": { + "direction": "input", + "bits": [ 5412, 5413 ] + }, + "PCIECQNPUSERCREDITRCVD": { + "direction": "input", + "bits": [ 5414 ] + }, + "PCIECQPIPELINEEMPTY": { + "direction": "input", + "bits": [ 5415 ] + }, + "PCIEPOSTEDREQDELIVERED": { + "direction": "input", + "bits": [ 5416 ] + }, + "PIPECLK": { + "direction": "input", + "bits": [ 5417 ] + }, + "PIPECLKEN": { + "direction": "input", + "bits": [ 5418 ] + }, + "PIPEEQFS": { + "direction": "input", + "bits": [ 5419, 5420, 5421, 5422, 5423, 5424 ] + }, + "PIPEEQLF": { + "direction": "input", + "bits": [ 5425, 5426, 5427, 5428, 5429, 5430 ] + }, + "PIPERESETN": { + "direction": "input", + "bits": [ 5431 ] + }, + "PIPERX00CHARISK": { + "direction": "input", + "bits": [ 5432, 5433 ] + }, + "PIPERX00DATA": { + "direction": "input", + "bits": [ 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465 ] + }, + "PIPERX00DATAVALID": { + "direction": "input", + "bits": [ 5466 ] + }, + "PIPERX00ELECIDLE": { + "direction": "input", + "bits": [ 5467 ] + }, + "PIPERX00EQDONE": { + "direction": "input", + "bits": [ 5468 ] + }, + "PIPERX00EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5469 ] + }, + "PIPERX00EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5470 ] + }, + "PIPERX00EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488 ] + }, + "PIPERX00PHYSTATUS": { + "direction": "input", + "bits": [ 5489 ] + }, + "PIPERX00STARTBLOCK": { + "direction": "input", + "bits": [ 5490, 5491 ] + }, + "PIPERX00STATUS": { + "direction": "input", + "bits": [ 5492, 5493, 5494 ] + }, + "PIPERX00SYNCHEADER": { + "direction": "input", + "bits": [ 5495, 5496 ] + }, + "PIPERX00VALID": { + "direction": "input", + "bits": [ 5497 ] + }, + "PIPERX01CHARISK": { + "direction": "input", + "bits": [ 5498, 5499 ] + }, + "PIPERX01DATA": { + "direction": "input", + "bits": [ 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531 ] + }, + "PIPERX01DATAVALID": { + "direction": "input", + "bits": [ 5532 ] + }, + "PIPERX01ELECIDLE": { + "direction": "input", + "bits": [ 5533 ] + }, + "PIPERX01EQDONE": { + "direction": "input", + "bits": [ 5534 ] + }, + "PIPERX01EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5535 ] + }, + "PIPERX01EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5536 ] + }, + "PIPERX01EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554 ] + }, + "PIPERX01PHYSTATUS": { + "direction": "input", + "bits": [ 5555 ] + }, + "PIPERX01STARTBLOCK": { + "direction": "input", + "bits": [ 5556, 5557 ] + }, + "PIPERX01STATUS": { + "direction": "input", + "bits": [ 5558, 5559, 5560 ] + }, + "PIPERX01SYNCHEADER": { + "direction": "input", + "bits": [ 5561, 5562 ] + }, + "PIPERX01VALID": { + "direction": "input", + "bits": [ 5563 ] + }, + "PIPERX02CHARISK": { + "direction": "input", + "bits": [ 5564, 5565 ] + }, + "PIPERX02DATA": { + "direction": "input", + "bits": [ 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597 ] + }, + "PIPERX02DATAVALID": { + "direction": "input", + "bits": [ 5598 ] + }, + "PIPERX02ELECIDLE": { + "direction": "input", + "bits": [ 5599 ] + }, + "PIPERX02EQDONE": { + "direction": "input", + "bits": [ 5600 ] + }, + "PIPERX02EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5601 ] + }, + "PIPERX02EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5602 ] + }, + "PIPERX02EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620 ] + }, + "PIPERX02PHYSTATUS": { + "direction": "input", + "bits": [ 5621 ] + }, + "PIPERX02STARTBLOCK": { + "direction": "input", + "bits": [ 5622, 5623 ] + }, + "PIPERX02STATUS": { + "direction": "input", + "bits": [ 5624, 5625, 5626 ] + }, + "PIPERX02SYNCHEADER": { + "direction": "input", + "bits": [ 5627, 5628 ] + }, + "PIPERX02VALID": { + "direction": "input", + "bits": [ 5629 ] + }, + "PIPERX03CHARISK": { + "direction": "input", + "bits": [ 5630, 5631 ] + }, + "PIPERX03DATA": { + "direction": "input", + "bits": [ 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ] + }, + "PIPERX03DATAVALID": { + "direction": "input", + "bits": [ 5664 ] + }, + "PIPERX03ELECIDLE": { + "direction": "input", + "bits": [ 5665 ] + }, + "PIPERX03EQDONE": { + "direction": "input", + "bits": [ 5666 ] + }, + "PIPERX03EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5667 ] + }, + "PIPERX03EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5668 ] + }, + "PIPERX03EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686 ] + }, + "PIPERX03PHYSTATUS": { + "direction": "input", + "bits": [ 5687 ] + }, + "PIPERX03STARTBLOCK": { + "direction": "input", + "bits": [ 5688, 5689 ] + }, + "PIPERX03STATUS": { + "direction": "input", + "bits": [ 5690, 5691, 5692 ] + }, + "PIPERX03SYNCHEADER": { + "direction": "input", + "bits": [ 5693, 5694 ] + }, + "PIPERX03VALID": { + "direction": "input", + "bits": [ 5695 ] + }, + "PIPERX04CHARISK": { + "direction": "input", + "bits": [ 5696, 5697 ] + }, + "PIPERX04DATA": { + "direction": "input", + "bits": [ 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729 ] + }, + "PIPERX04DATAVALID": { + "direction": "input", + "bits": [ 5730 ] + }, + "PIPERX04ELECIDLE": { + "direction": "input", + "bits": [ 5731 ] + }, + "PIPERX04EQDONE": { + "direction": "input", + "bits": [ 5732 ] + }, + "PIPERX04EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5733 ] + }, + "PIPERX04EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5734 ] + }, + "PIPERX04EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752 ] + }, + "PIPERX04PHYSTATUS": { + "direction": "input", + "bits": [ 5753 ] + }, + "PIPERX04STARTBLOCK": { + "direction": "input", + "bits": [ 5754, 5755 ] + }, + "PIPERX04STATUS": { + "direction": "input", + "bits": [ 5756, 5757, 5758 ] + }, + "PIPERX04SYNCHEADER": { + "direction": "input", + "bits": [ 5759, 5760 ] + }, + "PIPERX04VALID": { + "direction": "input", + "bits": [ 5761 ] + }, + "PIPERX05CHARISK": { + "direction": "input", + "bits": [ 5762, 5763 ] + }, + "PIPERX05DATA": { + "direction": "input", + "bits": [ 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795 ] + }, + "PIPERX05DATAVALID": { + "direction": "input", + "bits": [ 5796 ] + }, + "PIPERX05ELECIDLE": { + "direction": "input", + "bits": [ 5797 ] + }, + "PIPERX05EQDONE": { + "direction": "input", + "bits": [ 5798 ] + }, + "PIPERX05EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5799 ] + }, + "PIPERX05EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5800 ] + }, + "PIPERX05EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818 ] + }, + "PIPERX05PHYSTATUS": { + "direction": "input", + "bits": [ 5819 ] + }, + "PIPERX05STARTBLOCK": { + "direction": "input", + "bits": [ 5820, 5821 ] + }, + "PIPERX05STATUS": { + "direction": "input", + "bits": [ 5822, 5823, 5824 ] + }, + "PIPERX05SYNCHEADER": { + "direction": "input", + "bits": [ 5825, 5826 ] + }, + "PIPERX05VALID": { + "direction": "input", + "bits": [ 5827 ] + }, + "PIPERX06CHARISK": { + "direction": "input", + "bits": [ 5828, 5829 ] + }, + "PIPERX06DATA": { + "direction": "input", + "bits": [ 5830, 5831, 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842, 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861 ] + }, + "PIPERX06DATAVALID": { + "direction": "input", + "bits": [ 5862 ] + }, + "PIPERX06ELECIDLE": { + "direction": "input", + "bits": [ 5863 ] + }, + "PIPERX06EQDONE": { + "direction": "input", + "bits": [ 5864 ] + }, + "PIPERX06EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5865 ] + }, + "PIPERX06EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5866 ] + }, + "PIPERX06EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884 ] + }, + "PIPERX06PHYSTATUS": { + "direction": "input", + "bits": [ 5885 ] + }, + "PIPERX06STARTBLOCK": { + "direction": "input", + "bits": [ 5886, 5887 ] + }, + "PIPERX06STATUS": { + "direction": "input", + "bits": [ 5888, 5889, 5890 ] + }, + "PIPERX06SYNCHEADER": { + "direction": "input", + "bits": [ 5891, 5892 ] + }, + "PIPERX06VALID": { + "direction": "input", + "bits": [ 5893 ] + }, + "PIPERX07CHARISK": { + "direction": "input", + "bits": [ 5894, 5895 ] + }, + "PIPERX07DATA": { + "direction": "input", + "bits": [ 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927 ] + }, + "PIPERX07DATAVALID": { + "direction": "input", + "bits": [ 5928 ] + }, + "PIPERX07ELECIDLE": { + "direction": "input", + "bits": [ 5929 ] + }, + "PIPERX07EQDONE": { + "direction": "input", + "bits": [ 5930 ] + }, + "PIPERX07EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5931 ] + }, + "PIPERX07EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5932 ] + }, + "PIPERX07EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948, 5949, 5950 ] + }, + "PIPERX07PHYSTATUS": { + "direction": "input", + "bits": [ 5951 ] + }, + "PIPERX07STARTBLOCK": { + "direction": "input", + "bits": [ 5952, 5953 ] + }, + "PIPERX07STATUS": { + "direction": "input", + "bits": [ 5954, 5955, 5956 ] + }, + "PIPERX07SYNCHEADER": { + "direction": "input", + "bits": [ 5957, 5958 ] + }, + "PIPERX07VALID": { + "direction": "input", + "bits": [ 5959 ] + }, + "PIPERX08CHARISK": { + "direction": "input", + "bits": [ 5960, 5961 ] + }, + "PIPERX08DATA": { + "direction": "input", + "bits": [ 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983, 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993 ] + }, + "PIPERX08DATAVALID": { + "direction": "input", + "bits": [ 5994 ] + }, + "PIPERX08ELECIDLE": { + "direction": "input", + "bits": [ 5995 ] + }, + "PIPERX08EQDONE": { + "direction": "input", + "bits": [ 5996 ] + }, + "PIPERX08EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5997 ] + }, + "PIPERX08EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5998 ] + }, + "PIPERX08EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5999, 6000, 6001, 6002, 6003, 6004, 6005, 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016 ] + }, + "PIPERX08PHYSTATUS": { + "direction": "input", + "bits": [ 6017 ] + }, + "PIPERX08STARTBLOCK": { + "direction": "input", + "bits": [ 6018, 6019 ] + }, + "PIPERX08STATUS": { + "direction": "input", + "bits": [ 6020, 6021, 6022 ] + }, + "PIPERX08SYNCHEADER": { + "direction": "input", + "bits": [ 6023, 6024 ] + }, + "PIPERX08VALID": { + "direction": "input", + "bits": [ 6025 ] + }, + "PIPERX09CHARISK": { + "direction": "input", + "bits": [ 6026, 6027 ] + }, + "PIPERX09DATA": { + "direction": "input", + "bits": [ 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059 ] + }, + "PIPERX09DATAVALID": { + "direction": "input", + "bits": [ 6060 ] + }, + "PIPERX09ELECIDLE": { + "direction": "input", + "bits": [ 6061 ] + }, + "PIPERX09EQDONE": { + "direction": "input", + "bits": [ 6062 ] + }, + "PIPERX09EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6063 ] + }, + "PIPERX09EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6064 ] + }, + "PIPERX09EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082 ] + }, + "PIPERX09PHYSTATUS": { + "direction": "input", + "bits": [ 6083 ] + }, + "PIPERX09STARTBLOCK": { + "direction": "input", + "bits": [ 6084, 6085 ] + }, + "PIPERX09STATUS": { + "direction": "input", + "bits": [ 6086, 6087, 6088 ] + }, + "PIPERX09SYNCHEADER": { + "direction": "input", + "bits": [ 6089, 6090 ] + }, + "PIPERX09VALID": { + "direction": "input", + "bits": [ 6091 ] + }, + "PIPERX10CHARISK": { + "direction": "input", + "bits": [ 6092, 6093 ] + }, + "PIPERX10DATA": { + "direction": "input", + "bits": [ 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125 ] + }, + "PIPERX10DATAVALID": { + "direction": "input", + "bits": [ 6126 ] + }, + "PIPERX10ELECIDLE": { + "direction": "input", + "bits": [ 6127 ] + }, + "PIPERX10EQDONE": { + "direction": "input", + "bits": [ 6128 ] + }, + "PIPERX10EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6129 ] + }, + "PIPERX10EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6130 ] + }, + "PIPERX10EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148 ] + }, + "PIPERX10PHYSTATUS": { + "direction": "input", + "bits": [ 6149 ] + }, + "PIPERX10STARTBLOCK": { + "direction": "input", + "bits": [ 6150, 6151 ] + }, + "PIPERX10STATUS": { + "direction": "input", + "bits": [ 6152, 6153, 6154 ] + }, + "PIPERX10SYNCHEADER": { + "direction": "input", + "bits": [ 6155, 6156 ] + }, + "PIPERX10VALID": { + "direction": "input", + "bits": [ 6157 ] + }, + "PIPERX11CHARISK": { + "direction": "input", + "bits": [ 6158, 6159 ] + }, + "PIPERX11DATA": { + "direction": "input", + "bits": [ 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191 ] + }, + "PIPERX11DATAVALID": { + "direction": "input", + "bits": [ 6192 ] + }, + "PIPERX11ELECIDLE": { + "direction": "input", + "bits": [ 6193 ] + }, + "PIPERX11EQDONE": { + "direction": "input", + "bits": [ 6194 ] + }, + "PIPERX11EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6195 ] + }, + "PIPERX11EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6196 ] + }, + "PIPERX11EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214 ] + }, + "PIPERX11PHYSTATUS": { + "direction": "input", + "bits": [ 6215 ] + }, + "PIPERX11STARTBLOCK": { + "direction": "input", + "bits": [ 6216, 6217 ] + }, + "PIPERX11STATUS": { + "direction": "input", + "bits": [ 6218, 6219, 6220 ] + }, + "PIPERX11SYNCHEADER": { + "direction": "input", + "bits": [ 6221, 6222 ] + }, + "PIPERX11VALID": { + "direction": "input", + "bits": [ 6223 ] + }, + "PIPERX12CHARISK": { + "direction": "input", + "bits": [ 6224, 6225 ] + }, + "PIPERX12DATA": { + "direction": "input", + "bits": [ 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257 ] + }, + "PIPERX12DATAVALID": { + "direction": "input", + "bits": [ 6258 ] + }, + "PIPERX12ELECIDLE": { + "direction": "input", + "bits": [ 6259 ] + }, + "PIPERX12EQDONE": { + "direction": "input", + "bits": [ 6260 ] + }, + "PIPERX12EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6261 ] + }, + "PIPERX12EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6262 ] + }, + "PIPERX12EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280 ] + }, + "PIPERX12PHYSTATUS": { + "direction": "input", + "bits": [ 6281 ] + }, + "PIPERX12STARTBLOCK": { + "direction": "input", + "bits": [ 6282, 6283 ] + }, + "PIPERX12STATUS": { + "direction": "input", + "bits": [ 6284, 6285, 6286 ] + }, + "PIPERX12SYNCHEADER": { + "direction": "input", + "bits": [ 6287, 6288 ] + }, + "PIPERX12VALID": { + "direction": "input", + "bits": [ 6289 ] + }, + "PIPERX13CHARISK": { + "direction": "input", + "bits": [ 6290, 6291 ] + }, + "PIPERX13DATA": { + "direction": "input", + "bits": [ 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323 ] + }, + "PIPERX13DATAVALID": { + "direction": "input", + "bits": [ 6324 ] + }, + "PIPERX13ELECIDLE": { + "direction": "input", + "bits": [ 6325 ] + }, + "PIPERX13EQDONE": { + "direction": "input", + "bits": [ 6326 ] + }, + "PIPERX13EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6327 ] + }, + "PIPERX13EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6328 ] + }, + "PIPERX13EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346 ] + }, + "PIPERX13PHYSTATUS": { + "direction": "input", + "bits": [ 6347 ] + }, + "PIPERX13STARTBLOCK": { + "direction": "input", + "bits": [ 6348, 6349 ] + }, + "PIPERX13STATUS": { + "direction": "input", + "bits": [ 6350, 6351, 6352 ] + }, + "PIPERX13SYNCHEADER": { + "direction": "input", + "bits": [ 6353, 6354 ] + }, + "PIPERX13VALID": { + "direction": "input", + "bits": [ 6355 ] + }, + "PIPERX14CHARISK": { + "direction": "input", + "bits": [ 6356, 6357 ] + }, + "PIPERX14DATA": { + "direction": "input", + "bits": [ 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384, 6385, 6386, 6387, 6388, 6389 ] + }, + "PIPERX14DATAVALID": { + "direction": "input", + "bits": [ 6390 ] + }, + "PIPERX14ELECIDLE": { + "direction": "input", + "bits": [ 6391 ] + }, + "PIPERX14EQDONE": { + "direction": "input", + "bits": [ 6392 ] + }, + "PIPERX14EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6393 ] + }, + "PIPERX14EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6394 ] + }, + "PIPERX14EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411, 6412 ] + }, + "PIPERX14PHYSTATUS": { + "direction": "input", + "bits": [ 6413 ] + }, + "PIPERX14STARTBLOCK": { + "direction": "input", + "bits": [ 6414, 6415 ] + }, + "PIPERX14STATUS": { + "direction": "input", + "bits": [ 6416, 6417, 6418 ] + }, + "PIPERX14SYNCHEADER": { + "direction": "input", + "bits": [ 6419, 6420 ] + }, + "PIPERX14VALID": { + "direction": "input", + "bits": [ 6421 ] + }, + "PIPERX15CHARISK": { + "direction": "input", + "bits": [ 6422, 6423 ] + }, + "PIPERX15DATA": { + "direction": "input", + "bits": [ 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449, 6450, 6451, 6452, 6453, 6454, 6455 ] + }, + "PIPERX15DATAVALID": { + "direction": "input", + "bits": [ 6456 ] + }, + "PIPERX15ELECIDLE": { + "direction": "input", + "bits": [ 6457 ] + }, + "PIPERX15EQDONE": { + "direction": "input", + "bits": [ 6458 ] + }, + "PIPERX15EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6459 ] + }, + "PIPERX15EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6460 ] + }, + "PIPERX15EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478 ] + }, + "PIPERX15PHYSTATUS": { + "direction": "input", + "bits": [ 6479 ] + }, + "PIPERX15STARTBLOCK": { + "direction": "input", + "bits": [ 6480, 6481 ] + }, + "PIPERX15STATUS": { + "direction": "input", + "bits": [ 6482, 6483, 6484 ] + }, + "PIPERX15SYNCHEADER": { + "direction": "input", + "bits": [ 6485, 6486 ] + }, + "PIPERX15VALID": { + "direction": "input", + "bits": [ 6487 ] + }, + "PIPETX00EQCOEFF": { + "direction": "input", + "bits": [ 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505 ] + }, + "PIPETX00EQDONE": { + "direction": "input", + "bits": [ 6506 ] + }, + "PIPETX01EQCOEFF": { + "direction": "input", + "bits": [ 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524 ] + }, + "PIPETX01EQDONE": { + "direction": "input", + "bits": [ 6525 ] + }, + "PIPETX02EQCOEFF": { + "direction": "input", + "bits": [ 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539, 6540, 6541, 6542, 6543 ] + }, + "PIPETX02EQDONE": { + "direction": "input", + "bits": [ 6544 ] + }, + "PIPETX03EQCOEFF": { + "direction": "input", + "bits": [ 6545, 6546, 6547, 6548, 6549, 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560, 6561, 6562 ] + }, + "PIPETX03EQDONE": { + "direction": "input", + "bits": [ 6563 ] + }, + "PIPETX04EQCOEFF": { + "direction": "input", + "bits": [ 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581 ] + }, + "PIPETX04EQDONE": { + "direction": "input", + "bits": [ 6582 ] + }, + "PIPETX05EQCOEFF": { + "direction": "input", + "bits": [ 6583, 6584, 6585, 6586, 6587, 6588, 6589, 6590, 6591, 6592, 6593, 6594, 6595, 6596, 6597, 6598, 6599, 6600 ] + }, + "PIPETX05EQDONE": { + "direction": "input", + "bits": [ 6601 ] + }, + "PIPETX06EQCOEFF": { + "direction": "input", + "bits": [ 6602, 6603, 6604, 6605, 6606, 6607, 6608, 6609, 6610, 6611, 6612, 6613, 6614, 6615, 6616, 6617, 6618, 6619 ] + }, + "PIPETX06EQDONE": { + "direction": "input", + "bits": [ 6620 ] + }, + "PIPETX07EQCOEFF": { + "direction": "input", + "bits": [ 6621, 6622, 6623, 6624, 6625, 6626, 6627, 6628, 6629, 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638 ] + }, + "PIPETX07EQDONE": { + "direction": "input", + "bits": [ 6639 ] + }, + "PIPETX08EQCOEFF": { + "direction": "input", + "bits": [ 6640, 6641, 6642, 6643, 6644, 6645, 6646, 6647, 6648, 6649, 6650, 6651, 6652, 6653, 6654, 6655, 6656, 6657 ] + }, + "PIPETX08EQDONE": { + "direction": "input", + "bits": [ 6658 ] + }, + "PIPETX09EQCOEFF": { + "direction": "input", + "bits": [ 6659, 6660, 6661, 6662, 6663, 6664, 6665, 6666, 6667, 6668, 6669, 6670, 6671, 6672, 6673, 6674, 6675, 6676 ] + }, + "PIPETX09EQDONE": { + "direction": "input", + "bits": [ 6677 ] + }, + "PIPETX10EQCOEFF": { + "direction": "input", + "bits": [ 6678, 6679, 6680, 6681, 6682, 6683, 6684, 6685, 6686, 6687, 6688, 6689, 6690, 6691, 6692, 6693, 6694, 6695 ] + }, + "PIPETX10EQDONE": { + "direction": "input", + "bits": [ 6696 ] + }, + "PIPETX11EQCOEFF": { + "direction": "input", + "bits": [ 6697, 6698, 6699, 6700, 6701, 6702, 6703, 6704, 6705, 6706, 6707, 6708, 6709, 6710, 6711, 6712, 6713, 6714 ] + }, + "PIPETX11EQDONE": { + "direction": "input", + "bits": [ 6715 ] + }, + "PIPETX12EQCOEFF": { + "direction": "input", + "bits": [ 6716, 6717, 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733 ] + }, + "PIPETX12EQDONE": { + "direction": "input", + "bits": [ 6734 ] + }, + "PIPETX13EQCOEFF": { + "direction": "input", + "bits": [ 6735, 6736, 6737, 6738, 6739, 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752 ] + }, + "PIPETX13EQDONE": { + "direction": "input", + "bits": [ 6753 ] + }, + "PIPETX14EQCOEFF": { + "direction": "input", + "bits": [ 6754, 6755, 6756, 6757, 6758, 6759, 6760, 6761, 6762, 6763, 6764, 6765, 6766, 6767, 6768, 6769, 6770, 6771 ] + }, + "PIPETX14EQDONE": { + "direction": "input", + "bits": [ 6772 ] + }, + "PIPETX15EQCOEFF": { + "direction": "input", + "bits": [ 6773, 6774, 6775, 6776, 6777, 6778, 6779, 6780, 6781, 6782, 6783, 6784, 6785, 6786, 6787, 6788, 6789, 6790 ] + }, + "PIPETX15EQDONE": { + "direction": "input", + "bits": [ 6791 ] + }, + "PLEQRESETEIEOSCOUNT": { + "direction": "input", + "bits": [ 6792 ] + }, + "PLGEN2UPSTREAMPREFERDEEMPH": { + "direction": "input", + "bits": [ 6793 ] + }, + "PLGEN34REDOEQSPEED": { + "direction": "input", + "bits": [ 6794 ] + }, + "PLGEN34REDOEQUALIZATION": { + "direction": "input", + "bits": [ 6795 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 6796 ] + }, + "SAXISCCTDATA": { + "direction": "input", + "bits": [ 6797, 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810, 6811, 6812, 6813, 6814, 6815, 6816, 6817, 6818, 6819, 6820, 6821, 6822, 6823, 6824, 6825, 6826, 6827, 6828, 6829, 6830, 6831, 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849, 6850, 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864, 6865, 6866, 6867, 6868, 6869, 6870, 6871, 6872, 6873, 6874, 6875, 6876, 6877, 6878, 6879, 6880, 6881, 6882, 6883, 6884, 6885, 6886, 6887, 6888, 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906, 6907, 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925, 6926, 6927, 6928, 6929, 6930, 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942, 6943, 6944, 6945, 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962, 6963, 6964, 6965, 6966, 6967, 6968, 6969, 6970, 6971, 6972, 6973, 6974, 6975, 6976, 6977, 6978, 6979, 6980, 6981, 6982, 6983, 6984, 6985, 6986, 6987, 6988, 6989, 6990, 6991, 6992, 6993, 6994, 6995, 6996, 6997, 6998, 6999, 7000, 7001, 7002, 7003, 7004, 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012, 7013, 7014, 7015, 7016, 7017, 7018, 7019, 7020, 7021, 7022, 7023, 7024, 7025, 7026, 7027, 7028, 7029, 7030, 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052 ] + }, + "SAXISCCTKEEP": { + "direction": "input", + "bits": [ 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060 ] + }, + "SAXISCCTLAST": { + "direction": "input", + "bits": [ 7061 ] + }, + "SAXISCCTUSER": { + "direction": "input", + "bits": [ 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080, 7081, 7082, 7083, 7084, 7085, 7086, 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094 ] + }, + "SAXISCCTVALID": { + "direction": "input", + "bits": [ 7095 ] + }, + "SAXISRQTDATA": { + "direction": "input", + "bits": [ 7096, 7097, 7098, 7099, 7100, 7101, 7102, 7103, 7104, 7105, 7106, 7107, 7108, 7109, 7110, 7111, 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139, 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171, 7172, 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204, 7205, 7206, 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239, 7240, 7241, 7242, 7243, 7244, 7245, 7246, 7247, 7248, 7249, 7250, 7251, 7252, 7253, 7254, 7255, 7256, 7257, 7258, 7259, 7260, 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286, 7287, 7288, 7289, 7290, 7291, 7292, 7293, 7294, 7295, 7296, 7297, 7298, 7299, 7300, 7301, 7302, 7303, 7304, 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, 7315, 7316, 7317, 7318, 7319, 7320, 7321, 7322, 7323, 7324, 7325, 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342, 7343, 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351 ] + }, + "SAXISRQTKEEP": { + "direction": "input", + "bits": [ 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359 ] + }, + "SAXISRQTLAST": { + "direction": "input", + "bits": [ 7360 ] + }, + "SAXISRQTUSER": { + "direction": "input", + "bits": [ 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372, 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388, 7389, 7390, 7391, 7392, 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400, 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408, 7409, 7410, 7411, 7412, 7413, 7414, 7415, 7416, 7417, 7418, 7419, 7420, 7421, 7422 ] + }, + "SAXISRQTVALID": { + "direction": "input", + "bits": [ 7423 ] + }, + "USERCLK": { + "direction": "input", + "bits": [ 7424 ] + }, + "USERCLK2": { + "direction": "input", + "bits": [ 7425 ] + }, + "USERCLKEN": { + "direction": "input", + "bits": [ 7426 ] + }, + "USERSPAREIN": { + "direction": "input", + "bits": [ 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458 ] + } + }, + "cells": { + }, + "netnames": { + "AXIUSERIN": { + "hide_name": 0, + "bits": [ 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24857.17-24857.26" + } + }, + "AXIUSEROUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24523.18-24523.28" + } + }, + "CFGBUSNUMBER": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24524.18-24524.30" + } + }, + "CFGCONFIGSPACEENABLE": { + "hide_name": 0, + "bits": [ 3676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24858.11-24858.31" + } + }, + "CFGCURRENTSPEED": { + "hide_name": 0, + "bits": [ 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24525.18-24525.33" + } + }, + "CFGDEVIDPF0": { + "hide_name": 0, + "bits": [ 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24859.18-24859.29" + } + }, + "CFGDEVIDPF1": { + "hide_name": 0, + "bits": [ 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24860.18-24860.29" + } + }, + "CFGDEVIDPF2": { + "hide_name": 0, + "bits": [ 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24861.18-24861.29" + } + }, + "CFGDEVIDPF3": { + "hide_name": 0, + "bits": [ 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24862.18-24862.29" + } + }, + "CFGDSBUSNUMBER": { + "hide_name": 0, + "bits": [ 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24863.17-24863.31" + } + }, + "CFGDSDEVICENUMBER": { + "hide_name": 0, + "bits": [ 3749, 3750, 3751, 3752, 3753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24864.17-24864.34" + } + }, + "CFGDSFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 3754, 3755, 3756 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24865.17-24865.36" + } + }, + "CFGDSN": { + "hide_name": 0, + "bits": [ 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24866.18-24866.24" + } + }, + "CFGDSPORTNUMBER": { + "hide_name": 0, + "bits": [ 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24867.17-24867.32" + } + }, + "CFGERRCORIN": { + "hide_name": 0, + "bits": [ 3829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24868.11-24868.22" + } + }, + "CFGERRCOROUT": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24526.12-24526.24" + } + }, + "CFGERRFATALOUT": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24527.12-24527.26" + } + }, + "CFGERRNONFATALOUT": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24528.12-24528.29" + } + }, + "CFGERRUNCORIN": { + "hide_name": 0, + "bits": [ 3830 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24869.11-24869.24" + } + }, + "CFGEXTFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24529.18-24529.38" + } + }, + "CFGEXTREADDATA": { + "hide_name": 0, + "bits": [ 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24870.18-24870.32" + } + }, + "CFGEXTREADDATAVALID": { + "hide_name": 0, + "bits": [ 3863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24871.11-24871.30" + } + }, + "CFGEXTREADRECEIVED": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24530.12-24530.30" + } + }, + "CFGEXTREGISTERNUMBER": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24531.18-24531.38" + } + }, + "CFGEXTWRITEBYTEENABLE": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24532.18-24532.39" + } + }, + "CFGEXTWRITEDATA": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24533.19-24533.34" + } + }, + "CFGEXTWRITERECEIVED": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24534.12-24534.31" + } + }, + "CFGFCCPLD": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24535.19-24535.28" + } + }, + "CFGFCCPLH": { + "hide_name": 0, + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24536.18-24536.27" + } + }, + "CFGFCNPD": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24537.19-24537.27" + } + }, + "CFGFCNPH": { + "hide_name": 0, + "bits": [ 111, 112, 113, 114, 115, 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24538.18-24538.26" + } + }, + "CFGFCPD": { + "hide_name": 0, + "bits": [ 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24539.19-24539.26" + } + }, + "CFGFCPH": { + "hide_name": 0, + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24540.18-24540.25" + } + }, + "CFGFCSEL": { + "hide_name": 0, + "bits": [ 3864, 3865, 3866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24872.17-24872.25" + } + }, + "CFGFLRDONE": { + "hide_name": 0, + "bits": [ 3867, 3868, 3869, 3870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24873.17-24873.27" + } + }, + "CFGFLRINPROCESS": { + "hide_name": 0, + "bits": [ 139, 140, 141, 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24541.18-24541.33" + } + }, + "CFGFUNCTIONPOWERSTATE": { + "hide_name": 0, + "bits": [ 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24542.19-24542.40" + } + }, + "CFGFUNCTIONSTATUS": { + "hide_name": 0, + "bits": [ 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24543.19-24543.36" + } + }, + "CFGHOTRESETIN": { + "hide_name": 0, + "bits": [ 3871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24874.11-24874.24" + } + }, + "CFGHOTRESETOUT": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24544.12-24544.26" + } + }, + "CFGINTERRUPTINT": { + "hide_name": 0, + "bits": [ 3872, 3873, 3874, 3875 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24875.17-24875.32" + } + }, + "CFGINTERRUPTMSIATTR": { + "hide_name": 0, + "bits": [ 3876, 3877, 3878 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24876.17-24876.36" + } + }, + "CFGINTERRUPTMSIDATA": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24545.19-24545.38" + } + }, + "CFGINTERRUPTMSIENABLE": { + "hide_name": 0, + "bits": [ 204, 205, 206, 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24546.18-24546.39" + } + }, + "CFGINTERRUPTMSIFAIL": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24547.12-24547.31" + } + }, + "CFGINTERRUPTMSIFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24877.17-24877.46" + } + }, + "CFGINTERRUPTMSIINT": { + "hide_name": 0, + "bits": [ 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24878.18-24878.36" + } + }, + "CFGINTERRUPTMSIMASKUPDATE": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24548.12-24548.37" + } + }, + "CFGINTERRUPTMSIMMENABLE": { + "hide_name": 0, + "bits": [ 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24549.19-24549.42" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUS": { + "hide_name": 0, + "bits": [ 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24879.18-24879.46" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE": { + "hide_name": 0, + "bits": [ 3951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24880.11-24880.49" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM": { + "hide_name": 0, + "bits": [ 3952, 3953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24881.17-24881.56" + } + }, + "CFGINTERRUPTMSISELECT": { + "hide_name": 0, + "bits": [ 3954, 3955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24882.17-24882.38" + } + }, + "CFGINTERRUPTMSISENT": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24550.12-24550.31" + } + }, + "CFGINTERRUPTMSITPHPRESENT": { + "hide_name": 0, + "bits": [ 3956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24883.11-24883.36" + } + }, + "CFGINTERRUPTMSITPHSTTAG": { + "hide_name": 0, + "bits": [ 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24884.17-24884.40" + } + }, + "CFGINTERRUPTMSITPHTYPE": { + "hide_name": 0, + "bits": [ 3965, 3966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24885.17-24885.39" + } + }, + "CFGINTERRUPTMSIXADDRESS": { + "hide_name": 0, + "bits": [ 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24886.18-24886.41" + } + }, + "CFGINTERRUPTMSIXDATA": { + "hide_name": 0, + "bits": [ 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24887.18-24887.38" + } + }, + "CFGINTERRUPTMSIXENABLE": { + "hide_name": 0, + "bits": [ 223, 224, 225, 226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24551.18-24551.40" + } + }, + "CFGINTERRUPTMSIXINT": { + "hide_name": 0, + "bits": [ 4063 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24888.11-24888.30" + } + }, + "CFGINTERRUPTMSIXMASK": { + "hide_name": 0, + "bits": [ 227, 228, 229, 230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24552.18-24552.38" + } + }, + "CFGINTERRUPTMSIXVECPENDING": { + "hide_name": 0, + "bits": [ 4064, 4065 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24889.17-24889.43" + } + }, + "CFGINTERRUPTMSIXVECPENDINGSTATUS": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24553.12-24553.44" + } + }, + "CFGINTERRUPTPENDING": { + "hide_name": 0, + "bits": [ 4066, 4067, 4068, 4069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24890.17-24890.36" + } + }, + "CFGINTERRUPTSENT": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24554.12-24554.28" + } + }, + "CFGLINKPOWERSTATE": { + "hide_name": 0, + "bits": [ 233, 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24555.18-24555.35" + } + }, + "CFGLINKTRAININGENABLE": { + "hide_name": 0, + "bits": [ 4070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24891.11-24891.32" + } + }, + "CFGLOCALERROROUT": { + "hide_name": 0, + "bits": [ 235, 236, 237, 238, 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24556.18-24556.34" + } + }, + "CFGLOCALERRORVALID": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24557.12-24557.30" + } + }, + "CFGLTRENABLE": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24558.12-24558.24" + } + }, + "CFGLTSSMSTATE": { + "hide_name": 0, + "bits": [ 242, 243, 244, 245, 246, 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24559.18-24559.31" + } + }, + "CFGMAXPAYLOAD": { + "hide_name": 0, + "bits": [ 248, 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24560.18-24560.31" + } + }, + "CFGMAXREADREQ": { + "hide_name": 0, + "bits": [ 250, 251, 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24561.18-24561.31" + } + }, + "CFGMGMTADDR": { + "hide_name": 0, + "bits": [ 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24892.17-24892.28" + } + }, + "CFGMGMTBYTEENABLE": { + "hide_name": 0, + "bits": [ 4081, 4082, 4083, 4084 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24893.17-24893.34" + } + }, + "CFGMGMTDEBUGACCESS": { + "hide_name": 0, + "bits": [ 4085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24894.11-24894.29" + } + }, + "CFGMGMTFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24895.17-24895.38" + } + }, + "CFGMGMTREAD": { + "hide_name": 0, + "bits": [ 4094 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24896.11-24896.22" + } + }, + "CFGMGMTREADDATA": { + "hide_name": 0, + "bits": [ 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24562.19-24562.34" + } + }, + "CFGMGMTREADWRITEDONE": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24563.12-24563.32" + } + }, + "CFGMGMTWRITE": { + "hide_name": 0, + "bits": [ 4095 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24897.11-24897.23" + } + }, + "CFGMGMTWRITEDATA": { + "hide_name": 0, + "bits": [ 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24898.18-24898.34" + } + }, + "CFGMSGRECEIVED": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24564.12-24564.26" + } + }, + "CFGMSGRECEIVEDDATA": { + "hide_name": 0, + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24565.18-24565.36" + } + }, + "CFGMSGRECEIVEDTYPE": { + "hide_name": 0, + "bits": [ 295, 296, 297, 298, 299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24566.18-24566.36" + } + }, + "CFGMSGTRANSMIT": { + "hide_name": 0, + "bits": [ 4128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24899.11-24899.25" + } + }, + "CFGMSGTRANSMITDATA": { + "hide_name": 0, + "bits": [ 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24900.18-24900.36" + } + }, + "CFGMSGTRANSMITDONE": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24567.12-24567.30" + } + }, + "CFGMSGTRANSMITTYPE": { + "hide_name": 0, + "bits": [ 4161, 4162, 4163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24901.17-24901.35" + } + }, + "CFGMSIXRAMADDRESS": { + "hide_name": 0, + "bits": [ 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24568.19-24568.36" + } + }, + "CFGMSIXRAMREADDATA": { + "hide_name": 0, + "bits": [ 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24902.18-24902.36" + } + }, + "CFGMSIXRAMREADENABLE": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24569.12-24569.32" + } + }, + "CFGMSIXRAMWRITEBYTEENABLE": { + "hide_name": 0, + "bits": [ 315, 316, 317, 318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24570.18-24570.43" + } + }, + "CFGMSIXRAMWRITEDATA": { + "hide_name": 0, + "bits": [ 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24571.19-24571.38" + } + }, + "CFGNEGOTIATEDWIDTH": { + "hide_name": 0, + "bits": [ 355, 356, 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24572.18-24572.36" + } + }, + "CFGOBFFENABLE": { + "hide_name": 0, + "bits": [ 358, 359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24573.18-24573.31" + } + }, + "CFGPHYLINKDOWN": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24574.12-24574.26" + } + }, + "CFGPHYLINKSTATUS": { + "hide_name": 0, + "bits": [ 361, 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24575.18-24575.34" + } + }, + "CFGPLSTATUSCHANGE": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24576.12-24576.29" + } + }, + "CFGPMASPML1ENTRYREJECT": { + "hide_name": 0, + "bits": [ 4200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24903.11-24903.33" + } + }, + "CFGPMASPMTXL0SENTRYDISABLE": { + "hide_name": 0, + "bits": [ 4201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24904.11-24904.37" + } + }, + "CFGPOWERSTATECHANGEACK": { + "hide_name": 0, + "bits": [ 4202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24905.11-24905.33" + } + }, + "CFGPOWERSTATECHANGEINTERRUPT": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24577.12-24577.40" + } + }, + "CFGRCBSTATUS": { + "hide_name": 0, + "bits": [ 365, 366, 367, 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24578.18-24578.30" + } + }, + "CFGREQPMTRANSITIONL23READY": { + "hide_name": 0, + "bits": [ 4203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24906.11-24906.37" + } + }, + "CFGREVIDPF0": { + "hide_name": 0, + "bits": [ 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24907.17-24907.28" + } + }, + "CFGREVIDPF1": { + "hide_name": 0, + "bits": [ 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24908.17-24908.28" + } + }, + "CFGREVIDPF2": { + "hide_name": 0, + "bits": [ 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24909.17-24909.28" + } + }, + "CFGREVIDPF3": { + "hide_name": 0, + "bits": [ 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24910.17-24910.28" + } + }, + "CFGRXPMSTATE": { + "hide_name": 0, + "bits": [ 369, 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24579.18-24579.30" + } + }, + "CFGSUBSYSIDPF0": { + "hide_name": 0, + "bits": [ 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24911.18-24911.32" + } + }, + "CFGSUBSYSIDPF1": { + "hide_name": 0, + "bits": [ 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24912.18-24912.32" + } + }, + "CFGSUBSYSIDPF2": { + "hide_name": 0, + "bits": [ 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24913.18-24913.32" + } + }, + "CFGSUBSYSIDPF3": { + "hide_name": 0, + "bits": [ 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24914.18-24914.32" + } + }, + "CFGSUBSYSVENDID": { + "hide_name": 0, + "bits": [ 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24915.18-24915.33" + } + }, + "CFGTPHRAMADDRESS": { + "hide_name": 0, + "bits": [ 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24580.19-24580.35" + } + }, + "CFGTPHRAMREADDATA": { + "hide_name": 0, + "bits": [ 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24916.18-24916.35" + } + }, + "CFGTPHRAMREADENABLE": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24581.12-24581.31" + } + }, + "CFGTPHRAMWRITEBYTEENABLE": { + "hide_name": 0, + "bits": [ 384, 385, 386, 387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24582.18-24582.42" + } + }, + "CFGTPHRAMWRITEDATA": { + "hide_name": 0, + "bits": [ 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24583.19-24583.37" + } + }, + "CFGTPHREQUESTERENABLE": { + "hide_name": 0, + "bits": [ 424, 425, 426, 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24584.18-24584.39" + } + }, + "CFGTPHSTMODE": { + "hide_name": 0, + "bits": [ 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24585.19-24585.31" + } + }, + "CFGTXPMSTATE": { + "hide_name": 0, + "bits": [ 440, 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24586.18-24586.30" + } + }, + "CFGVENDID": { + "hide_name": 0, + "bits": [ 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24917.18-24917.27" + } + }, + "CFGVFFLRDONE": { + "hide_name": 0, + "bits": [ 4368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24918.11-24918.23" + } + }, + "CFGVFFLRFUNCNUM": { + "hide_name": 0, + "bits": [ 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24919.17-24919.32" + } + }, + "CONFMCAPDESIGNSWITCH": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24587.12-24587.32" + } + }, + "CONFMCAPEOS": { + "hide_name": 0, + "bits": [ 443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24588.12-24588.23" + } + }, + "CONFMCAPINUSEBYPCIE": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24589.12-24589.31" + } + }, + "CONFMCAPREQUESTBYCONF": { + "hide_name": 0, + "bits": [ 4377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24920.11-24920.32" + } + }, + "CONFREQDATA": { + "hide_name": 0, + "bits": [ 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24921.18-24921.29" + } + }, + "CONFREQREADY": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24590.12-24590.24" + } + }, + "CONFREQREGNUM": { + "hide_name": 0, + "bits": [ 4410, 4411, 4412, 4413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24922.17-24922.30" + } + }, + "CONFREQTYPE": { + "hide_name": 0, + "bits": [ 4414, 4415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24923.17-24923.28" + } + }, + "CONFREQVALID": { + "hide_name": 0, + "bits": [ 4416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24924.11-24924.23" + } + }, + "CONFRESPRDATA": { + "hide_name": 0, + "bits": [ 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24591.19-24591.32" + } + }, + "CONFRESPVALID": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24592.12-24592.25" + } + }, + "CORECLK": { + "hide_name": 0, + "bits": [ 4417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24925.11-24925.18" + } + }, + "CORECLKMIREPLAYRAM0": { + "hide_name": 0, + "bits": [ 4418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24926.11-24926.30" + } + }, + "CORECLKMIREPLAYRAM1": { + "hide_name": 0, + "bits": [ 4419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24927.11-24927.30" + } + }, + "CORECLKMIRXCOMPLETIONRAM0": { + "hide_name": 0, + "bits": [ 4420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24928.11-24928.36" + } + }, + "CORECLKMIRXCOMPLETIONRAM1": { + "hide_name": 0, + "bits": [ 4421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24929.11-24929.36" + } + }, + "CORECLKMIRXPOSTEDREQUESTRAM0": { + "hide_name": 0, + "bits": [ 4422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24930.11-24930.39" + } + }, + "CORECLKMIRXPOSTEDREQUESTRAM1": { + "hide_name": 0, + "bits": [ 4423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24931.11-24931.39" + } + }, + "DBGCTRL0OUT": { + "hide_name": 0, + "bits": [ 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24593.19-24593.30" + } + }, + "DBGCTRL1OUT": { + "hide_name": 0, + "bits": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24594.19-24594.30" + } + }, + "DBGDATA0OUT": { + "hide_name": 0, + "bits": [ 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24595.20-24595.31" + } + }, + "DBGDATA1OUT": { + "hide_name": 0, + "bits": [ 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24596.20-24596.31" + } + }, + "DBGSEL0": { + "hide_name": 0, + "bits": [ 4424, 4425, 4426, 4427, 4428, 4429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24932.17-24932.24" + } + }, + "DBGSEL1": { + "hide_name": 0, + "bits": [ 4430, 4431, 4432, 4433, 4434, 4435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24933.17-24933.24" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24934.17-24934.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 4446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24935.11-24935.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24936.18-24936.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24597.19-24597.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 4463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24937.11-24937.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 1071 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24598.12-24598.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 4464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24938.11-24938.16" + } + }, + "MAXISCQTDATA": { + "hide_name": 0, + "bits": [ 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24599.20-24599.32" + } + }, + "MAXISCQTKEEP": { + "hide_name": 0, + "bits": [ 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24600.18-24600.30" + } + }, + "MAXISCQTLAST": { + "hide_name": 0, + "bits": [ 1336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24601.12-24601.24" + } + }, + "MAXISCQTREADY": { + "hide_name": 0, + "bits": [ 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24939.18-24939.31" + } + }, + "MAXISCQTUSER": { + "hide_name": 0, + "bits": [ 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24602.19-24602.31" + } + }, + "MAXISCQTVALID": { + "hide_name": 0, + "bits": [ 1425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24603.12-24603.25" + } + }, + "MAXISRCTDATA": { + "hide_name": 0, + "bits": [ 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24604.20-24604.32" + } + }, + "MAXISRCTKEEP": { + "hide_name": 0, + "bits": [ 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24605.18-24605.30" + } + }, + "MAXISRCTLAST": { + "hide_name": 0, + "bits": [ 1690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24606.12-24606.24" + } + }, + "MAXISRCTREADY": { + "hide_name": 0, + "bits": [ 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24940.18-24940.31" + } + }, + "MAXISRCTUSER": { + "hide_name": 0, + "bits": [ 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24607.19-24607.31" + } + }, + "MAXISRCTVALID": { + "hide_name": 0, + "bits": [ 1766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24608.12-24608.25" + } + }, + "MCAPCLK": { + "hide_name": 0, + "bits": [ 4509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24941.11-24941.18" + } + }, + "MCAPPERST0B": { + "hide_name": 0, + "bits": [ 4510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24942.11-24942.22" + } + }, + "MCAPPERST1B": { + "hide_name": 0, + "bits": [ 4511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24943.11-24943.22" + } + }, + "MGMTRESETN": { + "hide_name": 0, + "bits": [ 4512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24944.11-24944.21" + } + }, + "MGMTSTICKYRESETN": { + "hide_name": 0, + "bits": [ 4513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24945.11-24945.27" + } + }, + "MIREPLAYRAMADDRESS0": { + "hide_name": 0, + "bits": [ 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24609.18-24609.37" + } + }, + "MIREPLAYRAMADDRESS1": { + "hide_name": 0, + "bits": [ 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24610.18-24610.37" + } + }, + "MIREPLAYRAMERRCOR": { + "hide_name": 0, + "bits": [ 4514, 4515, 4516, 4517, 4518, 4519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24946.17-24946.34" + } + }, + "MIREPLAYRAMERRUNCOR": { + "hide_name": 0, + "bits": [ 4520, 4521, 4522, 4523, 4524, 4525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24947.17-24947.36" + } + }, + "MIREPLAYRAMREADDATA0": { + "hide_name": 0, + "bits": [ 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24948.19-24948.39" + } + }, + "MIREPLAYRAMREADDATA1": { + "hide_name": 0, + "bits": [ 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24949.19-24949.39" + } + }, + "MIREPLAYRAMREADENABLE0": { + "hide_name": 0, + "bits": [ 1785 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24611.12-24611.34" + } + }, + "MIREPLAYRAMREADENABLE1": { + "hide_name": 0, + "bits": [ 1786 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24612.12-24612.34" + } + }, + "MIREPLAYRAMWRITEDATA0": { + "hide_name": 0, + "bits": [ 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24613.20-24613.41" + } + }, + "MIREPLAYRAMWRITEDATA1": { + "hide_name": 0, + "bits": [ 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24614.20-24614.41" + } + }, + "MIREPLAYRAMWRITEENABLE0": { + "hide_name": 0, + "bits": [ 2043 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24615.12-24615.35" + } + }, + "MIREPLAYRAMWRITEENABLE1": { + "hide_name": 0, + "bits": [ 2044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24616.12-24616.35" + } + }, + "MIRXCOMPLETIONRAMERRCOR": { + "hide_name": 0, + "bits": [ 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24950.18-24950.41" + } + }, + "MIRXCOMPLETIONRAMERRUNCOR": { + "hide_name": 0, + "bits": [ 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24951.18-24951.43" + } + }, + "MIRXCOMPLETIONRAMREADADDRESS0": { + "hide_name": 0, + "bits": [ 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24617.18-24617.47" + } + }, + "MIRXCOMPLETIONRAMREADADDRESS1": { + "hide_name": 0, + "bits": [ 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24618.18-24618.47" + } + }, + "MIRXCOMPLETIONRAMREADDATA0": { + "hide_name": 0, + "bits": [ 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24952.19-24952.45" + } + }, + "MIRXCOMPLETIONRAMREADDATA1": { + "hide_name": 0, + "bits": [ 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24953.19-24953.45" + } + }, + "MIRXCOMPLETIONRAMREADENABLE0": { + "hide_name": 0, + "bits": [ 2063, 2064 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24619.18-24619.46" + } + }, + "MIRXCOMPLETIONRAMREADENABLE1": { + "hide_name": 0, + "bits": [ 2065, 2066 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24620.18-24620.46" + } + }, + "MIRXCOMPLETIONRAMWRITEADDRESS0": { + "hide_name": 0, + "bits": [ 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24621.18-24621.48" + } + }, + "MIRXCOMPLETIONRAMWRITEADDRESS1": { + "hide_name": 0, + "bits": [ 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24622.18-24622.48" + } + }, + "MIRXCOMPLETIONRAMWRITEDATA0": { + "hide_name": 0, + "bits": [ 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24623.20-24623.47" + } + }, + "MIRXCOMPLETIONRAMWRITEDATA1": { + "hide_name": 0, + "bits": [ 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24624.20-24624.47" + } + }, + "MIRXCOMPLETIONRAMWRITEENABLE0": { + "hide_name": 0, + "bits": [ 2373, 2374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24625.18-24625.47" + } + }, + "MIRXCOMPLETIONRAMWRITEENABLE1": { + "hide_name": 0, + "bits": [ 2375, 2376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24626.18-24626.47" + } + }, + "MIRXPOSTEDREQUESTRAMERRCOR": { + "hide_name": 0, + "bits": [ 5094, 5095, 5096, 5097, 5098, 5099 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24954.17-24954.43" + } + }, + "MIRXPOSTEDREQUESTRAMERRUNCOR": { + "hide_name": 0, + "bits": [ 5100, 5101, 5102, 5103, 5104, 5105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24955.17-24955.45" + } + }, + "MIRXPOSTEDREQUESTRAMREADADDRESS0": { + "hide_name": 0, + "bits": [ 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24627.18-24627.50" + } + }, + "MIRXPOSTEDREQUESTRAMREADADDRESS1": { + "hide_name": 0, + "bits": [ 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24628.18-24628.50" + } + }, + "MIRXPOSTEDREQUESTRAMREADDATA0": { + "hide_name": 0, + "bits": [ 5106, 5107, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24956.19-24956.48" + } + }, + "MIRXPOSTEDREQUESTRAMREADDATA1": { + "hide_name": 0, + "bits": [ 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24957.19-24957.48" + } + }, + "MIRXPOSTEDREQUESTRAMREADENABLE0": { + "hide_name": 0, + "bits": [ 2395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24629.12-24629.43" + } + }, + "MIRXPOSTEDREQUESTRAMREADENABLE1": { + "hide_name": 0, + "bits": [ 2396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24630.12-24630.43" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEADDRESS0": { + "hide_name": 0, + "bits": [ 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24631.18-24631.51" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEADDRESS1": { + "hide_name": 0, + "bits": [ 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24632.18-24632.51" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEDATA0": { + "hide_name": 0, + "bits": [ 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24633.20-24633.50" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEDATA1": { + "hide_name": 0, + "bits": [ 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24634.20-24634.50" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEENABLE0": { + "hide_name": 0, + "bits": [ 2703 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24635.12-24635.44" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEENABLE1": { + "hide_name": 0, + "bits": [ 2704 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24636.12-24636.44" + } + }, + "PCIECOMPLDELIVERED": { + "hide_name": 0, + "bits": [ 5394, 5395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24958.17-24958.35" + } + }, + "PCIECOMPLDELIVEREDTAG0": { + "hide_name": 0, + "bits": [ 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24959.17-24959.39" + } + }, + "PCIECOMPLDELIVEREDTAG1": { + "hide_name": 0, + "bits": [ 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24960.17-24960.39" + } + }, + "PCIECQNPREQ": { + "hide_name": 0, + "bits": [ 5412, 5413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24961.17-24961.28" + } + }, + "PCIECQNPREQCOUNT": { + "hide_name": 0, + "bits": [ 2705, 2706, 2707, 2708, 2709, 2710 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24637.18-24637.34" + } + }, + "PCIECQNPUSERCREDITRCVD": { + "hide_name": 0, + "bits": [ 5414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24962.11-24962.33" + } + }, + "PCIECQPIPELINEEMPTY": { + "hide_name": 0, + "bits": [ 5415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24963.11-24963.30" + } + }, + "PCIEPERST0B": { + "hide_name": 0, + "bits": [ 2711 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24638.12-24638.23" + } + }, + "PCIEPERST1B": { + "hide_name": 0, + "bits": [ 2712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24639.12-24639.23" + } + }, + "PCIEPOSTEDREQDELIVERED": { + "hide_name": 0, + "bits": [ 5416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24964.11-24964.33" + } + }, + "PCIERQSEQNUM0": { + "hide_name": 0, + "bits": [ 2713, 2714, 2715, 2716, 2717, 2718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24640.18-24640.31" + } + }, + "PCIERQSEQNUM1": { + "hide_name": 0, + "bits": [ 2719, 2720, 2721, 2722, 2723, 2724 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24641.18-24641.31" + } + }, + "PCIERQSEQNUMVLD0": { + "hide_name": 0, + "bits": [ 2725 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24642.12-24642.28" + } + }, + "PCIERQSEQNUMVLD1": { + "hide_name": 0, + "bits": [ 2726 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24643.12-24643.28" + } + }, + "PCIERQTAG0": { + "hide_name": 0, + "bits": [ 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24644.18-24644.28" + } + }, + "PCIERQTAG1": { + "hide_name": 0, + "bits": [ 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24645.18-24645.28" + } + }, + "PCIERQTAGAV": { + "hide_name": 0, + "bits": [ 2743, 2744, 2745, 2746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24646.18-24646.29" + } + }, + "PCIERQTAGVLD0": { + "hide_name": 0, + "bits": [ 2747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24647.12-24647.25" + } + }, + "PCIERQTAGVLD1": { + "hide_name": 0, + "bits": [ 2748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24648.12-24648.25" + } + }, + "PCIETFCNPDAV": { + "hide_name": 0, + "bits": [ 2749, 2750, 2751, 2752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24649.18-24649.30" + } + }, + "PCIETFCNPHAV": { + "hide_name": 0, + "bits": [ 2753, 2754, 2755, 2756 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24650.18-24650.30" + } + }, + "PIPECLK": { + "hide_name": 0, + "bits": [ 5417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24965.11-24965.18" + } + }, + "PIPECLKEN": { + "hide_name": 0, + "bits": [ 5418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24966.11-24966.20" + } + }, + "PIPEEQFS": { + "hide_name": 0, + "bits": [ 5419, 5420, 5421, 5422, 5423, 5424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24967.17-24967.25" + } + }, + "PIPEEQLF": { + "hide_name": 0, + "bits": [ 5425, 5426, 5427, 5428, 5429, 5430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24968.17-24968.25" + } + }, + "PIPERESETN": { + "hide_name": 0, + "bits": [ 5431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24969.11-24969.21" + } + }, + "PIPERX00CHARISK": { + "hide_name": 0, + "bits": [ 5432, 5433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24970.17-24970.32" + } + }, + "PIPERX00DATA": { + "hide_name": 0, + "bits": [ 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24971.18-24971.30" + } + }, + "PIPERX00DATAVALID": { + "hide_name": 0, + "bits": [ 5466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24972.11-24972.28" + } + }, + "PIPERX00ELECIDLE": { + "hide_name": 0, + "bits": [ 5467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24973.11-24973.27" + } + }, + "PIPERX00EQCONTROL": { + "hide_name": 0, + "bits": [ 2757, 2758 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24651.18-24651.35" + } + }, + "PIPERX00EQDONE": { + "hide_name": 0, + "bits": [ 5468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24974.11-24974.25" + } + }, + "PIPERX00EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24975.11-24975.32" + } + }, + "PIPERX00EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24976.11-24976.30" + } + }, + "PIPERX00EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24977.18-24977.48" + } + }, + "PIPERX00PHYSTATUS": { + "hide_name": 0, + "bits": [ 5489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24978.11-24978.28" + } + }, + "PIPERX00POLARITY": { + "hide_name": 0, + "bits": [ 2759 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24652.12-24652.28" + } + }, + "PIPERX00STARTBLOCK": { + "hide_name": 0, + "bits": [ 5490, 5491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24979.17-24979.35" + } + }, + "PIPERX00STATUS": { + "hide_name": 0, + "bits": [ 5492, 5493, 5494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24980.17-24980.31" + } + }, + "PIPERX00SYNCHEADER": { + "hide_name": 0, + "bits": [ 5495, 5496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24981.17-24981.35" + } + }, + "PIPERX00VALID": { + "hide_name": 0, + "bits": [ 5497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24982.11-24982.24" + } + }, + "PIPERX01CHARISK": { + "hide_name": 0, + "bits": [ 5498, 5499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24983.17-24983.32" + } + }, + "PIPERX01DATA": { + "hide_name": 0, + "bits": [ 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24984.18-24984.30" + } + }, + "PIPERX01DATAVALID": { + "hide_name": 0, + "bits": [ 5532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24985.11-24985.28" + } + }, + "PIPERX01ELECIDLE": { + "hide_name": 0, + "bits": [ 5533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24986.11-24986.27" + } + }, + "PIPERX01EQCONTROL": { + "hide_name": 0, + "bits": [ 2760, 2761 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24653.18-24653.35" + } + }, + "PIPERX01EQDONE": { + "hide_name": 0, + "bits": [ 5534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24987.11-24987.25" + } + }, + "PIPERX01EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24988.11-24988.32" + } + }, + "PIPERX01EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24989.11-24989.30" + } + }, + "PIPERX01EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24990.18-24990.48" + } + }, + "PIPERX01PHYSTATUS": { + "hide_name": 0, + "bits": [ 5555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24991.11-24991.28" + } + }, + "PIPERX01POLARITY": { + "hide_name": 0, + "bits": [ 2762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24654.12-24654.28" + } + }, + "PIPERX01STARTBLOCK": { + "hide_name": 0, + "bits": [ 5556, 5557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24992.17-24992.35" + } + }, + "PIPERX01STATUS": { + "hide_name": 0, + "bits": [ 5558, 5559, 5560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24993.17-24993.31" + } + }, + "PIPERX01SYNCHEADER": { + "hide_name": 0, + "bits": [ 5561, 5562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24994.17-24994.35" + } + }, + "PIPERX01VALID": { + "hide_name": 0, + "bits": [ 5563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24995.11-24995.24" + } + }, + "PIPERX02CHARISK": { + "hide_name": 0, + "bits": [ 5564, 5565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24996.17-24996.32" + } + }, + "PIPERX02DATA": { + "hide_name": 0, + "bits": [ 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24997.18-24997.30" + } + }, + "PIPERX02DATAVALID": { + "hide_name": 0, + "bits": [ 5598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24998.11-24998.28" + } + }, + "PIPERX02ELECIDLE": { + "hide_name": 0, + "bits": [ 5599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24999.11-24999.27" + } + }, + "PIPERX02EQCONTROL": { + "hide_name": 0, + "bits": [ 2763, 2764 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24655.18-24655.35" + } + }, + "PIPERX02EQDONE": { + "hide_name": 0, + "bits": [ 5600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25000.11-25000.25" + } + }, + "PIPERX02EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25001.11-25001.32" + } + }, + "PIPERX02EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25002.11-25002.30" + } + }, + "PIPERX02EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25003.18-25003.48" + } + }, + "PIPERX02PHYSTATUS": { + "hide_name": 0, + "bits": [ 5621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25004.11-25004.28" + } + }, + "PIPERX02POLARITY": { + "hide_name": 0, + "bits": [ 2765 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24656.12-24656.28" + } + }, + "PIPERX02STARTBLOCK": { + "hide_name": 0, + "bits": [ 5622, 5623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25005.17-25005.35" + } + }, + "PIPERX02STATUS": { + "hide_name": 0, + "bits": [ 5624, 5625, 5626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25006.17-25006.31" + } + }, + "PIPERX02SYNCHEADER": { + "hide_name": 0, + "bits": [ 5627, 5628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25007.17-25007.35" + } + }, + "PIPERX02VALID": { + "hide_name": 0, + "bits": [ 5629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25008.11-25008.24" + } + }, + "PIPERX03CHARISK": { + "hide_name": 0, + "bits": [ 5630, 5631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25009.17-25009.32" + } + }, + "PIPERX03DATA": { + "hide_name": 0, + "bits": [ 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25010.18-25010.30" + } + }, + "PIPERX03DATAVALID": { + "hide_name": 0, + "bits": [ 5664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25011.11-25011.28" + } + }, + "PIPERX03ELECIDLE": { + "hide_name": 0, + "bits": [ 5665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25012.11-25012.27" + } + }, + "PIPERX03EQCONTROL": { + "hide_name": 0, + "bits": [ 2766, 2767 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24657.18-24657.35" + } + }, + "PIPERX03EQDONE": { + "hide_name": 0, + "bits": [ 5666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25013.11-25013.25" + } + }, + "PIPERX03EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5667 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25014.11-25014.32" + } + }, + "PIPERX03EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25015.11-25015.30" + } + }, + "PIPERX03EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25016.18-25016.48" + } + }, + "PIPERX03PHYSTATUS": { + "hide_name": 0, + "bits": [ 5687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25017.11-25017.28" + } + }, + "PIPERX03POLARITY": { + "hide_name": 0, + "bits": [ 2768 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24658.12-24658.28" + } + }, + "PIPERX03STARTBLOCK": { + "hide_name": 0, + "bits": [ 5688, 5689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25018.17-25018.35" + } + }, + "PIPERX03STATUS": { + "hide_name": 0, + "bits": [ 5690, 5691, 5692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25019.17-25019.31" + } + }, + "PIPERX03SYNCHEADER": { + "hide_name": 0, + "bits": [ 5693, 5694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25020.17-25020.35" + } + }, + "PIPERX03VALID": { + "hide_name": 0, + "bits": [ 5695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25021.11-25021.24" + } + }, + "PIPERX04CHARISK": { + "hide_name": 0, + "bits": [ 5696, 5697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25022.17-25022.32" + } + }, + "PIPERX04DATA": { + "hide_name": 0, + "bits": [ 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25023.18-25023.30" + } + }, + "PIPERX04DATAVALID": { + "hide_name": 0, + "bits": [ 5730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25024.11-25024.28" + } + }, + "PIPERX04ELECIDLE": { + "hide_name": 0, + "bits": [ 5731 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25025.11-25025.27" + } + }, + "PIPERX04EQCONTROL": { + "hide_name": 0, + "bits": [ 2769, 2770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24659.18-24659.35" + } + }, + "PIPERX04EQDONE": { + "hide_name": 0, + "bits": [ 5732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25026.11-25026.25" + } + }, + "PIPERX04EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25027.11-25027.32" + } + }, + "PIPERX04EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25028.11-25028.30" + } + }, + "PIPERX04EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747, 5748, 5749, 5750, 5751, 5752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25029.18-25029.48" + } + }, + "PIPERX04PHYSTATUS": { + "hide_name": 0, + "bits": [ 5753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25030.11-25030.28" + } + }, + "PIPERX04POLARITY": { + "hide_name": 0, + "bits": [ 2771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24660.12-24660.28" + } + }, + "PIPERX04STARTBLOCK": { + "hide_name": 0, + "bits": [ 5754, 5755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25031.17-25031.35" + } + }, + "PIPERX04STATUS": { + "hide_name": 0, + "bits": [ 5756, 5757, 5758 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25032.17-25032.31" + } + }, + "PIPERX04SYNCHEADER": { + "hide_name": 0, + "bits": [ 5759, 5760 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25033.17-25033.35" + } + }, + "PIPERX04VALID": { + "hide_name": 0, + "bits": [ 5761 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25034.11-25034.24" + } + }, + "PIPERX05CHARISK": { + "hide_name": 0, + "bits": [ 5762, 5763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25035.17-25035.32" + } + }, + "PIPERX05DATA": { + "hide_name": 0, + "bits": [ 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25036.18-25036.30" + } + }, + "PIPERX05DATAVALID": { + "hide_name": 0, + "bits": [ 5796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25037.11-25037.28" + } + }, + "PIPERX05ELECIDLE": { + "hide_name": 0, + "bits": [ 5797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25038.11-25038.27" + } + }, + "PIPERX05EQCONTROL": { + "hide_name": 0, + "bits": [ 2772, 2773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24661.18-24661.35" + } + }, + "PIPERX05EQDONE": { + "hide_name": 0, + "bits": [ 5798 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25039.11-25039.25" + } + }, + "PIPERX05EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5799 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25040.11-25040.32" + } + }, + "PIPERX05EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25041.11-25041.30" + } + }, + "PIPERX05EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25042.18-25042.48" + } + }, + "PIPERX05PHYSTATUS": { + "hide_name": 0, + "bits": [ 5819 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25043.11-25043.28" + } + }, + "PIPERX05POLARITY": { + "hide_name": 0, + "bits": [ 2774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24662.12-24662.28" + } + }, + "PIPERX05STARTBLOCK": { + "hide_name": 0, + "bits": [ 5820, 5821 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25044.17-25044.35" + } + }, + "PIPERX05STATUS": { + "hide_name": 0, + "bits": [ 5822, 5823, 5824 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25045.17-25045.31" + } + }, + "PIPERX05SYNCHEADER": { + "hide_name": 0, + "bits": [ 5825, 5826 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25046.17-25046.35" + } + }, + "PIPERX05VALID": { + "hide_name": 0, + "bits": [ 5827 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25047.11-25047.24" + } + }, + "PIPERX06CHARISK": { + "hide_name": 0, + "bits": [ 5828, 5829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25048.17-25048.32" + } + }, + "PIPERX06DATA": { + "hide_name": 0, + "bits": [ 5830, 5831, 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842, 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25049.18-25049.30" + } + }, + "PIPERX06DATAVALID": { + "hide_name": 0, + "bits": [ 5862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25050.11-25050.28" + } + }, + "PIPERX06ELECIDLE": { + "hide_name": 0, + "bits": [ 5863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25051.11-25051.27" + } + }, + "PIPERX06EQCONTROL": { + "hide_name": 0, + "bits": [ 2775, 2776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24663.18-24663.35" + } + }, + "PIPERX06EQDONE": { + "hide_name": 0, + "bits": [ 5864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25052.11-25052.25" + } + }, + "PIPERX06EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25053.11-25053.32" + } + }, + "PIPERX06EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25054.11-25054.30" + } + }, + "PIPERX06EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25055.18-25055.48" + } + }, + "PIPERX06PHYSTATUS": { + "hide_name": 0, + "bits": [ 5885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25056.11-25056.28" + } + }, + "PIPERX06POLARITY": { + "hide_name": 0, + "bits": [ 2777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24664.12-24664.28" + } + }, + "PIPERX06STARTBLOCK": { + "hide_name": 0, + "bits": [ 5886, 5887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25057.17-25057.35" + } + }, + "PIPERX06STATUS": { + "hide_name": 0, + "bits": [ 5888, 5889, 5890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25058.17-25058.31" + } + }, + "PIPERX06SYNCHEADER": { + "hide_name": 0, + "bits": [ 5891, 5892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25059.17-25059.35" + } + }, + "PIPERX06VALID": { + "hide_name": 0, + "bits": [ 5893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25060.11-25060.24" + } + }, + "PIPERX07CHARISK": { + "hide_name": 0, + "bits": [ 5894, 5895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25061.17-25061.32" + } + }, + "PIPERX07DATA": { + "hide_name": 0, + "bits": [ 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25062.18-25062.30" + } + }, + "PIPERX07DATAVALID": { + "hide_name": 0, + "bits": [ 5928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25063.11-25063.28" + } + }, + "PIPERX07ELECIDLE": { + "hide_name": 0, + "bits": [ 5929 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25064.11-25064.27" + } + }, + "PIPERX07EQCONTROL": { + "hide_name": 0, + "bits": [ 2778, 2779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24665.18-24665.35" + } + }, + "PIPERX07EQDONE": { + "hide_name": 0, + "bits": [ 5930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25065.11-25065.25" + } + }, + "PIPERX07EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25066.11-25066.32" + } + }, + "PIPERX07EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25067.11-25067.30" + } + }, + "PIPERX07EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948, 5949, 5950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25068.18-25068.48" + } + }, + "PIPERX07PHYSTATUS": { + "hide_name": 0, + "bits": [ 5951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25069.11-25069.28" + } + }, + "PIPERX07POLARITY": { + "hide_name": 0, + "bits": [ 2780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24666.12-24666.28" + } + }, + "PIPERX07STARTBLOCK": { + "hide_name": 0, + "bits": [ 5952, 5953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25070.17-25070.35" + } + }, + "PIPERX07STATUS": { + "hide_name": 0, + "bits": [ 5954, 5955, 5956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25071.17-25071.31" + } + }, + "PIPERX07SYNCHEADER": { + "hide_name": 0, + "bits": [ 5957, 5958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25072.17-25072.35" + } + }, + "PIPERX07VALID": { + "hide_name": 0, + "bits": [ 5959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25073.11-25073.24" + } + }, + "PIPERX08CHARISK": { + "hide_name": 0, + "bits": [ 5960, 5961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25074.17-25074.32" + } + }, + "PIPERX08DATA": { + "hide_name": 0, + "bits": [ 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983, 5984, 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25075.18-25075.30" + } + }, + "PIPERX08DATAVALID": { + "hide_name": 0, + "bits": [ 5994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25076.11-25076.28" + } + }, + "PIPERX08ELECIDLE": { + "hide_name": 0, + "bits": [ 5995 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25077.11-25077.27" + } + }, + "PIPERX08EQCONTROL": { + "hide_name": 0, + "bits": [ 2781, 2782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24667.18-24667.35" + } + }, + "PIPERX08EQDONE": { + "hide_name": 0, + "bits": [ 5996 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25078.11-25078.25" + } + }, + "PIPERX08EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5997 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25079.11-25079.32" + } + }, + "PIPERX08EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5998 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25080.11-25080.30" + } + }, + "PIPERX08EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5999, 6000, 6001, 6002, 6003, 6004, 6005, 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25081.18-25081.48" + } + }, + "PIPERX08PHYSTATUS": { + "hide_name": 0, + "bits": [ 6017 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25082.11-25082.28" + } + }, + "PIPERX08POLARITY": { + "hide_name": 0, + "bits": [ 2783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24668.12-24668.28" + } + }, + "PIPERX08STARTBLOCK": { + "hide_name": 0, + "bits": [ 6018, 6019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25083.17-25083.35" + } + }, + "PIPERX08STATUS": { + "hide_name": 0, + "bits": [ 6020, 6021, 6022 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25084.17-25084.31" + } + }, + "PIPERX08SYNCHEADER": { + "hide_name": 0, + "bits": [ 6023, 6024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25085.17-25085.35" + } + }, + "PIPERX08VALID": { + "hide_name": 0, + "bits": [ 6025 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25086.11-25086.24" + } + }, + "PIPERX09CHARISK": { + "hide_name": 0, + "bits": [ 6026, 6027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25087.17-25087.32" + } + }, + "PIPERX09DATA": { + "hide_name": 0, + "bits": [ 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058, 6059 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25088.18-25088.30" + } + }, + "PIPERX09DATAVALID": { + "hide_name": 0, + "bits": [ 6060 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25089.11-25089.28" + } + }, + "PIPERX09ELECIDLE": { + "hide_name": 0, + "bits": [ 6061 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25090.11-25090.27" + } + }, + "PIPERX09EQCONTROL": { + "hide_name": 0, + "bits": [ 2784, 2785 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24669.18-24669.35" + } + }, + "PIPERX09EQDONE": { + "hide_name": 0, + "bits": [ 6062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25091.11-25091.25" + } + }, + "PIPERX09EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6063 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25092.11-25092.32" + } + }, + "PIPERX09EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6064 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25093.11-25093.30" + } + }, + "PIPERX09EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076, 6077, 6078, 6079, 6080, 6081, 6082 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25094.18-25094.48" + } + }, + "PIPERX09PHYSTATUS": { + "hide_name": 0, + "bits": [ 6083 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25095.11-25095.28" + } + }, + "PIPERX09POLARITY": { + "hide_name": 0, + "bits": [ 2786 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24670.12-24670.28" + } + }, + "PIPERX09STARTBLOCK": { + "hide_name": 0, + "bits": [ 6084, 6085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25096.17-25096.35" + } + }, + "PIPERX09STATUS": { + "hide_name": 0, + "bits": [ 6086, 6087, 6088 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25097.17-25097.31" + } + }, + "PIPERX09SYNCHEADER": { + "hide_name": 0, + "bits": [ 6089, 6090 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25098.17-25098.35" + } + }, + "PIPERX09VALID": { + "hide_name": 0, + "bits": [ 6091 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25099.11-25099.24" + } + }, + "PIPERX10CHARISK": { + "hide_name": 0, + "bits": [ 6092, 6093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25100.17-25100.32" + } + }, + "PIPERX10DATA": { + "hide_name": 0, + "bits": [ 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25101.18-25101.30" + } + }, + "PIPERX10DATAVALID": { + "hide_name": 0, + "bits": [ 6126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25102.11-25102.28" + } + }, + "PIPERX10ELECIDLE": { + "hide_name": 0, + "bits": [ 6127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25103.11-25103.27" + } + }, + "PIPERX10EQCONTROL": { + "hide_name": 0, + "bits": [ 2787, 2788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24671.18-24671.35" + } + }, + "PIPERX10EQDONE": { + "hide_name": 0, + "bits": [ 6128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25104.11-25104.25" + } + }, + "PIPERX10EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25105.11-25105.32" + } + }, + "PIPERX10EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25106.11-25106.30" + } + }, + "PIPERX10EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142, 6143, 6144, 6145, 6146, 6147, 6148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25107.18-25107.48" + } + }, + "PIPERX10PHYSTATUS": { + "hide_name": 0, + "bits": [ 6149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25108.11-25108.28" + } + }, + "PIPERX10POLARITY": { + "hide_name": 0, + "bits": [ 2789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24672.12-24672.28" + } + }, + "PIPERX10STARTBLOCK": { + "hide_name": 0, + "bits": [ 6150, 6151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25109.17-25109.35" + } + }, + "PIPERX10STATUS": { + "hide_name": 0, + "bits": [ 6152, 6153, 6154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25110.17-25110.31" + } + }, + "PIPERX10SYNCHEADER": { + "hide_name": 0, + "bits": [ 6155, 6156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25111.17-25111.35" + } + }, + "PIPERX10VALID": { + "hide_name": 0, + "bits": [ 6157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25112.11-25112.24" + } + }, + "PIPERX11CHARISK": { + "hide_name": 0, + "bits": [ 6158, 6159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25113.17-25113.32" + } + }, + "PIPERX11DATA": { + "hide_name": 0, + "bits": [ 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25114.18-25114.30" + } + }, + "PIPERX11DATAVALID": { + "hide_name": 0, + "bits": [ 6192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25115.11-25115.28" + } + }, + "PIPERX11ELECIDLE": { + "hide_name": 0, + "bits": [ 6193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25116.11-25116.27" + } + }, + "PIPERX11EQCONTROL": { + "hide_name": 0, + "bits": [ 2790, 2791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24673.18-24673.35" + } + }, + "PIPERX11EQDONE": { + "hide_name": 0, + "bits": [ 6194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25117.11-25117.25" + } + }, + "PIPERX11EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25118.11-25118.32" + } + }, + "PIPERX11EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25119.11-25119.30" + } + }, + "PIPERX11EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25120.18-25120.48" + } + }, + "PIPERX11PHYSTATUS": { + "hide_name": 0, + "bits": [ 6215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25121.11-25121.28" + } + }, + "PIPERX11POLARITY": { + "hide_name": 0, + "bits": [ 2792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24674.12-24674.28" + } + }, + "PIPERX11STARTBLOCK": { + "hide_name": 0, + "bits": [ 6216, 6217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25122.17-25122.35" + } + }, + "PIPERX11STATUS": { + "hide_name": 0, + "bits": [ 6218, 6219, 6220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25123.17-25123.31" + } + }, + "PIPERX11SYNCHEADER": { + "hide_name": 0, + "bits": [ 6221, 6222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25124.17-25124.35" + } + }, + "PIPERX11VALID": { + "hide_name": 0, + "bits": [ 6223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25125.11-25125.24" + } + }, + "PIPERX12CHARISK": { + "hide_name": 0, + "bits": [ 6224, 6225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25126.17-25126.32" + } + }, + "PIPERX12DATA": { + "hide_name": 0, + "bits": [ 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25127.18-25127.30" + } + }, + "PIPERX12DATAVALID": { + "hide_name": 0, + "bits": [ 6258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25128.11-25128.28" + } + }, + "PIPERX12ELECIDLE": { + "hide_name": 0, + "bits": [ 6259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25129.11-25129.27" + } + }, + "PIPERX12EQCONTROL": { + "hide_name": 0, + "bits": [ 2793, 2794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24675.18-24675.35" + } + }, + "PIPERX12EQDONE": { + "hide_name": 0, + "bits": [ 6260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25130.11-25130.25" + } + }, + "PIPERX12EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25131.11-25131.32" + } + }, + "PIPERX12EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25132.11-25132.30" + } + }, + "PIPERX12EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25133.18-25133.48" + } + }, + "PIPERX12PHYSTATUS": { + "hide_name": 0, + "bits": [ 6281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25134.11-25134.28" + } + }, + "PIPERX12POLARITY": { + "hide_name": 0, + "bits": [ 2795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24676.12-24676.28" + } + }, + "PIPERX12STARTBLOCK": { + "hide_name": 0, + "bits": [ 6282, 6283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25135.17-25135.35" + } + }, + "PIPERX12STATUS": { + "hide_name": 0, + "bits": [ 6284, 6285, 6286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25136.17-25136.31" + } + }, + "PIPERX12SYNCHEADER": { + "hide_name": 0, + "bits": [ 6287, 6288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25137.17-25137.35" + } + }, + "PIPERX12VALID": { + "hide_name": 0, + "bits": [ 6289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25138.11-25138.24" + } + }, + "PIPERX13CHARISK": { + "hide_name": 0, + "bits": [ 6290, 6291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25139.17-25139.32" + } + }, + "PIPERX13DATA": { + "hide_name": 0, + "bits": [ 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25140.18-25140.30" + } + }, + "PIPERX13DATAVALID": { + "hide_name": 0, + "bits": [ 6324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25141.11-25141.28" + } + }, + "PIPERX13ELECIDLE": { + "hide_name": 0, + "bits": [ 6325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25142.11-25142.27" + } + }, + "PIPERX13EQCONTROL": { + "hide_name": 0, + "bits": [ 2796, 2797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24677.18-24677.35" + } + }, + "PIPERX13EQDONE": { + "hide_name": 0, + "bits": [ 6326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25143.11-25143.25" + } + }, + "PIPERX13EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25144.11-25144.32" + } + }, + "PIPERX13EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25145.11-25145.30" + } + }, + "PIPERX13EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25146.18-25146.48" + } + }, + "PIPERX13PHYSTATUS": { + "hide_name": 0, + "bits": [ 6347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25147.11-25147.28" + } + }, + "PIPERX13POLARITY": { + "hide_name": 0, + "bits": [ 2798 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24678.12-24678.28" + } + }, + "PIPERX13STARTBLOCK": { + "hide_name": 0, + "bits": [ 6348, 6349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25148.17-25148.35" + } + }, + "PIPERX13STATUS": { + "hide_name": 0, + "bits": [ 6350, 6351, 6352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25149.17-25149.31" + } + }, + "PIPERX13SYNCHEADER": { + "hide_name": 0, + "bits": [ 6353, 6354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25150.17-25150.35" + } + }, + "PIPERX13VALID": { + "hide_name": 0, + "bits": [ 6355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25151.11-25151.24" + } + }, + "PIPERX14CHARISK": { + "hide_name": 0, + "bits": [ 6356, 6357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25152.17-25152.32" + } + }, + "PIPERX14DATA": { + "hide_name": 0, + "bits": [ 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384, 6385, 6386, 6387, 6388, 6389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25153.18-25153.30" + } + }, + "PIPERX14DATAVALID": { + "hide_name": 0, + "bits": [ 6390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25154.11-25154.28" + } + }, + "PIPERX14ELECIDLE": { + "hide_name": 0, + "bits": [ 6391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25155.11-25155.27" + } + }, + "PIPERX14EQCONTROL": { + "hide_name": 0, + "bits": [ 2799, 2800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24679.18-24679.35" + } + }, + "PIPERX14EQDONE": { + "hide_name": 0, + "bits": [ 6392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25156.11-25156.25" + } + }, + "PIPERX14EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25157.11-25157.32" + } + }, + "PIPERX14EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25158.11-25158.30" + } + }, + "PIPERX14EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411, 6412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25159.18-25159.48" + } + }, + "PIPERX14PHYSTATUS": { + "hide_name": 0, + "bits": [ 6413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25160.11-25160.28" + } + }, + "PIPERX14POLARITY": { + "hide_name": 0, + "bits": [ 2801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24680.12-24680.28" + } + }, + "PIPERX14STARTBLOCK": { + "hide_name": 0, + "bits": [ 6414, 6415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25161.17-25161.35" + } + }, + "PIPERX14STATUS": { + "hide_name": 0, + "bits": [ 6416, 6417, 6418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25162.17-25162.31" + } + }, + "PIPERX14SYNCHEADER": { + "hide_name": 0, + "bits": [ 6419, 6420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25163.17-25163.35" + } + }, + "PIPERX14VALID": { + "hide_name": 0, + "bits": [ 6421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25164.11-25164.24" + } + }, + "PIPERX15CHARISK": { + "hide_name": 0, + "bits": [ 6422, 6423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25165.17-25165.32" + } + }, + "PIPERX15DATA": { + "hide_name": 0, + "bits": [ 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449, 6450, 6451, 6452, 6453, 6454, 6455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25166.18-25166.30" + } + }, + "PIPERX15DATAVALID": { + "hide_name": 0, + "bits": [ 6456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25167.11-25167.28" + } + }, + "PIPERX15ELECIDLE": { + "hide_name": 0, + "bits": [ 6457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25168.11-25168.27" + } + }, + "PIPERX15EQCONTROL": { + "hide_name": 0, + "bits": [ 2802, 2803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24681.18-24681.35" + } + }, + "PIPERX15EQDONE": { + "hide_name": 0, + "bits": [ 6458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25169.11-25169.25" + } + }, + "PIPERX15EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25170.11-25170.32" + } + }, + "PIPERX15EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25171.11-25171.30" + } + }, + "PIPERX15EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472, 6473, 6474, 6475, 6476, 6477, 6478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25172.18-25172.48" + } + }, + "PIPERX15PHYSTATUS": { + "hide_name": 0, + "bits": [ 6479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25173.11-25173.28" + } + }, + "PIPERX15POLARITY": { + "hide_name": 0, + "bits": [ 2804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24682.12-24682.28" + } + }, + "PIPERX15STARTBLOCK": { + "hide_name": 0, + "bits": [ 6480, 6481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25174.17-25174.35" + } + }, + "PIPERX15STATUS": { + "hide_name": 0, + "bits": [ 6482, 6483, 6484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25175.17-25175.31" + } + }, + "PIPERX15SYNCHEADER": { + "hide_name": 0, + "bits": [ 6485, 6486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25176.17-25176.35" + } + }, + "PIPERX15VALID": { + "hide_name": 0, + "bits": [ 6487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25177.11-25177.24" + } + }, + "PIPERXEQLPLFFS": { + "hide_name": 0, + "bits": [ 2805, 2806, 2807, 2808, 2809, 2810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24683.18-24683.32" + } + }, + "PIPERXEQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2811, 2812, 2813, 2814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24684.18-24684.36" + } + }, + "PIPETX00CHARISK": { + "hide_name": 0, + "bits": [ 2815, 2816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24685.18-24685.33" + } + }, + "PIPETX00COMPLIANCE": { + "hide_name": 0, + "bits": [ 2817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24686.12-24686.30" + } + }, + "PIPETX00DATA": { + "hide_name": 0, + "bits": [ 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24687.19-24687.31" + } + }, + "PIPETX00DATAVALID": { + "hide_name": 0, + "bits": [ 2850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24688.12-24688.29" + } + }, + "PIPETX00ELECIDLE": { + "hide_name": 0, + "bits": [ 2851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24689.12-24689.28" + } + }, + "PIPETX00EQCOEFF": { + "hide_name": 0, + "bits": [ 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25178.18-25178.33" + } + }, + "PIPETX00EQCONTROL": { + "hide_name": 0, + "bits": [ 2852, 2853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24690.18-24690.35" + } + }, + "PIPETX00EQDEEMPH": { + "hide_name": 0, + "bits": [ 2854, 2855, 2856, 2857, 2858, 2859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24691.18-24691.34" + } + }, + "PIPETX00EQDONE": { + "hide_name": 0, + "bits": [ 6506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25179.11-25179.25" + } + }, + "PIPETX00POWERDOWN": { + "hide_name": 0, + "bits": [ 2860, 2861 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24692.18-24692.35" + } + }, + "PIPETX00STARTBLOCK": { + "hide_name": 0, + "bits": [ 2862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24693.12-24693.30" + } + }, + "PIPETX00SYNCHEADER": { + "hide_name": 0, + "bits": [ 2863, 2864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24694.18-24694.36" + } + }, + "PIPETX01CHARISK": { + "hide_name": 0, + "bits": [ 2865, 2866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24695.18-24695.33" + } + }, + "PIPETX01COMPLIANCE": { + "hide_name": 0, + "bits": [ 2867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24696.12-24696.30" + } + }, + "PIPETX01DATA": { + "hide_name": 0, + "bits": [ 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24697.19-24697.31" + } + }, + "PIPETX01DATAVALID": { + "hide_name": 0, + "bits": [ 2900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24698.12-24698.29" + } + }, + "PIPETX01ELECIDLE": { + "hide_name": 0, + "bits": [ 2901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24699.12-24699.28" + } + }, + "PIPETX01EQCOEFF": { + "hide_name": 0, + "bits": [ 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25180.18-25180.33" + } + }, + "PIPETX01EQCONTROL": { + "hide_name": 0, + "bits": [ 2902, 2903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24700.18-24700.35" + } + }, + "PIPETX01EQDEEMPH": { + "hide_name": 0, + "bits": [ 2904, 2905, 2906, 2907, 2908, 2909 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24701.18-24701.34" + } + }, + "PIPETX01EQDONE": { + "hide_name": 0, + "bits": [ 6525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25181.11-25181.25" + } + }, + "PIPETX01POWERDOWN": { + "hide_name": 0, + "bits": [ 2910, 2911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24702.18-24702.35" + } + }, + "PIPETX01STARTBLOCK": { + "hide_name": 0, + "bits": [ 2912 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24703.12-24703.30" + } + }, + "PIPETX01SYNCHEADER": { + "hide_name": 0, + "bits": [ 2913, 2914 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24704.18-24704.36" + } + }, + "PIPETX02CHARISK": { + "hide_name": 0, + "bits": [ 2915, 2916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24705.18-24705.33" + } + }, + "PIPETX02COMPLIANCE": { + "hide_name": 0, + "bits": [ 2917 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24706.12-24706.30" + } + }, + "PIPETX02DATA": { + "hide_name": 0, + "bits": [ 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24707.19-24707.31" + } + }, + "PIPETX02DATAVALID": { + "hide_name": 0, + "bits": [ 2950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24708.12-24708.29" + } + }, + "PIPETX02ELECIDLE": { + "hide_name": 0, + "bits": [ 2951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24709.12-24709.28" + } + }, + "PIPETX02EQCOEFF": { + "hide_name": 0, + "bits": [ 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539, 6540, 6541, 6542, 6543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25182.18-25182.33" + } + }, + "PIPETX02EQCONTROL": { + "hide_name": 0, + "bits": [ 2952, 2953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24710.18-24710.35" + } + }, + "PIPETX02EQDEEMPH": { + "hide_name": 0, + "bits": [ 2954, 2955, 2956, 2957, 2958, 2959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24711.18-24711.34" + } + }, + "PIPETX02EQDONE": { + "hide_name": 0, + "bits": [ 6544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25183.11-25183.25" + } + }, + "PIPETX02POWERDOWN": { + "hide_name": 0, + "bits": [ 2960, 2961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24712.18-24712.35" + } + }, + "PIPETX02STARTBLOCK": { + "hide_name": 0, + "bits": [ 2962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24713.12-24713.30" + } + }, + "PIPETX02SYNCHEADER": { + "hide_name": 0, + "bits": [ 2963, 2964 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24714.18-24714.36" + } + }, + "PIPETX03CHARISK": { + "hide_name": 0, + "bits": [ 2965, 2966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24715.18-24715.33" + } + }, + "PIPETX03COMPLIANCE": { + "hide_name": 0, + "bits": [ 2967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24716.12-24716.30" + } + }, + "PIPETX03DATA": { + "hide_name": 0, + "bits": [ 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24717.19-24717.31" + } + }, + "PIPETX03DATAVALID": { + "hide_name": 0, + "bits": [ 3000 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24718.12-24718.29" + } + }, + "PIPETX03ELECIDLE": { + "hide_name": 0, + "bits": [ 3001 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24719.12-24719.28" + } + }, + "PIPETX03EQCOEFF": { + "hide_name": 0, + "bits": [ 6545, 6546, 6547, 6548, 6549, 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560, 6561, 6562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25184.18-25184.33" + } + }, + "PIPETX03EQCONTROL": { + "hide_name": 0, + "bits": [ 3002, 3003 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24720.18-24720.35" + } + }, + "PIPETX03EQDEEMPH": { + "hide_name": 0, + "bits": [ 3004, 3005, 3006, 3007, 3008, 3009 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24721.18-24721.34" + } + }, + "PIPETX03EQDONE": { + "hide_name": 0, + "bits": [ 6563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25185.11-25185.25" + } + }, + "PIPETX03POWERDOWN": { + "hide_name": 0, + "bits": [ 3010, 3011 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24722.18-24722.35" + } + }, + "PIPETX03STARTBLOCK": { + "hide_name": 0, + "bits": [ 3012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24723.12-24723.30" + } + }, + "PIPETX03SYNCHEADER": { + "hide_name": 0, + "bits": [ 3013, 3014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24724.18-24724.36" + } + }, + "PIPETX04CHARISK": { + "hide_name": 0, + "bits": [ 3015, 3016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24725.18-24725.33" + } + }, + "PIPETX04COMPLIANCE": { + "hide_name": 0, + "bits": [ 3017 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24726.12-24726.30" + } + }, + "PIPETX04DATA": { + "hide_name": 0, + "bits": [ 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24727.19-24727.31" + } + }, + "PIPETX04DATAVALID": { + "hide_name": 0, + "bits": [ 3050 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24728.12-24728.29" + } + }, + "PIPETX04ELECIDLE": { + "hide_name": 0, + "bits": [ 3051 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24729.12-24729.28" + } + }, + "PIPETX04EQCOEFF": { + "hide_name": 0, + "bits": [ 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25186.18-25186.33" + } + }, + "PIPETX04EQCONTROL": { + "hide_name": 0, + "bits": [ 3052, 3053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24730.18-24730.35" + } + }, + "PIPETX04EQDEEMPH": { + "hide_name": 0, + "bits": [ 3054, 3055, 3056, 3057, 3058, 3059 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24731.18-24731.34" + } + }, + "PIPETX04EQDONE": { + "hide_name": 0, + "bits": [ 6582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25187.11-25187.25" + } + }, + "PIPETX04POWERDOWN": { + "hide_name": 0, + "bits": [ 3060, 3061 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24732.18-24732.35" + } + }, + "PIPETX04STARTBLOCK": { + "hide_name": 0, + "bits": [ 3062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24733.12-24733.30" + } + }, + "PIPETX04SYNCHEADER": { + "hide_name": 0, + "bits": [ 3063, 3064 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24734.18-24734.36" + } + }, + "PIPETX05CHARISK": { + "hide_name": 0, + "bits": [ 3065, 3066 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24735.18-24735.33" + } + }, + "PIPETX05COMPLIANCE": { + "hide_name": 0, + "bits": [ 3067 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24736.12-24736.30" + } + }, + "PIPETX05DATA": { + "hide_name": 0, + "bits": [ 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24737.19-24737.31" + } + }, + "PIPETX05DATAVALID": { + "hide_name": 0, + "bits": [ 3100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24738.12-24738.29" + } + }, + "PIPETX05ELECIDLE": { + "hide_name": 0, + "bits": [ 3101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24739.12-24739.28" + } + }, + "PIPETX05EQCOEFF": { + "hide_name": 0, + "bits": [ 6583, 6584, 6585, 6586, 6587, 6588, 6589, 6590, 6591, 6592, 6593, 6594, 6595, 6596, 6597, 6598, 6599, 6600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25188.18-25188.33" + } + }, + "PIPETX05EQCONTROL": { + "hide_name": 0, + "bits": [ 3102, 3103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24740.18-24740.35" + } + }, + "PIPETX05EQDEEMPH": { + "hide_name": 0, + "bits": [ 3104, 3105, 3106, 3107, 3108, 3109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24741.18-24741.34" + } + }, + "PIPETX05EQDONE": { + "hide_name": 0, + "bits": [ 6601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25189.11-25189.25" + } + }, + "PIPETX05POWERDOWN": { + "hide_name": 0, + "bits": [ 3110, 3111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24742.18-24742.35" + } + }, + "PIPETX05STARTBLOCK": { + "hide_name": 0, + "bits": [ 3112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24743.12-24743.30" + } + }, + "PIPETX05SYNCHEADER": { + "hide_name": 0, + "bits": [ 3113, 3114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24744.18-24744.36" + } + }, + "PIPETX06CHARISK": { + "hide_name": 0, + "bits": [ 3115, 3116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24745.18-24745.33" + } + }, + "PIPETX06COMPLIANCE": { + "hide_name": 0, + "bits": [ 3117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24746.12-24746.30" + } + }, + "PIPETX06DATA": { + "hide_name": 0, + "bits": [ 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24747.19-24747.31" + } + }, + "PIPETX06DATAVALID": { + "hide_name": 0, + "bits": [ 3150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24748.12-24748.29" + } + }, + "PIPETX06ELECIDLE": { + "hide_name": 0, + "bits": [ 3151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24749.12-24749.28" + } + }, + "PIPETX06EQCOEFF": { + "hide_name": 0, + "bits": [ 6602, 6603, 6604, 6605, 6606, 6607, 6608, 6609, 6610, 6611, 6612, 6613, 6614, 6615, 6616, 6617, 6618, 6619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25190.18-25190.33" + } + }, + "PIPETX06EQCONTROL": { + "hide_name": 0, + "bits": [ 3152, 3153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24750.18-24750.35" + } + }, + "PIPETX06EQDEEMPH": { + "hide_name": 0, + "bits": [ 3154, 3155, 3156, 3157, 3158, 3159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24751.18-24751.34" + } + }, + "PIPETX06EQDONE": { + "hide_name": 0, + "bits": [ 6620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25191.11-25191.25" + } + }, + "PIPETX06POWERDOWN": { + "hide_name": 0, + "bits": [ 3160, 3161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24752.18-24752.35" + } + }, + "PIPETX06STARTBLOCK": { + "hide_name": 0, + "bits": [ 3162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24753.12-24753.30" + } + }, + "PIPETX06SYNCHEADER": { + "hide_name": 0, + "bits": [ 3163, 3164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24754.18-24754.36" + } + }, + "PIPETX07CHARISK": { + "hide_name": 0, + "bits": [ 3165, 3166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24755.18-24755.33" + } + }, + "PIPETX07COMPLIANCE": { + "hide_name": 0, + "bits": [ 3167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24756.12-24756.30" + } + }, + "PIPETX07DATA": { + "hide_name": 0, + "bits": [ 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24757.19-24757.31" + } + }, + "PIPETX07DATAVALID": { + "hide_name": 0, + "bits": [ 3200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24758.12-24758.29" + } + }, + "PIPETX07ELECIDLE": { + "hide_name": 0, + "bits": [ 3201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24759.12-24759.28" + } + }, + "PIPETX07EQCOEFF": { + "hide_name": 0, + "bits": [ 6621, 6622, 6623, 6624, 6625, 6626, 6627, 6628, 6629, 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25192.18-25192.33" + } + }, + "PIPETX07EQCONTROL": { + "hide_name": 0, + "bits": [ 3202, 3203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24760.18-24760.35" + } + }, + "PIPETX07EQDEEMPH": { + "hide_name": 0, + "bits": [ 3204, 3205, 3206, 3207, 3208, 3209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24761.18-24761.34" + } + }, + "PIPETX07EQDONE": { + "hide_name": 0, + "bits": [ 6639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25193.11-25193.25" + } + }, + "PIPETX07POWERDOWN": { + "hide_name": 0, + "bits": [ 3210, 3211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24762.18-24762.35" + } + }, + "PIPETX07STARTBLOCK": { + "hide_name": 0, + "bits": [ 3212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24763.12-24763.30" + } + }, + "PIPETX07SYNCHEADER": { + "hide_name": 0, + "bits": [ 3213, 3214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24764.18-24764.36" + } + }, + "PIPETX08CHARISK": { + "hide_name": 0, + "bits": [ 3215, 3216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24765.18-24765.33" + } + }, + "PIPETX08COMPLIANCE": { + "hide_name": 0, + "bits": [ 3217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24766.12-24766.30" + } + }, + "PIPETX08DATA": { + "hide_name": 0, + "bits": [ 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24767.19-24767.31" + } + }, + "PIPETX08DATAVALID": { + "hide_name": 0, + "bits": [ 3250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24768.12-24768.29" + } + }, + "PIPETX08ELECIDLE": { + "hide_name": 0, + "bits": [ 3251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24769.12-24769.28" + } + }, + "PIPETX08EQCOEFF": { + "hide_name": 0, + "bits": [ 6640, 6641, 6642, 6643, 6644, 6645, 6646, 6647, 6648, 6649, 6650, 6651, 6652, 6653, 6654, 6655, 6656, 6657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25194.18-25194.33" + } + }, + "PIPETX08EQCONTROL": { + "hide_name": 0, + "bits": [ 3252, 3253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24770.18-24770.35" + } + }, + "PIPETX08EQDEEMPH": { + "hide_name": 0, + "bits": [ 3254, 3255, 3256, 3257, 3258, 3259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24771.18-24771.34" + } + }, + "PIPETX08EQDONE": { + "hide_name": 0, + "bits": [ 6658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25195.11-25195.25" + } + }, + "PIPETX08POWERDOWN": { + "hide_name": 0, + "bits": [ 3260, 3261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24772.18-24772.35" + } + }, + "PIPETX08STARTBLOCK": { + "hide_name": 0, + "bits": [ 3262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24773.12-24773.30" + } + }, + "PIPETX08SYNCHEADER": { + "hide_name": 0, + "bits": [ 3263, 3264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24774.18-24774.36" + } + }, + "PIPETX09CHARISK": { + "hide_name": 0, + "bits": [ 3265, 3266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24775.18-24775.33" + } + }, + "PIPETX09COMPLIANCE": { + "hide_name": 0, + "bits": [ 3267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24776.12-24776.30" + } + }, + "PIPETX09DATA": { + "hide_name": 0, + "bits": [ 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24777.19-24777.31" + } + }, + "PIPETX09DATAVALID": { + "hide_name": 0, + "bits": [ 3300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24778.12-24778.29" + } + }, + "PIPETX09ELECIDLE": { + "hide_name": 0, + "bits": [ 3301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24779.12-24779.28" + } + }, + "PIPETX09EQCOEFF": { + "hide_name": 0, + "bits": [ 6659, 6660, 6661, 6662, 6663, 6664, 6665, 6666, 6667, 6668, 6669, 6670, 6671, 6672, 6673, 6674, 6675, 6676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25196.18-25196.33" + } + }, + "PIPETX09EQCONTROL": { + "hide_name": 0, + "bits": [ 3302, 3303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24780.18-24780.35" + } + }, + "PIPETX09EQDEEMPH": { + "hide_name": 0, + "bits": [ 3304, 3305, 3306, 3307, 3308, 3309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24781.18-24781.34" + } + }, + "PIPETX09EQDONE": { + "hide_name": 0, + "bits": [ 6677 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25197.11-25197.25" + } + }, + "PIPETX09POWERDOWN": { + "hide_name": 0, + "bits": [ 3310, 3311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24782.18-24782.35" + } + }, + "PIPETX09STARTBLOCK": { + "hide_name": 0, + "bits": [ 3312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24783.12-24783.30" + } + }, + "PIPETX09SYNCHEADER": { + "hide_name": 0, + "bits": [ 3313, 3314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24784.18-24784.36" + } + }, + "PIPETX10CHARISK": { + "hide_name": 0, + "bits": [ 3315, 3316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24785.18-24785.33" + } + }, + "PIPETX10COMPLIANCE": { + "hide_name": 0, + "bits": [ 3317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24786.12-24786.30" + } + }, + "PIPETX10DATA": { + "hide_name": 0, + "bits": [ 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24787.19-24787.31" + } + }, + "PIPETX10DATAVALID": { + "hide_name": 0, + "bits": [ 3350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24788.12-24788.29" + } + }, + "PIPETX10ELECIDLE": { + "hide_name": 0, + "bits": [ 3351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24789.12-24789.28" + } + }, + "PIPETX10EQCOEFF": { + "hide_name": 0, + "bits": [ 6678, 6679, 6680, 6681, 6682, 6683, 6684, 6685, 6686, 6687, 6688, 6689, 6690, 6691, 6692, 6693, 6694, 6695 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25198.18-25198.33" + } + }, + "PIPETX10EQCONTROL": { + "hide_name": 0, + "bits": [ 3352, 3353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24790.18-24790.35" + } + }, + "PIPETX10EQDEEMPH": { + "hide_name": 0, + "bits": [ 3354, 3355, 3356, 3357, 3358, 3359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24791.18-24791.34" + } + }, + "PIPETX10EQDONE": { + "hide_name": 0, + "bits": [ 6696 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25199.11-25199.25" + } + }, + "PIPETX10POWERDOWN": { + "hide_name": 0, + "bits": [ 3360, 3361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24792.18-24792.35" + } + }, + "PIPETX10STARTBLOCK": { + "hide_name": 0, + "bits": [ 3362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24793.12-24793.30" + } + }, + "PIPETX10SYNCHEADER": { + "hide_name": 0, + "bits": [ 3363, 3364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24794.18-24794.36" + } + }, + "PIPETX11CHARISK": { + "hide_name": 0, + "bits": [ 3365, 3366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24795.18-24795.33" + } + }, + "PIPETX11COMPLIANCE": { + "hide_name": 0, + "bits": [ 3367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24796.12-24796.30" + } + }, + "PIPETX11DATA": { + "hide_name": 0, + "bits": [ 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24797.19-24797.31" + } + }, + "PIPETX11DATAVALID": { + "hide_name": 0, + "bits": [ 3400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24798.12-24798.29" + } + }, + "PIPETX11ELECIDLE": { + "hide_name": 0, + "bits": [ 3401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24799.12-24799.28" + } + }, + "PIPETX11EQCOEFF": { + "hide_name": 0, + "bits": [ 6697, 6698, 6699, 6700, 6701, 6702, 6703, 6704, 6705, 6706, 6707, 6708, 6709, 6710, 6711, 6712, 6713, 6714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25200.18-25200.33" + } + }, + "PIPETX11EQCONTROL": { + "hide_name": 0, + "bits": [ 3402, 3403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24800.18-24800.35" + } + }, + "PIPETX11EQDEEMPH": { + "hide_name": 0, + "bits": [ 3404, 3405, 3406, 3407, 3408, 3409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24801.18-24801.34" + } + }, + "PIPETX11EQDONE": { + "hide_name": 0, + "bits": [ 6715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25201.11-25201.25" + } + }, + "PIPETX11POWERDOWN": { + "hide_name": 0, + "bits": [ 3410, 3411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24802.18-24802.35" + } + }, + "PIPETX11STARTBLOCK": { + "hide_name": 0, + "bits": [ 3412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24803.12-24803.30" + } + }, + "PIPETX11SYNCHEADER": { + "hide_name": 0, + "bits": [ 3413, 3414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24804.18-24804.36" + } + }, + "PIPETX12CHARISK": { + "hide_name": 0, + "bits": [ 3415, 3416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24805.18-24805.33" + } + }, + "PIPETX12COMPLIANCE": { + "hide_name": 0, + "bits": [ 3417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24806.12-24806.30" + } + }, + "PIPETX12DATA": { + "hide_name": 0, + "bits": [ 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24807.19-24807.31" + } + }, + "PIPETX12DATAVALID": { + "hide_name": 0, + "bits": [ 3450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24808.12-24808.29" + } + }, + "PIPETX12ELECIDLE": { + "hide_name": 0, + "bits": [ 3451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24809.12-24809.28" + } + }, + "PIPETX12EQCOEFF": { + "hide_name": 0, + "bits": [ 6716, 6717, 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25202.18-25202.33" + } + }, + "PIPETX12EQCONTROL": { + "hide_name": 0, + "bits": [ 3452, 3453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24810.18-24810.35" + } + }, + "PIPETX12EQDEEMPH": { + "hide_name": 0, + "bits": [ 3454, 3455, 3456, 3457, 3458, 3459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24811.18-24811.34" + } + }, + "PIPETX12EQDONE": { + "hide_name": 0, + "bits": [ 6734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25203.11-25203.25" + } + }, + "PIPETX12POWERDOWN": { + "hide_name": 0, + "bits": [ 3460, 3461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24812.18-24812.35" + } + }, + "PIPETX12STARTBLOCK": { + "hide_name": 0, + "bits": [ 3462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24813.12-24813.30" + } + }, + "PIPETX12SYNCHEADER": { + "hide_name": 0, + "bits": [ 3463, 3464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24814.18-24814.36" + } + }, + "PIPETX13CHARISK": { + "hide_name": 0, + "bits": [ 3465, 3466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24815.18-24815.33" + } + }, + "PIPETX13COMPLIANCE": { + "hide_name": 0, + "bits": [ 3467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24816.12-24816.30" + } + }, + "PIPETX13DATA": { + "hide_name": 0, + "bits": [ 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24817.19-24817.31" + } + }, + "PIPETX13DATAVALID": { + "hide_name": 0, + "bits": [ 3500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24818.12-24818.29" + } + }, + "PIPETX13ELECIDLE": { + "hide_name": 0, + "bits": [ 3501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24819.12-24819.28" + } + }, + "PIPETX13EQCOEFF": { + "hide_name": 0, + "bits": [ 6735, 6736, 6737, 6738, 6739, 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25204.18-25204.33" + } + }, + "PIPETX13EQCONTROL": { + "hide_name": 0, + "bits": [ 3502, 3503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24820.18-24820.35" + } + }, + "PIPETX13EQDEEMPH": { + "hide_name": 0, + "bits": [ 3504, 3505, 3506, 3507, 3508, 3509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24821.18-24821.34" + } + }, + "PIPETX13EQDONE": { + "hide_name": 0, + "bits": [ 6753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25205.11-25205.25" + } + }, + "PIPETX13POWERDOWN": { + "hide_name": 0, + "bits": [ 3510, 3511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24822.18-24822.35" + } + }, + "PIPETX13STARTBLOCK": { + "hide_name": 0, + "bits": [ 3512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24823.12-24823.30" + } + }, + "PIPETX13SYNCHEADER": { + "hide_name": 0, + "bits": [ 3513, 3514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24824.18-24824.36" + } + }, + "PIPETX14CHARISK": { + "hide_name": 0, + "bits": [ 3515, 3516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24825.18-24825.33" + } + }, + "PIPETX14COMPLIANCE": { + "hide_name": 0, + "bits": [ 3517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24826.12-24826.30" + } + }, + "PIPETX14DATA": { + "hide_name": 0, + "bits": [ 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24827.19-24827.31" + } + }, + "PIPETX14DATAVALID": { + "hide_name": 0, + "bits": [ 3550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24828.12-24828.29" + } + }, + "PIPETX14ELECIDLE": { + "hide_name": 0, + "bits": [ 3551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24829.12-24829.28" + } + }, + "PIPETX14EQCOEFF": { + "hide_name": 0, + "bits": [ 6754, 6755, 6756, 6757, 6758, 6759, 6760, 6761, 6762, 6763, 6764, 6765, 6766, 6767, 6768, 6769, 6770, 6771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25206.18-25206.33" + } + }, + "PIPETX14EQCONTROL": { + "hide_name": 0, + "bits": [ 3552, 3553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24830.18-24830.35" + } + }, + "PIPETX14EQDEEMPH": { + "hide_name": 0, + "bits": [ 3554, 3555, 3556, 3557, 3558, 3559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24831.18-24831.34" + } + }, + "PIPETX14EQDONE": { + "hide_name": 0, + "bits": [ 6772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25207.11-25207.25" + } + }, + "PIPETX14POWERDOWN": { + "hide_name": 0, + "bits": [ 3560, 3561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24832.18-24832.35" + } + }, + "PIPETX14STARTBLOCK": { + "hide_name": 0, + "bits": [ 3562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24833.12-24833.30" + } + }, + "PIPETX14SYNCHEADER": { + "hide_name": 0, + "bits": [ 3563, 3564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24834.18-24834.36" + } + }, + "PIPETX15CHARISK": { + "hide_name": 0, + "bits": [ 3565, 3566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24835.18-24835.33" + } + }, + "PIPETX15COMPLIANCE": { + "hide_name": 0, + "bits": [ 3567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24836.12-24836.30" + } + }, + "PIPETX15DATA": { + "hide_name": 0, + "bits": [ 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24837.19-24837.31" + } + }, + "PIPETX15DATAVALID": { + "hide_name": 0, + "bits": [ 3600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24838.12-24838.29" + } + }, + "PIPETX15ELECIDLE": { + "hide_name": 0, + "bits": [ 3601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24839.12-24839.28" + } + }, + "PIPETX15EQCOEFF": { + "hide_name": 0, + "bits": [ 6773, 6774, 6775, 6776, 6777, 6778, 6779, 6780, 6781, 6782, 6783, 6784, 6785, 6786, 6787, 6788, 6789, 6790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25208.18-25208.33" + } + }, + "PIPETX15EQCONTROL": { + "hide_name": 0, + "bits": [ 3602, 3603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24840.18-24840.35" + } + }, + "PIPETX15EQDEEMPH": { + "hide_name": 0, + "bits": [ 3604, 3605, 3606, 3607, 3608, 3609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24841.18-24841.34" + } + }, + "PIPETX15EQDONE": { + "hide_name": 0, + "bits": [ 6791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25209.11-25209.25" + } + }, + "PIPETX15POWERDOWN": { + "hide_name": 0, + "bits": [ 3610, 3611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24842.18-24842.35" + } + }, + "PIPETX15STARTBLOCK": { + "hide_name": 0, + "bits": [ 3612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24843.12-24843.30" + } + }, + "PIPETX15SYNCHEADER": { + "hide_name": 0, + "bits": [ 3613, 3614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24844.18-24844.36" + } + }, + "PIPETXDEEMPH": { + "hide_name": 0, + "bits": [ 3615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24845.12-24845.24" + } + }, + "PIPETXMARGIN": { + "hide_name": 0, + "bits": [ 3616, 3617, 3618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24846.18-24846.30" + } + }, + "PIPETXRATE": { + "hide_name": 0, + "bits": [ 3619, 3620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24847.18-24847.28" + } + }, + "PIPETXRCVRDET": { + "hide_name": 0, + "bits": [ 3621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24848.12-24848.25" + } + }, + "PIPETXRESET": { + "hide_name": 0, + "bits": [ 3622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24849.12-24849.23" + } + }, + "PIPETXSWING": { + "hide_name": 0, + "bits": [ 3623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24850.12-24850.23" + } + }, + "PLEQINPROGRESS": { + "hide_name": 0, + "bits": [ 3624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24851.12-24851.26" + } + }, + "PLEQPHASE": { + "hide_name": 0, + "bits": [ 3625, 3626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24852.18-24852.27" + } + }, + "PLEQRESETEIEOSCOUNT": { + "hide_name": 0, + "bits": [ 6792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25210.11-25210.30" + } + }, + "PLGEN2UPSTREAMPREFERDEEMPH": { + "hide_name": 0, + "bits": [ 6793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25211.11-25211.37" + } + }, + "PLGEN34EQMISMATCH": { + "hide_name": 0, + "bits": [ 3627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24853.12-24853.29" + } + }, + "PLGEN34REDOEQSPEED": { + "hide_name": 0, + "bits": [ 6794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25212.11-25212.29" + } + }, + "PLGEN34REDOEQUALIZATION": { + "hide_name": 0, + "bits": [ 6795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25213.11-25213.34" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 6796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25214.11-25214.17" + } + }, + "SAXISCCTDATA": { + "hide_name": 0, + "bits": [ 6797, 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810, 6811, 6812, 6813, 6814, 6815, 6816, 6817, 6818, 6819, 6820, 6821, 6822, 6823, 6824, 6825, 6826, 6827, 6828, 6829, 6830, 6831, 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849, 6850, 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864, 6865, 6866, 6867, 6868, 6869, 6870, 6871, 6872, 6873, 6874, 6875, 6876, 6877, 6878, 6879, 6880, 6881, 6882, 6883, 6884, 6885, 6886, 6887, 6888, 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906, 6907, 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925, 6926, 6927, 6928, 6929, 6930, 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942, 6943, 6944, 6945, 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962, 6963, 6964, 6965, 6966, 6967, 6968, 6969, 6970, 6971, 6972, 6973, 6974, 6975, 6976, 6977, 6978, 6979, 6980, 6981, 6982, 6983, 6984, 6985, 6986, 6987, 6988, 6989, 6990, 6991, 6992, 6993, 6994, 6995, 6996, 6997, 6998, 6999, 7000, 7001, 7002, 7003, 7004, 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012, 7013, 7014, 7015, 7016, 7017, 7018, 7019, 7020, 7021, 7022, 7023, 7024, 7025, 7026, 7027, 7028, 7029, 7030, 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25215.19-25215.31" + } + }, + "SAXISCCTKEEP": { + "hide_name": 0, + "bits": [ 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25216.17-25216.29" + } + }, + "SAXISCCTLAST": { + "hide_name": 0, + "bits": [ 7061 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25217.11-25217.23" + } + }, + "SAXISCCTREADY": { + "hide_name": 0, + "bits": [ 3628, 3629, 3630, 3631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24854.18-24854.31" + } + }, + "SAXISCCTUSER": { + "hide_name": 0, + "bits": [ 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080, 7081, 7082, 7083, 7084, 7085, 7086, 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25218.18-25218.30" + } + }, + "SAXISCCTVALID": { + "hide_name": 0, + "bits": [ 7095 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25219.11-25219.24" + } + }, + "SAXISRQTDATA": { + "hide_name": 0, + "bits": [ 7096, 7097, 7098, 7099, 7100, 7101, 7102, 7103, 7104, 7105, 7106, 7107, 7108, 7109, 7110, 7111, 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139, 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171, 7172, 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204, 7205, 7206, 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239, 7240, 7241, 7242, 7243, 7244, 7245, 7246, 7247, 7248, 7249, 7250, 7251, 7252, 7253, 7254, 7255, 7256, 7257, 7258, 7259, 7260, 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286, 7287, 7288, 7289, 7290, 7291, 7292, 7293, 7294, 7295, 7296, 7297, 7298, 7299, 7300, 7301, 7302, 7303, 7304, 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, 7315, 7316, 7317, 7318, 7319, 7320, 7321, 7322, 7323, 7324, 7325, 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342, 7343, 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25220.19-25220.31" + } + }, + "SAXISRQTKEEP": { + "hide_name": 0, + "bits": [ 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25221.17-25221.29" + } + }, + "SAXISRQTLAST": { + "hide_name": 0, + "bits": [ 7360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25222.11-25222.23" + } + }, + "SAXISRQTREADY": { + "hide_name": 0, + "bits": [ 3632, 3633, 3634, 3635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24855.18-24855.31" + } + }, + "SAXISRQTUSER": { + "hide_name": 0, + "bits": [ 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372, 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388, 7389, 7390, 7391, 7392, 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400, 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408, 7409, 7410, 7411, 7412, 7413, 7414, 7415, 7416, 7417, 7418, 7419, 7420, 7421, 7422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25223.18-25223.30" + } + }, + "SAXISRQTVALID": { + "hide_name": 0, + "bits": [ 7423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25224.11-25224.24" + } + }, + "USERCLK": { + "hide_name": 0, + "bits": [ 7424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25225.11-25225.18" + } + }, + "USERCLK2": { + "hide_name": 0, + "bits": [ 7425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25226.11-25226.19" + } + }, + "USERCLKEN": { + "hide_name": 0, + "bits": [ 7426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25227.11-25227.20" + } + }, + "USERSPAREIN": { + "hide_name": 0, + "bits": [ 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25228.18-25228.29" + } + }, + "USERSPAREOUT": { + "hide_name": 0, + "bits": [ 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:24856.19-24856.31" + } + } + } + }, + "PCIE4CE4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25231.1-26535.10" + }, + "parameter_default_values": { + "ARI_CAP_ENABLE": "FALSE", + "AUTO_FLR_RESPONSE": "FALSE", + "AXISTEN_IF_CCIX_RX_CREDIT_LIMIT": "00001000", + "AXISTEN_IF_CCIX_TX_CREDIT_LIMIT": "00001000", + "AXISTEN_IF_CCIX_TX_REGISTERED_TREADY": "FALSE", + "AXISTEN_IF_CC_ALIGNMENT_MODE": "00", + "AXISTEN_IF_COMPL_TIMEOUT_REG0": "101111101011110000100000", + "AXISTEN_IF_COMPL_TIMEOUT_REG1": "0010111110101111000010000000", + "AXISTEN_IF_CQ_ALIGNMENT_MODE": "00", + "AXISTEN_IF_CQ_EN_POISONED_MEM_WR": "FALSE", + "AXISTEN_IF_ENABLE_256_TAGS": "FALSE", + "AXISTEN_IF_ENABLE_CLIENT_TAG": "FALSE", + "AXISTEN_IF_ENABLE_INTERNAL_MSIX_TABLE": "FALSE", + "AXISTEN_IF_ENABLE_MESSAGE_RID_CHECK": "TRUE", + "AXISTEN_IF_ENABLE_MSG_ROUTE": "000000000000000000", + "AXISTEN_IF_ENABLE_RX_MSG_INTFC": "FALSE", + "AXISTEN_IF_EXT_512": "FALSE", + "AXISTEN_IF_EXT_512_CC_STRADDLE": "FALSE", + "AXISTEN_IF_EXT_512_CQ_STRADDLE": "FALSE", + "AXISTEN_IF_EXT_512_RC_STRADDLE": "FALSE", + "AXISTEN_IF_EXT_512_RQ_STRADDLE": "FALSE", + "AXISTEN_IF_LEGACY_MODE_ENABLE": "FALSE", + "AXISTEN_IF_MSIX_FROM_RAM_PIPELINE": "FALSE", + "AXISTEN_IF_MSIX_RX_PARITY_EN": "TRUE", + "AXISTEN_IF_MSIX_TO_RAM_PIPELINE": "FALSE", + "AXISTEN_IF_RC_ALIGNMENT_MODE": "00", + "AXISTEN_IF_RC_STRADDLE": "FALSE", + "AXISTEN_IF_RQ_ALIGNMENT_MODE": "00", + "AXISTEN_IF_RX_PARITY_EN": "TRUE", + "AXISTEN_IF_SIM_SHORT_CPL_TIMEOUT": "FALSE", + "AXISTEN_IF_TX_PARITY_EN": "TRUE", + "AXISTEN_IF_WIDTH": "10", + "CCIX_DIRECT_ATTACH_MODE": "FALSE", + "CCIX_ENABLE": "FALSE", + "CCIX_VENDOR_ID": "0000000000000000", + "CFG_BYPASS_MODE_ENABLE": "FALSE", + "CRM_CORE_CLK_FREQ_500": "TRUE", + "CRM_USER_CLK_FREQ": "10", + "DEBUG_AXI4ST_SPARE": "0000000000000000", + "DEBUG_AXIST_DISABLE_FEATURE_BIT": "00000000", + "DEBUG_CAR_SPARE": "0000", + "DEBUG_CFG_SPARE": "0000000000000000", + "DEBUG_LL_SPARE": "0000000000000000", + "DEBUG_PL_DISABLE_LES_UPDATE_ON_DEFRAMER_ERROR": "FALSE", + "DEBUG_PL_DISABLE_LES_UPDATE_ON_SKP_ERROR": "FALSE", + "DEBUG_PL_DISABLE_LES_UPDATE_ON_SKP_PARITY_ERROR": "FALSE", + "DEBUG_PL_DISABLE_REC_ENTRY_ON_DYNAMIC_DSKEW_FAIL": "FALSE", + "DEBUG_PL_DISABLE_REC_ENTRY_ON_RX_BUFFER_UNDER_OVER_FLOW": "FALSE", + "DEBUG_PL_DISABLE_SCRAMBLING": "FALSE", + "DEBUG_PL_SIM_RESET_LFSR": "FALSE", + "DEBUG_PL_SPARE": "0000000000000000", + "DEBUG_TL_DISABLE_FC_TIMEOUT": "FALSE", + "DEBUG_TL_DISABLE_RX_TLP_ORDER_CHECKS": "FALSE", + "DEBUG_TL_SPARE": "0000000000000000", + "DNSTREAM_LINK_NUM": "00000000", + "DSN_CAP_ENABLE": "FALSE", + "EXTENDED_CFG_EXTEND_INTERFACE_ENABLE": "FALSE", + "HEADER_TYPE_OVERRIDE": "FALSE", + "IS_SWITCH_PORT": "FALSE", + "LEGACY_CFG_EXTEND_INTERFACE_ENABLE": "FALSE", + "LL_ACK_TIMEOUT": "000000000", + "LL_ACK_TIMEOUT_EN": "FALSE", + "LL_ACK_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LL_DISABLE_SCHED_TX_NAK": "FALSE", + "LL_REPLAY_FROM_RAM_PIPELINE": "FALSE", + "LL_REPLAY_TIMEOUT": "000000000", + "LL_REPLAY_TIMEOUT_EN": "FALSE", + "LL_REPLAY_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LL_REPLAY_TO_RAM_PIPELINE": "FALSE", + "LL_RX_TLP_PARITY_GEN": "TRUE", + "LL_TX_TLP_PARITY_CHK": "TRUE", + "LL_USER_SPARE": "0000000000000000", + "LTR_TX_MESSAGE_MINIMUM_INTERVAL": "1001010000", + "LTR_TX_MESSAGE_ON_FUNC_POWER_STATE_CHANGE": "FALSE", + "LTR_TX_MESSAGE_ON_LTR_ENABLE": "FALSE", + "MCAP_CAP_NEXTPTR": "000000000000", + "MCAP_CONFIGURE_OVERRIDE": "FALSE", + "MCAP_ENABLE": "FALSE", + "MCAP_EOS_DESIGN_SWITCH": "FALSE", + "MCAP_FPGA_BITSTREAM_VERSION": "00000000000000000000000000000000", + "MCAP_GATE_IO_ENABLE_DESIGN_SWITCH": "FALSE", + "MCAP_GATE_MEM_ENABLE_DESIGN_SWITCH": "FALSE", + "MCAP_INPUT_GATE_DESIGN_SWITCH": "FALSE", + "MCAP_INTERRUPT_ON_MCAP_EOS": "FALSE", + "MCAP_INTERRUPT_ON_MCAP_ERROR": "FALSE", + "MCAP_VSEC_ID": "0000000000000000", + "MCAP_VSEC_LEN": "000000101100", + "MCAP_VSEC_REV": "0000", + "PF0_AER_CAP_ECRC_GEN_AND_CHECK_CAPABLE": "FALSE", + "PF0_AER_CAP_NEXTPTR": "000000000000", + "PF0_ARI_CAP_NEXTPTR": "000000000000", + "PF0_ARI_CAP_NEXT_FUNC": "00000000", + "PF0_ARI_CAP_VER": "0001", + "PF0_ATS_CAP_INV_QUEUE_DEPTH": "00000", + "PF0_ATS_CAP_NEXTPTR": "000000000000", + "PF0_ATS_CAP_ON": "FALSE", + "PF0_BAR0_APERTURE_SIZE": "000011", + "PF0_BAR0_CONTROL": "100", + "PF0_BAR1_APERTURE_SIZE": "00000", + "PF0_BAR1_CONTROL": "000", + "PF0_BAR2_APERTURE_SIZE": "000011", + "PF0_BAR2_CONTROL": "100", + "PF0_BAR3_APERTURE_SIZE": "00011", + "PF0_BAR3_CONTROL": "000", + "PF0_BAR4_APERTURE_SIZE": "000011", + "PF0_BAR4_CONTROL": "100", + "PF0_BAR5_APERTURE_SIZE": "00011", + "PF0_BAR5_CONTROL": "000", + "PF0_CAPABILITY_POINTER": "10000000", + "PF0_CLASS_CODE": "000000000000000000000000", + "PF0_DEV_CAP2_128B_CAS_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_32B_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_64B_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_ARI_FORWARD_ENABLE": "FALSE", + "PF0_DEV_CAP2_CPL_TIMEOUT_DISABLE": "TRUE", + "PF0_DEV_CAP2_LTR_SUPPORT": "TRUE", + "PF0_DEV_CAP2_OBFF_SUPPORT": "00", + "PF0_DEV_CAP2_TPH_COMPLETER_SUPPORT": "FALSE", + "PF0_DEV_CAP_ENDPOINT_L0S_LATENCY": "00000000000000000000000000000000", + "PF0_DEV_CAP_ENDPOINT_L1_LATENCY": "00000000000000000000000000000000", + "PF0_DEV_CAP_EXT_TAG_SUPPORTED": "TRUE", + "PF0_DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE": "TRUE", + "PF0_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF0_DSN_CAP_NEXTPTR": "000100001100", + "PF0_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF0_EXPANSION_ROM_ENABLE": "FALSE", + "PF0_INTERRUPT_PIN": "001", + "PF0_LINK_CAP_ASPM_SUPPORT": "00000000000000000000000000000000", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN4": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN4": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN4": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN4": "00000000000000000000000000000111", + "PF0_LINK_CONTROL_RCB": "0", + "PF0_LINK_STATUS_SLOT_CLOCK_CONFIG": "TRUE", + "PF0_LTR_CAP_MAX_NOSNOOP_LAT": "0000000000", + "PF0_LTR_CAP_MAX_SNOOP_LAT": "0000000000", + "PF0_LTR_CAP_NEXTPTR": "000000000000", + "PF0_LTR_CAP_VER": "0001", + "PF0_MSIX_CAP_NEXTPTR": "00000000", + "PF0_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF0_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF0_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF0_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF0_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF0_MSIX_VECTOR_COUNT": "000100", + "PF0_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF0_MSI_CAP_NEXTPTR": "00000000", + "PF0_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF0_PCIE_CAP_NEXTPTR": "00000000", + "PF0_PM_CAP_ID": "00000001", + "PF0_PM_CAP_NEXTPTR": "00000000", + "PF0_PM_CAP_PMESUPPORT_D0": "TRUE", + "PF0_PM_CAP_PMESUPPORT_D1": "TRUE", + "PF0_PM_CAP_PMESUPPORT_D3HOT": "TRUE", + "PF0_PM_CAP_SUPP_D1_STATE": "TRUE", + "PF0_PM_CAP_VER_ID": "011", + "PF0_PM_CSR_NOSOFTRESET": "TRUE", + "PF0_PRI_CAP_NEXTPTR": "000000000000", + "PF0_PRI_CAP_ON": "FALSE", + "PF0_PRI_OST_PR_CAPACITY": "00000000000000000000000000000000", + "PF0_SECONDARY_PCIE_CAP_NEXTPTR": "000000000000", + "PF0_SRIOV_ARI_CAPBL_HIER_PRESERVED": "FALSE", + "PF0_SRIOV_BAR0_APERTURE_SIZE": "000011", + "PF0_SRIOV_BAR0_CONTROL": "100", + "PF0_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF0_SRIOV_BAR1_CONTROL": "000", + "PF0_SRIOV_BAR2_APERTURE_SIZE": "000011", + "PF0_SRIOV_BAR2_CONTROL": "100", + "PF0_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR3_CONTROL": "000", + "PF0_SRIOV_BAR4_APERTURE_SIZE": "000011", + "PF0_SRIOV_BAR4_CONTROL": "100", + "PF0_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR5_CONTROL": "000", + "PF0_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF0_SRIOV_CAP_NEXTPTR": "000000000000", + "PF0_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF0_SRIOV_CAP_VER": "0001", + "PF0_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF0_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF0_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF0_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF0_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "PF0_TPHR_CAP_ENABLE": "FALSE", + "PF0_TPHR_CAP_INT_VEC_MODE": "TRUE", + "PF0_TPHR_CAP_NEXTPTR": "000000000000", + "PF0_TPHR_CAP_ST_MODE_SEL": "000", + "PF0_TPHR_CAP_ST_TABLE_LOC": "00", + "PF0_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "PF0_TPHR_CAP_VER": "0001", + "PF0_VC_ARB_CAPABILITY": "0000", + "PF0_VC_ARB_TBL_OFFSET": "00000000", + "PF0_VC_CAP_ENABLE": "FALSE", + "PF0_VC_CAP_NEXTPTR": "000000000000", + "PF0_VC_CAP_VER": "0001", + "PF0_VC_EXTENDED_COUNT": "FALSE", + "PF0_VC_LOW_PRIORITY_EXTENDED_COUNT": "FALSE", + "PF1_AER_CAP_NEXTPTR": "000000000000", + "PF1_ARI_CAP_NEXTPTR": "000000000000", + "PF1_ARI_CAP_NEXT_FUNC": "00000000", + "PF1_ATS_CAP_INV_QUEUE_DEPTH": "00000", + "PF1_ATS_CAP_NEXTPTR": "000000000000", + "PF1_ATS_CAP_ON": "FALSE", + "PF1_BAR0_APERTURE_SIZE": "000011", + "PF1_BAR0_CONTROL": "100", + "PF1_BAR1_APERTURE_SIZE": "00000", + "PF1_BAR1_CONTROL": "000", + "PF1_BAR2_APERTURE_SIZE": "000011", + "PF1_BAR2_CONTROL": "100", + "PF1_BAR3_APERTURE_SIZE": "00011", + "PF1_BAR3_CONTROL": "000", + "PF1_BAR4_APERTURE_SIZE": "000011", + "PF1_BAR4_CONTROL": "100", + "PF1_BAR5_APERTURE_SIZE": "00011", + "PF1_BAR5_CONTROL": "000", + "PF1_CAPABILITY_POINTER": "10000000", + "PF1_CLASS_CODE": "000000000000000000000000", + "PF1_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF1_DSN_CAP_NEXTPTR": "000100001100", + "PF1_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF1_EXPANSION_ROM_ENABLE": "FALSE", + "PF1_INTERRUPT_PIN": "001", + "PF1_MSIX_CAP_NEXTPTR": "00000000", + "PF1_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF1_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF1_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF1_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF1_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF1_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF1_MSI_CAP_NEXTPTR": "00000000", + "PF1_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF1_PCIE_CAP_NEXTPTR": "00000000", + "PF1_PM_CAP_NEXTPTR": "00000000", + "PF1_PRI_CAP_NEXTPTR": "000000000000", + "PF1_PRI_CAP_ON": "FALSE", + "PF1_PRI_OST_PR_CAPACITY": "00000000000000000000000000000000", + "PF1_SRIOV_ARI_CAPBL_HIER_PRESERVED": "FALSE", + "PF1_SRIOV_BAR0_APERTURE_SIZE": "000011", + "PF1_SRIOV_BAR0_CONTROL": "100", + "PF1_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF1_SRIOV_BAR1_CONTROL": "000", + "PF1_SRIOV_BAR2_APERTURE_SIZE": "000011", + "PF1_SRIOV_BAR2_CONTROL": "100", + "PF1_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR3_CONTROL": "000", + "PF1_SRIOV_BAR4_APERTURE_SIZE": "000011", + "PF1_SRIOV_BAR4_CONTROL": "100", + "PF1_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR5_CONTROL": "000", + "PF1_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF1_SRIOV_CAP_NEXTPTR": "000000000000", + "PF1_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF1_SRIOV_CAP_VER": "0001", + "PF1_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF1_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF1_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF1_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF1_TPHR_CAP_NEXTPTR": "000000000000", + "PF1_TPHR_CAP_ST_MODE_SEL": "000", + "PF2_AER_CAP_NEXTPTR": "000000000000", + "PF2_ARI_CAP_NEXTPTR": "000000000000", + "PF2_ARI_CAP_NEXT_FUNC": "00000000", + "PF2_ATS_CAP_INV_QUEUE_DEPTH": "00000", + "PF2_ATS_CAP_NEXTPTR": "000000000000", + "PF2_ATS_CAP_ON": "FALSE", + "PF2_BAR0_APERTURE_SIZE": "000011", + "PF2_BAR0_CONTROL": "100", + "PF2_BAR1_APERTURE_SIZE": "00000", + "PF2_BAR1_CONTROL": "000", + "PF2_BAR2_APERTURE_SIZE": "000011", + "PF2_BAR2_CONTROL": "100", + "PF2_BAR3_APERTURE_SIZE": "00011", + "PF2_BAR3_CONTROL": "000", + "PF2_BAR4_APERTURE_SIZE": "000011", + "PF2_BAR4_CONTROL": "100", + "PF2_BAR5_APERTURE_SIZE": "00011", + "PF2_BAR5_CONTROL": "000", + "PF2_CAPABILITY_POINTER": "10000000", + "PF2_CLASS_CODE": "000000000000000000000000", + "PF2_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF2_DSN_CAP_NEXTPTR": "000100001100", + "PF2_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF2_EXPANSION_ROM_ENABLE": "FALSE", + "PF2_INTERRUPT_PIN": "001", + "PF2_MSIX_CAP_NEXTPTR": "00000000", + "PF2_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF2_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF2_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF2_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF2_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF2_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF2_MSI_CAP_NEXTPTR": "00000000", + "PF2_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF2_PCIE_CAP_NEXTPTR": "00000000", + "PF2_PM_CAP_NEXTPTR": "00000000", + "PF2_PRI_CAP_NEXTPTR": "000000000000", + "PF2_PRI_CAP_ON": "FALSE", + "PF2_PRI_OST_PR_CAPACITY": "00000000000000000000000000000000", + "PF2_SRIOV_ARI_CAPBL_HIER_PRESERVED": "FALSE", + "PF2_SRIOV_BAR0_APERTURE_SIZE": "000011", + "PF2_SRIOV_BAR0_CONTROL": "100", + "PF2_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF2_SRIOV_BAR1_CONTROL": "000", + "PF2_SRIOV_BAR2_APERTURE_SIZE": "000011", + "PF2_SRIOV_BAR2_CONTROL": "100", + "PF2_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR3_CONTROL": "000", + "PF2_SRIOV_BAR4_APERTURE_SIZE": "000011", + "PF2_SRIOV_BAR4_CONTROL": "100", + "PF2_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR5_CONTROL": "000", + "PF2_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF2_SRIOV_CAP_NEXTPTR": "000000000000", + "PF2_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF2_SRIOV_CAP_VER": "0001", + "PF2_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF2_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF2_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF2_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF2_TPHR_CAP_NEXTPTR": "000000000000", + "PF2_TPHR_CAP_ST_MODE_SEL": "000", + "PF3_AER_CAP_NEXTPTR": "000000000000", + "PF3_ARI_CAP_NEXTPTR": "000000000000", + "PF3_ARI_CAP_NEXT_FUNC": "00000000", + "PF3_ATS_CAP_INV_QUEUE_DEPTH": "00000", + "PF3_ATS_CAP_NEXTPTR": "000000000000", + "PF3_ATS_CAP_ON": "FALSE", + "PF3_BAR0_APERTURE_SIZE": "000011", + "PF3_BAR0_CONTROL": "100", + "PF3_BAR1_APERTURE_SIZE": "00000", + "PF3_BAR1_CONTROL": "000", + "PF3_BAR2_APERTURE_SIZE": "000011", + "PF3_BAR2_CONTROL": "100", + "PF3_BAR3_APERTURE_SIZE": "00011", + "PF3_BAR3_CONTROL": "000", + "PF3_BAR4_APERTURE_SIZE": "000011", + "PF3_BAR4_CONTROL": "100", + "PF3_BAR5_APERTURE_SIZE": "00011", + "PF3_BAR5_CONTROL": "000", + "PF3_CAPABILITY_POINTER": "10000000", + "PF3_CLASS_CODE": "000000000000000000000000", + "PF3_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF3_DSN_CAP_NEXTPTR": "000100001100", + "PF3_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF3_EXPANSION_ROM_ENABLE": "FALSE", + "PF3_INTERRUPT_PIN": "001", + "PF3_MSIX_CAP_NEXTPTR": "00000000", + "PF3_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF3_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF3_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF3_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF3_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF3_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF3_MSI_CAP_NEXTPTR": "00000000", + "PF3_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF3_PCIE_CAP_NEXTPTR": "00000000", + "PF3_PM_CAP_NEXTPTR": "00000000", + "PF3_PRI_CAP_NEXTPTR": "000000000000", + "PF3_PRI_CAP_ON": "FALSE", + "PF3_PRI_OST_PR_CAPACITY": "00000000000000000000000000000000", + "PF3_SRIOV_ARI_CAPBL_HIER_PRESERVED": "FALSE", + "PF3_SRIOV_BAR0_APERTURE_SIZE": "000011", + "PF3_SRIOV_BAR0_CONTROL": "100", + "PF3_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF3_SRIOV_BAR1_CONTROL": "000", + "PF3_SRIOV_BAR2_APERTURE_SIZE": "000011", + "PF3_SRIOV_BAR2_CONTROL": "100", + "PF3_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR3_CONTROL": "000", + "PF3_SRIOV_BAR4_APERTURE_SIZE": "000011", + "PF3_SRIOV_BAR4_CONTROL": "100", + "PF3_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR5_CONTROL": "000", + "PF3_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF3_SRIOV_CAP_NEXTPTR": "000000000000", + "PF3_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF3_SRIOV_CAP_VER": "0001", + "PF3_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF3_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF3_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF3_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF3_TPHR_CAP_NEXTPTR": "000000000000", + "PF3_TPHR_CAP_ST_MODE_SEL": "000", + "PL_CFG_STATE_ROBUSTNESS_ENABLE": "TRUE", + "PL_CTRL_SKP_GEN_ENABLE": "FALSE", + "PL_CTRL_SKP_PARITY_AND_CRC_CHECK_DISABLE": "TRUE", + "PL_DEEMPH_SOURCE_SELECT": "TRUE", + "PL_DESKEW_ON_SKIP_IN_GEN12": "FALSE", + "PL_DISABLE_AUTO_EQ_SPEED_CHANGE_TO_GEN3": "FALSE", + "PL_DISABLE_AUTO_EQ_SPEED_CHANGE_TO_GEN4": "FALSE", + "PL_DISABLE_AUTO_SPEED_CHANGE_TO_GEN2": "FALSE", + "PL_DISABLE_DC_BALANCE": "FALSE", + "PL_DISABLE_EI_INFER_IN_L0": "FALSE", + "PL_DISABLE_LANE_REVERSAL": "FALSE", + "PL_DISABLE_LFSR_UPDATE_ON_SKP": "00", + "PL_DISABLE_RETRAIN_ON_EB_ERROR": "FALSE", + "PL_DISABLE_RETRAIN_ON_FRAMING_ERROR": "FALSE", + "PL_DISABLE_RETRAIN_ON_SPECIFIC_FRAMING_ERROR": "0000000000000000", + "PL_DISABLE_UPCONFIG_CAPABLE": "FALSE", + "PL_EQ_ADAPT_DISABLE_COEFF_CHECK": "00", + "PL_EQ_ADAPT_DISABLE_PRESET_CHECK": "00", + "PL_EQ_ADAPT_ITER_COUNT": "00010", + "PL_EQ_ADAPT_REJECT_RETRY_COUNT": "01", + "PL_EQ_BYPASS_PHASE23": "00", + "PL_EQ_DEFAULT_RX_PRESET_HINT": "110011", + "PL_EQ_DEFAULT_TX_PRESET": "01000100", + "PL_EQ_DISABLE_MISMATCH_CHECK": "TRUE", + "PL_EQ_RX_ADAPT_EQ_PHASE0": "00", + "PL_EQ_RX_ADAPT_EQ_PHASE1": "00", + "PL_EQ_SHORT_ADAPT_PHASE": "FALSE", + "PL_EQ_TX_8G_EQ_TS2_ENABLE": "FALSE", + "PL_EXIT_LOOPBACK_ON_EI_ENTRY": "TRUE", + "PL_INFER_EI_DISABLE_LPBK_ACTIVE": "TRUE", + "PL_INFER_EI_DISABLE_REC_RC": "FALSE", + "PL_INFER_EI_DISABLE_REC_SPD": "FALSE", + "PL_LANE0_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE10_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE11_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE12_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE13_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE14_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE15_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE1_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE2_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE3_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE4_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE5_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE6_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE7_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE8_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LANE9_EQ_CONTROL": "00000000000000000011111100000000", + "PL_LINK_CAP_MAX_LINK_SPEED": "0100", + "PL_LINK_CAP_MAX_LINK_WIDTH": "01000", + "PL_N_FTS": "00000000000000000000000011111111", + "PL_QUIESCE_GUARANTEE_DISABLE": "FALSE", + "PL_REDO_EQ_SOURCE_SELECT": "TRUE", + "PL_REPORT_ALL_PHY_ERRORS": "00000000", + "PL_RX_ADAPT_TIMER_CLWS_CLOBBER_TX_TS": "00", + "PL_RX_ADAPT_TIMER_CLWS_GEN3": "0000", + "PL_RX_ADAPT_TIMER_CLWS_GEN4": "0000", + "PL_RX_ADAPT_TIMER_RRL_CLOBBER_TX_TS": "00", + "PL_RX_ADAPT_TIMER_RRL_GEN3": "0000", + "PL_RX_ADAPT_TIMER_RRL_GEN4": "0000", + "PL_RX_L0S_EXIT_TO_RECOVERY": "00", + "PL_SIM_FAST_LINK_TRAINING": "00", + "PL_SRIS_ENABLE": "FALSE", + "PL_SRIS_SKPOS_GEN_SPD_VEC": "0000000", + "PL_SRIS_SKPOS_REC_SPD_VEC": "0000000", + "PL_UPSTREAM_FACING": "TRUE", + "PL_USER_SPARE": "0000000000000000", + "PL_USER_SPARE2": "0000000000000000", + "PM_ASPML0S_TIMEOUT": "0001010100000000", + "PM_ASPML1_ENTRY_DELAY": "00000000001111101000", + "PM_ENABLE_L23_ENTRY": "FALSE", + "PM_ENABLE_SLOT_POWER_CAPTURE": "TRUE", + "PM_L1_REENTRY_DELAY": "00000000000000000000000100000000", + "PM_PME_SERVICE_TIMEOUT_DELAY": "00000000000000000000", + "PM_PME_TURNOFF_ACK_DELAY": "0000000100000000", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "SIM_JTAG_IDCODE": "00000000000000000000000000000000", + "SIM_VERSION": "1.0", + "SPARE_BIT0": "FALSE", + "SPARE_BIT1": "00000000000000000000000000000000", + "SPARE_BIT2": "00000000000000000000000000000000", + "SPARE_BIT3": "FALSE", + "SPARE_BIT4": "00000000000000000000000000000000", + "SPARE_BIT5": "00000000000000000000000000000000", + "SPARE_BIT6": "00000000000000000000000000000000", + "SPARE_BIT7": "00000000000000000000000000000000", + "SPARE_BIT8": "00000000000000000000000000000000", + "SPARE_BYTE0": "00000000", + "SPARE_BYTE1": "00000000", + "SPARE_BYTE2": "00000000", + "SPARE_BYTE3": "00000000", + "SPARE_WORD0": "00000000000000000000000000000000", + "SPARE_WORD1": "00000000000000000000000000000000", + "SPARE_WORD2": "00000000000000000000000000000000", + "SPARE_WORD3": "00000000000000000000000000000000", + "SRIOV_CAP_ENABLE": "0000", + "TL2CFG_IF_PARITY_CHK": "TRUE", + "TL_COMPLETION_RAM_NUM_TLPS": "00", + "TL_COMPLETION_RAM_SIZE": "01", + "TL_CREDITS_CD": "000000000000", + "TL_CREDITS_CD_VC1": "000000000000", + "TL_CREDITS_CH": "00000000", + "TL_CREDITS_CH_VC1": "00000000", + "TL_CREDITS_NPD": "000000000100", + "TL_CREDITS_NPD_VC1": "000000000000", + "TL_CREDITS_NPH": "00100000", + "TL_CREDITS_NPH_VC1": "00000001", + "TL_CREDITS_PD": "000011100000", + "TL_CREDITS_PD_VC1": "001111100000", + "TL_CREDITS_PH": "00100000", + "TL_CREDITS_PH_VC1": "00100000", + "TL_FC_UPDATE_MIN_INTERVAL_TIME": "00010", + "TL_FC_UPDATE_MIN_INTERVAL_TIME_VC1": "00010", + "TL_FC_UPDATE_MIN_INTERVAL_TLP_COUNT": "01000", + "TL_FC_UPDATE_MIN_INTERVAL_TLP_COUNT_VC1": "01000", + "TL_FEATURE_ENABLE_FC_SCALING": "FALSE", + "TL_PF_ENABLE_REG": "00", + "TL_POSTED_RAM_SIZE": "0", + "TL_RX_COMPLETION_FROM_RAM_READ_PIPELINE": "FALSE", + "TL_RX_COMPLETION_TO_RAM_READ_PIPELINE": "FALSE", + "TL_RX_COMPLETION_TO_RAM_WRITE_PIPELINE": "FALSE", + "TL_RX_POSTED_FROM_RAM_READ_PIPELINE": "FALSE", + "TL_RX_POSTED_TO_RAM_READ_PIPELINE": "FALSE", + "TL_RX_POSTED_TO_RAM_WRITE_PIPELINE": "FALSE", + "TL_TX_MUX_STRICT_PRIORITY": "TRUE", + "TL_TX_TLP_STRADDLE_ENABLE": "FALSE", + "TL_TX_TLP_TERMINATE_PARITY": "FALSE", + "TL_USER_SPARE": "0000000000000000", + "TPH_FROM_RAM_PIPELINE": "FALSE", + "TPH_TO_RAM_PIPELINE": "FALSE", + "VF0_CAPABILITY_POINTER": "10000000", + "VFG0_ARI_CAP_NEXTPTR": "000000000000", + "VFG0_ATS_CAP_INV_QUEUE_DEPTH": "00000", + "VFG0_ATS_CAP_NEXTPTR": "000000000000", + "VFG0_ATS_CAP_ON": "FALSE", + "VFG0_MSIX_CAP_NEXTPTR": "00000000", + "VFG0_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VFG0_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VFG0_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VFG0_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VFG0_MSIX_CAP_TABLE_SIZE": "00000000000", + "VFG0_PCIE_CAP_NEXTPTR": "00000000", + "VFG0_TPHR_CAP_NEXTPTR": "000000000000", + "VFG0_TPHR_CAP_ST_MODE_SEL": "000", + "VFG1_ARI_CAP_NEXTPTR": "000000000000", + "VFG1_ATS_CAP_INV_QUEUE_DEPTH": "00000", + "VFG1_ATS_CAP_NEXTPTR": "000000000000", + "VFG1_ATS_CAP_ON": "FALSE", + "VFG1_MSIX_CAP_NEXTPTR": "00000000", + "VFG1_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VFG1_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VFG1_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VFG1_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VFG1_MSIX_CAP_TABLE_SIZE": "00000000000", + "VFG1_PCIE_CAP_NEXTPTR": "00000000", + "VFG1_TPHR_CAP_NEXTPTR": "000000000000", + "VFG1_TPHR_CAP_ST_MODE_SEL": "000", + "VFG2_ARI_CAP_NEXTPTR": "000000000000", + "VFG2_ATS_CAP_INV_QUEUE_DEPTH": "00000", + "VFG2_ATS_CAP_NEXTPTR": "000000000000", + "VFG2_ATS_CAP_ON": "FALSE", + "VFG2_MSIX_CAP_NEXTPTR": "00000000", + "VFG2_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VFG2_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VFG2_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VFG2_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VFG2_MSIX_CAP_TABLE_SIZE": "00000000000", + "VFG2_PCIE_CAP_NEXTPTR": "00000000", + "VFG2_TPHR_CAP_NEXTPTR": "000000000000", + "VFG2_TPHR_CAP_ST_MODE_SEL": "000", + "VFG3_ARI_CAP_NEXTPTR": "000000000000", + "VFG3_ATS_CAP_INV_QUEUE_DEPTH": "00000", + "VFG3_ATS_CAP_NEXTPTR": "000000000000", + "VFG3_ATS_CAP_ON": "FALSE", + "VFG3_MSIX_CAP_NEXTPTR": "00000000", + "VFG3_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VFG3_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VFG3_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VFG3_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VFG3_MSIX_CAP_TABLE_SIZE": "00000000000", + "VFG3_PCIE_CAP_NEXTPTR": "00000000", + "VFG3_TPHR_CAP_NEXTPTR": "000000000000", + "VFG3_TPHR_CAP_ST_MODE_SEL": "000" + }, + "ports": { + "AXIUSEROUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "CCIXTXCREDIT": { + "direction": "output", + "bits": [ 10 ] + }, + "CFGBUSNUMBER": { + "direction": "output", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18 ] + }, + "CFGCURRENTSPEED": { + "direction": "output", + "bits": [ 19, 20 ] + }, + "CFGERRCOROUT": { + "direction": "output", + "bits": [ 21 ] + }, + "CFGERRFATALOUT": { + "direction": "output", + "bits": [ 22 ] + }, + "CFGERRNONFATALOUT": { + "direction": "output", + "bits": [ 23 ] + }, + "CFGEXTFUNCTIONNUMBER": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "CFGEXTREADRECEIVED": { + "direction": "output", + "bits": [ 32 ] + }, + "CFGEXTREGISTERNUMBER": { + "direction": "output", + "bits": [ 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ] + }, + "CFGEXTWRITEBYTEENABLE": { + "direction": "output", + "bits": [ 43, 44, 45, 46 ] + }, + "CFGEXTWRITEDATA": { + "direction": "output", + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ] + }, + "CFGEXTWRITERECEIVED": { + "direction": "output", + "bits": [ 79 ] + }, + "CFGFCCPLD": { + "direction": "output", + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91 ] + }, + "CFGFCCPLH": { + "direction": "output", + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "CFGFCNPD": { + "direction": "output", + "bits": [ 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111 ] + }, + "CFGFCNPH": { + "direction": "output", + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119 ] + }, + "CFGFCPD": { + "direction": "output", + "bits": [ 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131 ] + }, + "CFGFCPH": { + "direction": "output", + "bits": [ 132, 133, 134, 135, 136, 137, 138, 139 ] + }, + "CFGFLRINPROCESS": { + "direction": "output", + "bits": [ 140, 141, 142, 143 ] + }, + "CFGFUNCTIONPOWERSTATE": { + "direction": "output", + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155 ] + }, + "CFGFUNCTIONSTATUS": { + "direction": "output", + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171 ] + }, + "CFGHOTRESETOUT": { + "direction": "output", + "bits": [ 172 ] + }, + "CFGINTERRUPTMSIDATA": { + "direction": "output", + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ] + }, + "CFGINTERRUPTMSIENABLE": { + "direction": "output", + "bits": [ 205, 206, 207, 208 ] + }, + "CFGINTERRUPTMSIFAIL": { + "direction": "output", + "bits": [ 209 ] + }, + "CFGINTERRUPTMSIMASKUPDATE": { + "direction": "output", + "bits": [ 210 ] + }, + "CFGINTERRUPTMSIMMENABLE": { + "direction": "output", + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222 ] + }, + "CFGINTERRUPTMSISENT": { + "direction": "output", + "bits": [ 223 ] + }, + "CFGINTERRUPTMSIXENABLE": { + "direction": "output", + "bits": [ 224, 225, 226, 227 ] + }, + "CFGINTERRUPTMSIXMASK": { + "direction": "output", + "bits": [ 228, 229, 230, 231 ] + }, + "CFGINTERRUPTMSIXVECPENDINGSTATUS": { + "direction": "output", + "bits": [ 232 ] + }, + "CFGINTERRUPTSENT": { + "direction": "output", + "bits": [ 233 ] + }, + "CFGLINKPOWERSTATE": { + "direction": "output", + "bits": [ 234, 235 ] + }, + "CFGLOCALERROROUT": { + "direction": "output", + "bits": [ 236, 237, 238, 239, 240 ] + }, + "CFGLOCALERRORVALID": { + "direction": "output", + "bits": [ 241 ] + }, + "CFGLTRENABLE": { + "direction": "output", + "bits": [ 242 ] + }, + "CFGLTSSMSTATE": { + "direction": "output", + "bits": [ 243, 244, 245, 246, 247, 248 ] + }, + "CFGMAXPAYLOAD": { + "direction": "output", + "bits": [ 249, 250 ] + }, + "CFGMAXREADREQ": { + "direction": "output", + "bits": [ 251, 252, 253 ] + }, + "CFGMGMTREADDATA": { + "direction": "output", + "bits": [ 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285 ] + }, + "CFGMGMTREADWRITEDONE": { + "direction": "output", + "bits": [ 286 ] + }, + "CFGMSGRECEIVED": { + "direction": "output", + "bits": [ 287 ] + }, + "CFGMSGRECEIVEDDATA": { + "direction": "output", + "bits": [ 288, 289, 290, 291, 292, 293, 294, 295 ] + }, + "CFGMSGRECEIVEDTYPE": { + "direction": "output", + "bits": [ 296, 297, 298, 299, 300 ] + }, + "CFGMSGTRANSMITDONE": { + "direction": "output", + "bits": [ 301 ] + }, + "CFGMSIXRAMADDRESS": { + "direction": "output", + "bits": [ 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314 ] + }, + "CFGMSIXRAMREADENABLE": { + "direction": "output", + "bits": [ 315 ] + }, + "CFGMSIXRAMWRITEBYTEENABLE": { + "direction": "output", + "bits": [ 316, 317, 318, 319 ] + }, + "CFGMSIXRAMWRITEDATA": { + "direction": "output", + "bits": [ 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355 ] + }, + "CFGNEGOTIATEDWIDTH": { + "direction": "output", + "bits": [ 356, 357, 358 ] + }, + "CFGOBFFENABLE": { + "direction": "output", + "bits": [ 359, 360 ] + }, + "CFGPHYLINKDOWN": { + "direction": "output", + "bits": [ 361 ] + }, + "CFGPHYLINKSTATUS": { + "direction": "output", + "bits": [ 362, 363 ] + }, + "CFGPLSTATUSCHANGE": { + "direction": "output", + "bits": [ 364 ] + }, + "CFGPOWERSTATECHANGEINTERRUPT": { + "direction": "output", + "bits": [ 365 ] + }, + "CFGRCBSTATUS": { + "direction": "output", + "bits": [ 366, 367, 368, 369 ] + }, + "CFGRXPMSTATE": { + "direction": "output", + "bits": [ 370, 371 ] + }, + "CFGTPHRAMADDRESS": { + "direction": "output", + "bits": [ 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383 ] + }, + "CFGTPHRAMREADENABLE": { + "direction": "output", + "bits": [ 384 ] + }, + "CFGTPHRAMWRITEBYTEENABLE": { + "direction": "output", + "bits": [ 385, 386, 387, 388 ] + }, + "CFGTPHRAMWRITEDATA": { + "direction": "output", + "bits": [ 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424 ] + }, + "CFGTPHREQUESTERENABLE": { + "direction": "output", + "bits": [ 425, 426, 427, 428 ] + }, + "CFGTPHSTMODE": { + "direction": "output", + "bits": [ 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440 ] + }, + "CFGTXPMSTATE": { + "direction": "output", + "bits": [ 441, 442 ] + }, + "CFGVC1ENABLE": { + "direction": "output", + "bits": [ 443 ] + }, + "CFGVC1NEGOTIATIONPENDING": { + "direction": "output", + "bits": [ 444 ] + }, + "CONFMCAPDESIGNSWITCH": { + "direction": "output", + "bits": [ 445 ] + }, + "CONFMCAPEOS": { + "direction": "output", + "bits": [ 446 ] + }, + "CONFMCAPINUSEBYPCIE": { + "direction": "output", + "bits": [ 447 ] + }, + "CONFREQREADY": { + "direction": "output", + "bits": [ 448 ] + }, + "CONFRESPRDATA": { + "direction": "output", + "bits": [ 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480 ] + }, + "CONFRESPVALID": { + "direction": "output", + "bits": [ 481 ] + }, + "DBGCCIXOUT": { + "direction": "output", + "bits": [ 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611 ] + }, + "DBGCTRL0OUT": { + "direction": "output", + "bits": [ 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643 ] + }, + "DBGCTRL1OUT": { + "direction": "output", + "bits": [ 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675 ] + }, + "DBGDATA0OUT": { + "direction": "output", + "bits": [ 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931 ] + }, + "DBGDATA1OUT": { + "direction": "output", + "bits": [ 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 1204 ] + }, + "MAXISCCIXRXTUSER": { + "direction": "output", + "bits": [ 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250 ] + }, + "MAXISCCIXRXTVALID": { + "direction": "output", + "bits": [ 1251 ] + }, + "MAXISCQTDATA": { + "direction": "output", + "bits": [ 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507 ] + }, + "MAXISCQTKEEP": { + "direction": "output", + "bits": [ 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515 ] + }, + "MAXISCQTLAST": { + "direction": "output", + "bits": [ 1516 ] + }, + "MAXISCQTUSER": { + "direction": "output", + "bits": [ 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604 ] + }, + "MAXISCQTVALID": { + "direction": "output", + "bits": [ 1605 ] + }, + "MAXISRCTDATA": { + "direction": "output", + "bits": [ 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861 ] + }, + "MAXISRCTKEEP": { + "direction": "output", + "bits": [ 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869 ] + }, + "MAXISRCTLAST": { + "direction": "output", + "bits": [ 1870 ] + }, + "MAXISRCTUSER": { + "direction": "output", + "bits": [ 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945 ] + }, + "MAXISRCTVALID": { + "direction": "output", + "bits": [ 1946 ] + }, + "MIREPLAYRAMADDRESS0": { + "direction": "output", + "bits": [ 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955 ] + }, + "MIREPLAYRAMADDRESS1": { + "direction": "output", + "bits": [ 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964 ] + }, + "MIREPLAYRAMREADENABLE0": { + "direction": "output", + "bits": [ 1965 ] + }, + "MIREPLAYRAMREADENABLE1": { + "direction": "output", + "bits": [ 1966 ] + }, + "MIREPLAYRAMWRITEDATA0": { + "direction": "output", + "bits": [ 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094 ] + }, + "MIREPLAYRAMWRITEDATA1": { + "direction": "output", + "bits": [ 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222 ] + }, + "MIREPLAYRAMWRITEENABLE0": { + "direction": "output", + "bits": [ 2223 ] + }, + "MIREPLAYRAMWRITEENABLE1": { + "direction": "output", + "bits": [ 2224 ] + }, + "MIRXCOMPLETIONRAMREADADDRESS0": { + "direction": "output", + "bits": [ 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233 ] + }, + "MIRXCOMPLETIONRAMREADADDRESS1": { + "direction": "output", + "bits": [ 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242 ] + }, + "MIRXCOMPLETIONRAMREADENABLE0": { + "direction": "output", + "bits": [ 2243, 2244 ] + }, + "MIRXCOMPLETIONRAMREADENABLE1": { + "direction": "output", + "bits": [ 2245, 2246 ] + }, + "MIRXCOMPLETIONRAMWRITEADDRESS0": { + "direction": "output", + "bits": [ 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255 ] + }, + "MIRXCOMPLETIONRAMWRITEADDRESS1": { + "direction": "output", + "bits": [ 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264 ] + }, + "MIRXCOMPLETIONRAMWRITEDATA0": { + "direction": "output", + "bits": [ 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408 ] + }, + "MIRXCOMPLETIONRAMWRITEDATA1": { + "direction": "output", + "bits": [ 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552 ] + }, + "MIRXCOMPLETIONRAMWRITEENABLE0": { + "direction": "output", + "bits": [ 2553, 2554 ] + }, + "MIRXCOMPLETIONRAMWRITEENABLE1": { + "direction": "output", + "bits": [ 2555, 2556 ] + }, + "MIRXPOSTEDREQUESTRAMREADADDRESS0": { + "direction": "output", + "bits": [ 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565 ] + }, + "MIRXPOSTEDREQUESTRAMREADADDRESS1": { + "direction": "output", + "bits": [ 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574 ] + }, + "MIRXPOSTEDREQUESTRAMREADENABLE0": { + "direction": "output", + "bits": [ 2575 ] + }, + "MIRXPOSTEDREQUESTRAMREADENABLE1": { + "direction": "output", + "bits": [ 2576 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEADDRESS0": { + "direction": "output", + "bits": [ 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEADDRESS1": { + "direction": "output", + "bits": [ 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEDATA0": { + "direction": "output", + "bits": [ 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEDATA1": { + "direction": "output", + "bits": [ 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEENABLE0": { + "direction": "output", + "bits": [ 2883 ] + }, + "MIRXPOSTEDREQUESTRAMWRITEENABLE1": { + "direction": "output", + "bits": [ 2884 ] + }, + "PCIECQNPREQCOUNT": { + "direction": "output", + "bits": [ 2885, 2886, 2887, 2888, 2889, 2890 ] + }, + "PCIEPERST0B": { + "direction": "output", + "bits": [ 2891 ] + }, + "PCIEPERST1B": { + "direction": "output", + "bits": [ 2892 ] + }, + "PCIERQSEQNUM0": { + "direction": "output", + "bits": [ 2893, 2894, 2895, 2896, 2897, 2898 ] + }, + "PCIERQSEQNUM1": { + "direction": "output", + "bits": [ 2899, 2900, 2901, 2902, 2903, 2904 ] + }, + "PCIERQSEQNUMVLD0": { + "direction": "output", + "bits": [ 2905 ] + }, + "PCIERQSEQNUMVLD1": { + "direction": "output", + "bits": [ 2906 ] + }, + "PCIERQTAG0": { + "direction": "output", + "bits": [ 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914 ] + }, + "PCIERQTAG1": { + "direction": "output", + "bits": [ 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922 ] + }, + "PCIERQTAGAV": { + "direction": "output", + "bits": [ 2923, 2924, 2925, 2926 ] + }, + "PCIERQTAGVLD0": { + "direction": "output", + "bits": [ 2927 ] + }, + "PCIERQTAGVLD1": { + "direction": "output", + "bits": [ 2928 ] + }, + "PCIETFCNPDAV": { + "direction": "output", + "bits": [ 2929, 2930, 2931, 2932 ] + }, + "PCIETFCNPHAV": { + "direction": "output", + "bits": [ 2933, 2934, 2935, 2936 ] + }, + "PIPERX00EQCONTROL": { + "direction": "output", + "bits": [ 2937, 2938 ] + }, + "PIPERX00POLARITY": { + "direction": "output", + "bits": [ 2939 ] + }, + "PIPERX01EQCONTROL": { + "direction": "output", + "bits": [ 2940, 2941 ] + }, + "PIPERX01POLARITY": { + "direction": "output", + "bits": [ 2942 ] + }, + "PIPERX02EQCONTROL": { + "direction": "output", + "bits": [ 2943, 2944 ] + }, + "PIPERX02POLARITY": { + "direction": "output", + "bits": [ 2945 ] + }, + "PIPERX03EQCONTROL": { + "direction": "output", + "bits": [ 2946, 2947 ] + }, + "PIPERX03POLARITY": { + "direction": "output", + "bits": [ 2948 ] + }, + "PIPERX04EQCONTROL": { + "direction": "output", + "bits": [ 2949, 2950 ] + }, + "PIPERX04POLARITY": { + "direction": "output", + "bits": [ 2951 ] + }, + "PIPERX05EQCONTROL": { + "direction": "output", + "bits": [ 2952, 2953 ] + }, + "PIPERX05POLARITY": { + "direction": "output", + "bits": [ 2954 ] + }, + "PIPERX06EQCONTROL": { + "direction": "output", + "bits": [ 2955, 2956 ] + }, + "PIPERX06POLARITY": { + "direction": "output", + "bits": [ 2957 ] + }, + "PIPERX07EQCONTROL": { + "direction": "output", + "bits": [ 2958, 2959 ] + }, + "PIPERX07POLARITY": { + "direction": "output", + "bits": [ 2960 ] + }, + "PIPERX08EQCONTROL": { + "direction": "output", + "bits": [ 2961, 2962 ] + }, + "PIPERX08POLARITY": { + "direction": "output", + "bits": [ 2963 ] + }, + "PIPERX09EQCONTROL": { + "direction": "output", + "bits": [ 2964, 2965 ] + }, + "PIPERX09POLARITY": { + "direction": "output", + "bits": [ 2966 ] + }, + "PIPERX10EQCONTROL": { + "direction": "output", + "bits": [ 2967, 2968 ] + }, + "PIPERX10POLARITY": { + "direction": "output", + "bits": [ 2969 ] + }, + "PIPERX11EQCONTROL": { + "direction": "output", + "bits": [ 2970, 2971 ] + }, + "PIPERX11POLARITY": { + "direction": "output", + "bits": [ 2972 ] + }, + "PIPERX12EQCONTROL": { + "direction": "output", + "bits": [ 2973, 2974 ] + }, + "PIPERX12POLARITY": { + "direction": "output", + "bits": [ 2975 ] + }, + "PIPERX13EQCONTROL": { + "direction": "output", + "bits": [ 2976, 2977 ] + }, + "PIPERX13POLARITY": { + "direction": "output", + "bits": [ 2978 ] + }, + "PIPERX14EQCONTROL": { + "direction": "output", + "bits": [ 2979, 2980 ] + }, + "PIPERX14POLARITY": { + "direction": "output", + "bits": [ 2981 ] + }, + "PIPERX15EQCONTROL": { + "direction": "output", + "bits": [ 2982, 2983 ] + }, + "PIPERX15POLARITY": { + "direction": "output", + "bits": [ 2984 ] + }, + "PIPERXEQLPLFFS": { + "direction": "output", + "bits": [ 2985, 2986, 2987, 2988, 2989, 2990 ] + }, + "PIPERXEQLPTXPRESET": { + "direction": "output", + "bits": [ 2991, 2992, 2993, 2994 ] + }, + "PIPETX00CHARISK": { + "direction": "output", + "bits": [ 2995, 2996 ] + }, + "PIPETX00COMPLIANCE": { + "direction": "output", + "bits": [ 2997 ] + }, + "PIPETX00DATA": { + "direction": "output", + "bits": [ 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029 ] + }, + "PIPETX00DATAVALID": { + "direction": "output", + "bits": [ 3030 ] + }, + "PIPETX00ELECIDLE": { + "direction": "output", + "bits": [ 3031 ] + }, + "PIPETX00EQCONTROL": { + "direction": "output", + "bits": [ 3032, 3033 ] + }, + "PIPETX00EQDEEMPH": { + "direction": "output", + "bits": [ 3034, 3035, 3036, 3037, 3038, 3039 ] + }, + "PIPETX00POWERDOWN": { + "direction": "output", + "bits": [ 3040, 3041 ] + }, + "PIPETX00STARTBLOCK": { + "direction": "output", + "bits": [ 3042 ] + }, + "PIPETX00SYNCHEADER": { + "direction": "output", + "bits": [ 3043, 3044 ] + }, + "PIPETX01CHARISK": { + "direction": "output", + "bits": [ 3045, 3046 ] + }, + "PIPETX01COMPLIANCE": { + "direction": "output", + "bits": [ 3047 ] + }, + "PIPETX01DATA": { + "direction": "output", + "bits": [ 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079 ] + }, + "PIPETX01DATAVALID": { + "direction": "output", + "bits": [ 3080 ] + }, + "PIPETX01ELECIDLE": { + "direction": "output", + "bits": [ 3081 ] + }, + "PIPETX01EQCONTROL": { + "direction": "output", + "bits": [ 3082, 3083 ] + }, + "PIPETX01EQDEEMPH": { + "direction": "output", + "bits": [ 3084, 3085, 3086, 3087, 3088, 3089 ] + }, + "PIPETX01POWERDOWN": { + "direction": "output", + "bits": [ 3090, 3091 ] + }, + "PIPETX01STARTBLOCK": { + "direction": "output", + "bits": [ 3092 ] + }, + "PIPETX01SYNCHEADER": { + "direction": "output", + "bits": [ 3093, 3094 ] + }, + "PIPETX02CHARISK": { + "direction": "output", + "bits": [ 3095, 3096 ] + }, + "PIPETX02COMPLIANCE": { + "direction": "output", + "bits": [ 3097 ] + }, + "PIPETX02DATA": { + "direction": "output", + "bits": [ 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129 ] + }, + "PIPETX02DATAVALID": { + "direction": "output", + "bits": [ 3130 ] + }, + "PIPETX02ELECIDLE": { + "direction": "output", + "bits": [ 3131 ] + }, + "PIPETX02EQCONTROL": { + "direction": "output", + "bits": [ 3132, 3133 ] + }, + "PIPETX02EQDEEMPH": { + "direction": "output", + "bits": [ 3134, 3135, 3136, 3137, 3138, 3139 ] + }, + "PIPETX02POWERDOWN": { + "direction": "output", + "bits": [ 3140, 3141 ] + }, + "PIPETX02STARTBLOCK": { + "direction": "output", + "bits": [ 3142 ] + }, + "PIPETX02SYNCHEADER": { + "direction": "output", + "bits": [ 3143, 3144 ] + }, + "PIPETX03CHARISK": { + "direction": "output", + "bits": [ 3145, 3146 ] + }, + "PIPETX03COMPLIANCE": { + "direction": "output", + "bits": [ 3147 ] + }, + "PIPETX03DATA": { + "direction": "output", + "bits": [ 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179 ] + }, + "PIPETX03DATAVALID": { + "direction": "output", + "bits": [ 3180 ] + }, + "PIPETX03ELECIDLE": { + "direction": "output", + "bits": [ 3181 ] + }, + "PIPETX03EQCONTROL": { + "direction": "output", + "bits": [ 3182, 3183 ] + }, + "PIPETX03EQDEEMPH": { + "direction": "output", + "bits": [ 3184, 3185, 3186, 3187, 3188, 3189 ] + }, + "PIPETX03POWERDOWN": { + "direction": "output", + "bits": [ 3190, 3191 ] + }, + "PIPETX03STARTBLOCK": { + "direction": "output", + "bits": [ 3192 ] + }, + "PIPETX03SYNCHEADER": { + "direction": "output", + "bits": [ 3193, 3194 ] + }, + "PIPETX04CHARISK": { + "direction": "output", + "bits": [ 3195, 3196 ] + }, + "PIPETX04COMPLIANCE": { + "direction": "output", + "bits": [ 3197 ] + }, + "PIPETX04DATA": { + "direction": "output", + "bits": [ 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229 ] + }, + "PIPETX04DATAVALID": { + "direction": "output", + "bits": [ 3230 ] + }, + "PIPETX04ELECIDLE": { + "direction": "output", + "bits": [ 3231 ] + }, + "PIPETX04EQCONTROL": { + "direction": "output", + "bits": [ 3232, 3233 ] + }, + "PIPETX04EQDEEMPH": { + "direction": "output", + "bits": [ 3234, 3235, 3236, 3237, 3238, 3239 ] + }, + "PIPETX04POWERDOWN": { + "direction": "output", + "bits": [ 3240, 3241 ] + }, + "PIPETX04STARTBLOCK": { + "direction": "output", + "bits": [ 3242 ] + }, + "PIPETX04SYNCHEADER": { + "direction": "output", + "bits": [ 3243, 3244 ] + }, + "PIPETX05CHARISK": { + "direction": "output", + "bits": [ 3245, 3246 ] + }, + "PIPETX05COMPLIANCE": { + "direction": "output", + "bits": [ 3247 ] + }, + "PIPETX05DATA": { + "direction": "output", + "bits": [ 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279 ] + }, + "PIPETX05DATAVALID": { + "direction": "output", + "bits": [ 3280 ] + }, + "PIPETX05ELECIDLE": { + "direction": "output", + "bits": [ 3281 ] + }, + "PIPETX05EQCONTROL": { + "direction": "output", + "bits": [ 3282, 3283 ] + }, + "PIPETX05EQDEEMPH": { + "direction": "output", + "bits": [ 3284, 3285, 3286, 3287, 3288, 3289 ] + }, + "PIPETX05POWERDOWN": { + "direction": "output", + "bits": [ 3290, 3291 ] + }, + "PIPETX05STARTBLOCK": { + "direction": "output", + "bits": [ 3292 ] + }, + "PIPETX05SYNCHEADER": { + "direction": "output", + "bits": [ 3293, 3294 ] + }, + "PIPETX06CHARISK": { + "direction": "output", + "bits": [ 3295, 3296 ] + }, + "PIPETX06COMPLIANCE": { + "direction": "output", + "bits": [ 3297 ] + }, + "PIPETX06DATA": { + "direction": "output", + "bits": [ 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329 ] + }, + "PIPETX06DATAVALID": { + "direction": "output", + "bits": [ 3330 ] + }, + "PIPETX06ELECIDLE": { + "direction": "output", + "bits": [ 3331 ] + }, + "PIPETX06EQCONTROL": { + "direction": "output", + "bits": [ 3332, 3333 ] + }, + "PIPETX06EQDEEMPH": { + "direction": "output", + "bits": [ 3334, 3335, 3336, 3337, 3338, 3339 ] + }, + "PIPETX06POWERDOWN": { + "direction": "output", + "bits": [ 3340, 3341 ] + }, + "PIPETX06STARTBLOCK": { + "direction": "output", + "bits": [ 3342 ] + }, + "PIPETX06SYNCHEADER": { + "direction": "output", + "bits": [ 3343, 3344 ] + }, + "PIPETX07CHARISK": { + "direction": "output", + "bits": [ 3345, 3346 ] + }, + "PIPETX07COMPLIANCE": { + "direction": "output", + "bits": [ 3347 ] + }, + "PIPETX07DATA": { + "direction": "output", + "bits": [ 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379 ] + }, + "PIPETX07DATAVALID": { + "direction": "output", + "bits": [ 3380 ] + }, + "PIPETX07ELECIDLE": { + "direction": "output", + "bits": [ 3381 ] + }, + "PIPETX07EQCONTROL": { + "direction": "output", + "bits": [ 3382, 3383 ] + }, + "PIPETX07EQDEEMPH": { + "direction": "output", + "bits": [ 3384, 3385, 3386, 3387, 3388, 3389 ] + }, + "PIPETX07POWERDOWN": { + "direction": "output", + "bits": [ 3390, 3391 ] + }, + "PIPETX07STARTBLOCK": { + "direction": "output", + "bits": [ 3392 ] + }, + "PIPETX07SYNCHEADER": { + "direction": "output", + "bits": [ 3393, 3394 ] + }, + "PIPETX08CHARISK": { + "direction": "output", + "bits": [ 3395, 3396 ] + }, + "PIPETX08COMPLIANCE": { + "direction": "output", + "bits": [ 3397 ] + }, + "PIPETX08DATA": { + "direction": "output", + "bits": [ 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429 ] + }, + "PIPETX08DATAVALID": { + "direction": "output", + "bits": [ 3430 ] + }, + "PIPETX08ELECIDLE": { + "direction": "output", + "bits": [ 3431 ] + }, + "PIPETX08EQCONTROL": { + "direction": "output", + "bits": [ 3432, 3433 ] + }, + "PIPETX08EQDEEMPH": { + "direction": "output", + "bits": [ 3434, 3435, 3436, 3437, 3438, 3439 ] + }, + "PIPETX08POWERDOWN": { + "direction": "output", + "bits": [ 3440, 3441 ] + }, + "PIPETX08STARTBLOCK": { + "direction": "output", + "bits": [ 3442 ] + }, + "PIPETX08SYNCHEADER": { + "direction": "output", + "bits": [ 3443, 3444 ] + }, + "PIPETX09CHARISK": { + "direction": "output", + "bits": [ 3445, 3446 ] + }, + "PIPETX09COMPLIANCE": { + "direction": "output", + "bits": [ 3447 ] + }, + "PIPETX09DATA": { + "direction": "output", + "bits": [ 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479 ] + }, + "PIPETX09DATAVALID": { + "direction": "output", + "bits": [ 3480 ] + }, + "PIPETX09ELECIDLE": { + "direction": "output", + "bits": [ 3481 ] + }, + "PIPETX09EQCONTROL": { + "direction": "output", + "bits": [ 3482, 3483 ] + }, + "PIPETX09EQDEEMPH": { + "direction": "output", + "bits": [ 3484, 3485, 3486, 3487, 3488, 3489 ] + }, + "PIPETX09POWERDOWN": { + "direction": "output", + "bits": [ 3490, 3491 ] + }, + "PIPETX09STARTBLOCK": { + "direction": "output", + "bits": [ 3492 ] + }, + "PIPETX09SYNCHEADER": { + "direction": "output", + "bits": [ 3493, 3494 ] + }, + "PIPETX10CHARISK": { + "direction": "output", + "bits": [ 3495, 3496 ] + }, + "PIPETX10COMPLIANCE": { + "direction": "output", + "bits": [ 3497 ] + }, + "PIPETX10DATA": { + "direction": "output", + "bits": [ 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529 ] + }, + "PIPETX10DATAVALID": { + "direction": "output", + "bits": [ 3530 ] + }, + "PIPETX10ELECIDLE": { + "direction": "output", + "bits": [ 3531 ] + }, + "PIPETX10EQCONTROL": { + "direction": "output", + "bits": [ 3532, 3533 ] + }, + "PIPETX10EQDEEMPH": { + "direction": "output", + "bits": [ 3534, 3535, 3536, 3537, 3538, 3539 ] + }, + "PIPETX10POWERDOWN": { + "direction": "output", + "bits": [ 3540, 3541 ] + }, + "PIPETX10STARTBLOCK": { + "direction": "output", + "bits": [ 3542 ] + }, + "PIPETX10SYNCHEADER": { + "direction": "output", + "bits": [ 3543, 3544 ] + }, + "PIPETX11CHARISK": { + "direction": "output", + "bits": [ 3545, 3546 ] + }, + "PIPETX11COMPLIANCE": { + "direction": "output", + "bits": [ 3547 ] + }, + "PIPETX11DATA": { + "direction": "output", + "bits": [ 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579 ] + }, + "PIPETX11DATAVALID": { + "direction": "output", + "bits": [ 3580 ] + }, + "PIPETX11ELECIDLE": { + "direction": "output", + "bits": [ 3581 ] + }, + "PIPETX11EQCONTROL": { + "direction": "output", + "bits": [ 3582, 3583 ] + }, + "PIPETX11EQDEEMPH": { + "direction": "output", + "bits": [ 3584, 3585, 3586, 3587, 3588, 3589 ] + }, + "PIPETX11POWERDOWN": { + "direction": "output", + "bits": [ 3590, 3591 ] + }, + "PIPETX11STARTBLOCK": { + "direction": "output", + "bits": [ 3592 ] + }, + "PIPETX11SYNCHEADER": { + "direction": "output", + "bits": [ 3593, 3594 ] + }, + "PIPETX12CHARISK": { + "direction": "output", + "bits": [ 3595, 3596 ] + }, + "PIPETX12COMPLIANCE": { + "direction": "output", + "bits": [ 3597 ] + }, + "PIPETX12DATA": { + "direction": "output", + "bits": [ 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629 ] + }, + "PIPETX12DATAVALID": { + "direction": "output", + "bits": [ 3630 ] + }, + "PIPETX12ELECIDLE": { + "direction": "output", + "bits": [ 3631 ] + }, + "PIPETX12EQCONTROL": { + "direction": "output", + "bits": [ 3632, 3633 ] + }, + "PIPETX12EQDEEMPH": { + "direction": "output", + "bits": [ 3634, 3635, 3636, 3637, 3638, 3639 ] + }, + "PIPETX12POWERDOWN": { + "direction": "output", + "bits": [ 3640, 3641 ] + }, + "PIPETX12STARTBLOCK": { + "direction": "output", + "bits": [ 3642 ] + }, + "PIPETX12SYNCHEADER": { + "direction": "output", + "bits": [ 3643, 3644 ] + }, + "PIPETX13CHARISK": { + "direction": "output", + "bits": [ 3645, 3646 ] + }, + "PIPETX13COMPLIANCE": { + "direction": "output", + "bits": [ 3647 ] + }, + "PIPETX13DATA": { + "direction": "output", + "bits": [ 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679 ] + }, + "PIPETX13DATAVALID": { + "direction": "output", + "bits": [ 3680 ] + }, + "PIPETX13ELECIDLE": { + "direction": "output", + "bits": [ 3681 ] + }, + "PIPETX13EQCONTROL": { + "direction": "output", + "bits": [ 3682, 3683 ] + }, + "PIPETX13EQDEEMPH": { + "direction": "output", + "bits": [ 3684, 3685, 3686, 3687, 3688, 3689 ] + }, + "PIPETX13POWERDOWN": { + "direction": "output", + "bits": [ 3690, 3691 ] + }, + "PIPETX13STARTBLOCK": { + "direction": "output", + "bits": [ 3692 ] + }, + "PIPETX13SYNCHEADER": { + "direction": "output", + "bits": [ 3693, 3694 ] + }, + "PIPETX14CHARISK": { + "direction": "output", + "bits": [ 3695, 3696 ] + }, + "PIPETX14COMPLIANCE": { + "direction": "output", + "bits": [ 3697 ] + }, + "PIPETX14DATA": { + "direction": "output", + "bits": [ 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729 ] + }, + "PIPETX14DATAVALID": { + "direction": "output", + "bits": [ 3730 ] + }, + "PIPETX14ELECIDLE": { + "direction": "output", + "bits": [ 3731 ] + }, + "PIPETX14EQCONTROL": { + "direction": "output", + "bits": [ 3732, 3733 ] + }, + "PIPETX14EQDEEMPH": { + "direction": "output", + "bits": [ 3734, 3735, 3736, 3737, 3738, 3739 ] + }, + "PIPETX14POWERDOWN": { + "direction": "output", + "bits": [ 3740, 3741 ] + }, + "PIPETX14STARTBLOCK": { + "direction": "output", + "bits": [ 3742 ] + }, + "PIPETX14SYNCHEADER": { + "direction": "output", + "bits": [ 3743, 3744 ] + }, + "PIPETX15CHARISK": { + "direction": "output", + "bits": [ 3745, 3746 ] + }, + "PIPETX15COMPLIANCE": { + "direction": "output", + "bits": [ 3747 ] + }, + "PIPETX15DATA": { + "direction": "output", + "bits": [ 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779 ] + }, + "PIPETX15DATAVALID": { + "direction": "output", + "bits": [ 3780 ] + }, + "PIPETX15ELECIDLE": { + "direction": "output", + "bits": [ 3781 ] + }, + "PIPETX15EQCONTROL": { + "direction": "output", + "bits": [ 3782, 3783 ] + }, + "PIPETX15EQDEEMPH": { + "direction": "output", + "bits": [ 3784, 3785, 3786, 3787, 3788, 3789 ] + }, + "PIPETX15POWERDOWN": { + "direction": "output", + "bits": [ 3790, 3791 ] + }, + "PIPETX15STARTBLOCK": { + "direction": "output", + "bits": [ 3792 ] + }, + "PIPETX15SYNCHEADER": { + "direction": "output", + "bits": [ 3793, 3794 ] + }, + "PIPETXDEEMPH": { + "direction": "output", + "bits": [ 3795 ] + }, + "PIPETXMARGIN": { + "direction": "output", + "bits": [ 3796, 3797, 3798 ] + }, + "PIPETXRATE": { + "direction": "output", + "bits": [ 3799, 3800 ] + }, + "PIPETXRCVRDET": { + "direction": "output", + "bits": [ 3801 ] + }, + "PIPETXRESET": { + "direction": "output", + "bits": [ 3802 ] + }, + "PIPETXSWING": { + "direction": "output", + "bits": [ 3803 ] + }, + "PLEQINPROGRESS": { + "direction": "output", + "bits": [ 3804 ] + }, + "PLEQPHASE": { + "direction": "output", + "bits": [ 3805, 3806 ] + }, + "PLGEN34EQMISMATCH": { + "direction": "output", + "bits": [ 3807 ] + }, + "SAXISCCTREADY": { + "direction": "output", + "bits": [ 3808, 3809, 3810, 3811 ] + }, + "SAXISRQTREADY": { + "direction": "output", + "bits": [ 3812, 3813, 3814, 3815 ] + }, + "USERSPAREOUT": { + "direction": "output", + "bits": [ 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839 ] + }, + "AXIUSERIN": { + "direction": "input", + "bits": [ 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847 ] + }, + "CCIXOPTIMIZEDTLPTXANDRXENABLE": { + "direction": "input", + "bits": [ 3848 ] + }, + "CCIXRXCORRECTABLEERRORDETECTED": { + "direction": "input", + "bits": [ 3849 ] + }, + "CCIXRXFIFOOVERFLOW": { + "direction": "input", + "bits": [ 3850 ] + }, + "CCIXRXTLPFORWARDED0": { + "direction": "input", + "bits": [ 3851 ] + }, + "CCIXRXTLPFORWARDED1": { + "direction": "input", + "bits": [ 3852 ] + }, + "CCIXRXTLPFORWARDEDLENGTH0": { + "direction": "input", + "bits": [ 3853, 3854, 3855, 3856, 3857, 3858 ] + }, + "CCIXRXTLPFORWARDEDLENGTH1": { + "direction": "input", + "bits": [ 3859, 3860, 3861, 3862, 3863, 3864 ] + }, + "CCIXRXUNCORRECTABLEERRORDETECTED": { + "direction": "input", + "bits": [ 3865 ] + }, + "CFGCONFIGSPACEENABLE": { + "direction": "input", + "bits": [ 3866 ] + }, + "CFGDEVIDPF0": { + "direction": "input", + "bits": [ 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882 ] + }, + "CFGDEVIDPF1": { + "direction": "input", + "bits": [ 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898 ] + }, + "CFGDEVIDPF2": { + "direction": "input", + "bits": [ 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914 ] + }, + "CFGDEVIDPF3": { + "direction": "input", + "bits": [ 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930 ] + }, + "CFGDSBUSNUMBER": { + "direction": "input", + "bits": [ 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938 ] + }, + "CFGDSDEVICENUMBER": { + "direction": "input", + "bits": [ 3939, 3940, 3941, 3942, 3943 ] + }, + "CFGDSFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 3944, 3945, 3946 ] + }, + "CFGDSN": { + "direction": "input", + "bits": [ 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010 ] + }, + "CFGDSPORTNUMBER": { + "direction": "input", + "bits": [ 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018 ] + }, + "CFGERRCORIN": { + "direction": "input", + "bits": [ 4019 ] + }, + "CFGERRUNCORIN": { + "direction": "input", + "bits": [ 4020 ] + }, + "CFGEXTREADDATA": { + "direction": "input", + "bits": [ 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052 ] + }, + "CFGEXTREADDATAVALID": { + "direction": "input", + "bits": [ 4053 ] + }, + "CFGFCSEL": { + "direction": "input", + "bits": [ 4054, 4055, 4056 ] + }, + "CFGFCVCSEL": { + "direction": "input", + "bits": [ 4057 ] + }, + "CFGFLRDONE": { + "direction": "input", + "bits": [ 4058, 4059, 4060, 4061 ] + }, + "CFGHOTRESETIN": { + "direction": "input", + "bits": [ 4062 ] + }, + "CFGINTERRUPTINT": { + "direction": "input", + "bits": [ 4063, 4064, 4065, 4066 ] + }, + "CFGINTERRUPTMSIATTR": { + "direction": "input", + "bits": [ 4067, 4068, 4069 ] + }, + "CFGINTERRUPTMSIFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077 ] + }, + "CFGINTERRUPTMSIINT": { + "direction": "input", + "bits": [ 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUS": { + "direction": "input", + "bits": [ 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE": { + "direction": "input", + "bits": [ 4142 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM": { + "direction": "input", + "bits": [ 4143, 4144 ] + }, + "CFGINTERRUPTMSISELECT": { + "direction": "input", + "bits": [ 4145, 4146 ] + }, + "CFGINTERRUPTMSITPHPRESENT": { + "direction": "input", + "bits": [ 4147 ] + }, + "CFGINTERRUPTMSITPHSTTAG": { + "direction": "input", + "bits": [ 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155 ] + }, + "CFGINTERRUPTMSITPHTYPE": { + "direction": "input", + "bits": [ 4156, 4157 ] + }, + "CFGINTERRUPTMSIXADDRESS": { + "direction": "input", + "bits": [ 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221 ] + }, + "CFGINTERRUPTMSIXDATA": { + "direction": "input", + "bits": [ 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253 ] + }, + "CFGINTERRUPTMSIXINT": { + "direction": "input", + "bits": [ 4254 ] + }, + "CFGINTERRUPTMSIXVECPENDING": { + "direction": "input", + "bits": [ 4255, 4256 ] + }, + "CFGINTERRUPTPENDING": { + "direction": "input", + "bits": [ 4257, 4258, 4259, 4260 ] + }, + "CFGLINKTRAININGENABLE": { + "direction": "input", + "bits": [ 4261 ] + }, + "CFGMGMTADDR": { + "direction": "input", + "bits": [ 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271 ] + }, + "CFGMGMTBYTEENABLE": { + "direction": "input", + "bits": [ 4272, 4273, 4274, 4275 ] + }, + "CFGMGMTDEBUGACCESS": { + "direction": "input", + "bits": [ 4276 ] + }, + "CFGMGMTFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284 ] + }, + "CFGMGMTREAD": { + "direction": "input", + "bits": [ 4285 ] + }, + "CFGMGMTWRITE": { + "direction": "input", + "bits": [ 4286 ] + }, + "CFGMGMTWRITEDATA": { + "direction": "input", + "bits": [ 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318 ] + }, + "CFGMSGTRANSMIT": { + "direction": "input", + "bits": [ 4319 ] + }, + "CFGMSGTRANSMITDATA": { + "direction": "input", + "bits": [ 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351 ] + }, + "CFGMSGTRANSMITTYPE": { + "direction": "input", + "bits": [ 4352, 4353, 4354 ] + }, + "CFGMSIXRAMREADDATA": { + "direction": "input", + "bits": [ 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390 ] + }, + "CFGPMASPML1ENTRYREJECT": { + "direction": "input", + "bits": [ 4391 ] + }, + "CFGPMASPMTXL0SENTRYDISABLE": { + "direction": "input", + "bits": [ 4392 ] + }, + "CFGPOWERSTATECHANGEACK": { + "direction": "input", + "bits": [ 4393 ] + }, + "CFGREQPMTRANSITIONL23READY": { + "direction": "input", + "bits": [ 4394 ] + }, + "CFGREVIDPF0": { + "direction": "input", + "bits": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402 ] + }, + "CFGREVIDPF1": { + "direction": "input", + "bits": [ 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410 ] + }, + "CFGREVIDPF2": { + "direction": "input", + "bits": [ 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418 ] + }, + "CFGREVIDPF3": { + "direction": "input", + "bits": [ 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426 ] + }, + "CFGSUBSYSIDPF0": { + "direction": "input", + "bits": [ 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442 ] + }, + "CFGSUBSYSIDPF1": { + "direction": "input", + "bits": [ 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458 ] + }, + "CFGSUBSYSIDPF2": { + "direction": "input", + "bits": [ 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474 ] + }, + "CFGSUBSYSIDPF3": { + "direction": "input", + "bits": [ 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490 ] + }, + "CFGSUBSYSVENDID": { + "direction": "input", + "bits": [ 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506 ] + }, + "CFGTPHRAMREADDATA": { + "direction": "input", + "bits": [ 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542 ] + }, + "CFGVENDID": { + "direction": "input", + "bits": [ 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558 ] + }, + "CFGVFFLRDONE": { + "direction": "input", + "bits": [ 4559 ] + }, + "CFGVFFLRFUNCNUM": { + "direction": "input", + "bits": [ 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567 ] + }, + "CONFMCAPREQUESTBYCONF": { + "direction": "input", + "bits": [ 4568 ] + }, + "CONFREQDATA": { + "direction": "input", + "bits": [ 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600 ] + }, + "CONFREQREGNUM": { + "direction": "input", + "bits": [ 4601, 4602, 4603, 4604 ] + }, + "CONFREQTYPE": { + "direction": "input", + "bits": [ 4605, 4606 ] + }, + "CONFREQVALID": { + "direction": "input", + "bits": [ 4607 ] + }, + "CORECLK": { + "direction": "input", + "bits": [ 4608 ] + }, + "CORECLKCCIX": { + "direction": "input", + "bits": [ 4609 ] + }, + "CORECLKMIREPLAYRAM0": { + "direction": "input", + "bits": [ 4610 ] + }, + "CORECLKMIREPLAYRAM1": { + "direction": "input", + "bits": [ 4611 ] + }, + "CORECLKMIRXCOMPLETIONRAM0": { + "direction": "input", + "bits": [ 4612 ] + }, + "CORECLKMIRXCOMPLETIONRAM1": { + "direction": "input", + "bits": [ 4613 ] + }, + "CORECLKMIRXPOSTEDREQUESTRAM0": { + "direction": "input", + "bits": [ 4614 ] + }, + "CORECLKMIRXPOSTEDREQUESTRAM1": { + "direction": "input", + "bits": [ 4615 ] + }, + "DBGSEL0": { + "direction": "input", + "bits": [ 4616, 4617, 4618, 4619, 4620, 4621 ] + }, + "DBGSEL1": { + "direction": "input", + "bits": [ 4622, 4623, 4624, 4625, 4626, 4627 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 4638 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 4655 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 4656 ] + }, + "MAXISCQTREADY": { + "direction": "input", + "bits": [ 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678 ] + }, + "MAXISRCTREADY": { + "direction": "input", + "bits": [ 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700 ] + }, + "MCAPCLK": { + "direction": "input", + "bits": [ 4701 ] + }, + "MCAPPERST0B": { + "direction": "input", + "bits": [ 4702 ] + }, + "MCAPPERST1B": { + "direction": "input", + "bits": [ 4703 ] + }, + "MGMTRESETN": { + "direction": "input", + "bits": [ 4704 ] + }, + "MGMTSTICKYRESETN": { + "direction": "input", + "bits": [ 4705 ] + }, + "MIREPLAYRAMERRCOR": { + "direction": "input", + "bits": [ 4706, 4707, 4708, 4709, 4710, 4711 ] + }, + "MIREPLAYRAMERRUNCOR": { + "direction": "input", + "bits": [ 4712, 4713, 4714, 4715, 4716, 4717 ] + }, + "MIREPLAYRAMREADDATA0": { + "direction": "input", + "bits": [ 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845 ] + }, + "MIREPLAYRAMREADDATA1": { + "direction": "input", + "bits": [ 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973 ] + }, + "MIRXCOMPLETIONRAMERRCOR": { + "direction": "input", + "bits": [ 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985 ] + }, + "MIRXCOMPLETIONRAMERRUNCOR": { + "direction": "input", + "bits": [ 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997 ] + }, + "MIRXCOMPLETIONRAMREADDATA0": { + "direction": "input", + "bits": [ 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141 ] + }, + "MIRXCOMPLETIONRAMREADDATA1": { + "direction": "input", + "bits": [ 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285 ] + }, + "MIRXPOSTEDREQUESTRAMERRCOR": { + "direction": "input", + "bits": [ 5286, 5287, 5288, 5289, 5290, 5291 ] + }, + "MIRXPOSTEDREQUESTRAMERRUNCOR": { + "direction": "input", + "bits": [ 5292, 5293, 5294, 5295, 5296, 5297 ] + }, + "MIRXPOSTEDREQUESTRAMREADDATA0": { + "direction": "input", + "bits": [ 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394, 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441 ] + }, + "MIRXPOSTEDREQUESTRAMREADDATA1": { + "direction": "input", + "bits": [ 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585 ] + }, + "PCIECOMPLDELIVERED": { + "direction": "input", + "bits": [ 5586, 5587 ] + }, + "PCIECOMPLDELIVEREDTAG0": { + "direction": "input", + "bits": [ 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595 ] + }, + "PCIECOMPLDELIVEREDTAG1": { + "direction": "input", + "bits": [ 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603 ] + }, + "PCIECQNPREQ": { + "direction": "input", + "bits": [ 5604, 5605 ] + }, + "PCIECQNPUSERCREDITRCVD": { + "direction": "input", + "bits": [ 5606 ] + }, + "PCIECQPIPELINEEMPTY": { + "direction": "input", + "bits": [ 5607 ] + }, + "PCIEPOSTEDREQDELIVERED": { + "direction": "input", + "bits": [ 5608 ] + }, + "PIPECLK": { + "direction": "input", + "bits": [ 5609 ] + }, + "PIPECLKEN": { + "direction": "input", + "bits": [ 5610 ] + }, + "PIPEEQFS": { + "direction": "input", + "bits": [ 5611, 5612, 5613, 5614, 5615, 5616 ] + }, + "PIPEEQLF": { + "direction": "input", + "bits": [ 5617, 5618, 5619, 5620, 5621, 5622 ] + }, + "PIPERESETN": { + "direction": "input", + "bits": [ 5623 ] + }, + "PIPERX00CHARISK": { + "direction": "input", + "bits": [ 5624, 5625 ] + }, + "PIPERX00DATA": { + "direction": "input", + "bits": [ 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657 ] + }, + "PIPERX00DATAVALID": { + "direction": "input", + "bits": [ 5658 ] + }, + "PIPERX00ELECIDLE": { + "direction": "input", + "bits": [ 5659 ] + }, + "PIPERX00EQDONE": { + "direction": "input", + "bits": [ 5660 ] + }, + "PIPERX00EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5661 ] + }, + "PIPERX00EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5662 ] + }, + "PIPERX00EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680 ] + }, + "PIPERX00PHYSTATUS": { + "direction": "input", + "bits": [ 5681 ] + }, + "PIPERX00STARTBLOCK": { + "direction": "input", + "bits": [ 5682, 5683 ] + }, + "PIPERX00STATUS": { + "direction": "input", + "bits": [ 5684, 5685, 5686 ] + }, + "PIPERX00SYNCHEADER": { + "direction": "input", + "bits": [ 5687, 5688 ] + }, + "PIPERX00VALID": { + "direction": "input", + "bits": [ 5689 ] + }, + "PIPERX01CHARISK": { + "direction": "input", + "bits": [ 5690, 5691 ] + }, + "PIPERX01DATA": { + "direction": "input", + "bits": [ 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723 ] + }, + "PIPERX01DATAVALID": { + "direction": "input", + "bits": [ 5724 ] + }, + "PIPERX01ELECIDLE": { + "direction": "input", + "bits": [ 5725 ] + }, + "PIPERX01EQDONE": { + "direction": "input", + "bits": [ 5726 ] + }, + "PIPERX01EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5727 ] + }, + "PIPERX01EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5728 ] + }, + "PIPERX01EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746 ] + }, + "PIPERX01PHYSTATUS": { + "direction": "input", + "bits": [ 5747 ] + }, + "PIPERX01STARTBLOCK": { + "direction": "input", + "bits": [ 5748, 5749 ] + }, + "PIPERX01STATUS": { + "direction": "input", + "bits": [ 5750, 5751, 5752 ] + }, + "PIPERX01SYNCHEADER": { + "direction": "input", + "bits": [ 5753, 5754 ] + }, + "PIPERX01VALID": { + "direction": "input", + "bits": [ 5755 ] + }, + "PIPERX02CHARISK": { + "direction": "input", + "bits": [ 5756, 5757 ] + }, + "PIPERX02DATA": { + "direction": "input", + "bits": [ 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789 ] + }, + "PIPERX02DATAVALID": { + "direction": "input", + "bits": [ 5790 ] + }, + "PIPERX02ELECIDLE": { + "direction": "input", + "bits": [ 5791 ] + }, + "PIPERX02EQDONE": { + "direction": "input", + "bits": [ 5792 ] + }, + "PIPERX02EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5793 ] + }, + "PIPERX02EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5794 ] + }, + "PIPERX02EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812 ] + }, + "PIPERX02PHYSTATUS": { + "direction": "input", + "bits": [ 5813 ] + }, + "PIPERX02STARTBLOCK": { + "direction": "input", + "bits": [ 5814, 5815 ] + }, + "PIPERX02STATUS": { + "direction": "input", + "bits": [ 5816, 5817, 5818 ] + }, + "PIPERX02SYNCHEADER": { + "direction": "input", + "bits": [ 5819, 5820 ] + }, + "PIPERX02VALID": { + "direction": "input", + "bits": [ 5821 ] + }, + "PIPERX03CHARISK": { + "direction": "input", + "bits": [ 5822, 5823 ] + }, + "PIPERX03DATA": { + "direction": "input", + "bits": [ 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842, 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855 ] + }, + "PIPERX03DATAVALID": { + "direction": "input", + "bits": [ 5856 ] + }, + "PIPERX03ELECIDLE": { + "direction": "input", + "bits": [ 5857 ] + }, + "PIPERX03EQDONE": { + "direction": "input", + "bits": [ 5858 ] + }, + "PIPERX03EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5859 ] + }, + "PIPERX03EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5860 ] + }, + "PIPERX03EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878 ] + }, + "PIPERX03PHYSTATUS": { + "direction": "input", + "bits": [ 5879 ] + }, + "PIPERX03STARTBLOCK": { + "direction": "input", + "bits": [ 5880, 5881 ] + }, + "PIPERX03STATUS": { + "direction": "input", + "bits": [ 5882, 5883, 5884 ] + }, + "PIPERX03SYNCHEADER": { + "direction": "input", + "bits": [ 5885, 5886 ] + }, + "PIPERX03VALID": { + "direction": "input", + "bits": [ 5887 ] + }, + "PIPERX04CHARISK": { + "direction": "input", + "bits": [ 5888, 5889 ] + }, + "PIPERX04DATA": { + "direction": "input", + "bits": [ 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921 ] + }, + "PIPERX04DATAVALID": { + "direction": "input", + "bits": [ 5922 ] + }, + "PIPERX04ELECIDLE": { + "direction": "input", + "bits": [ 5923 ] + }, + "PIPERX04EQDONE": { + "direction": "input", + "bits": [ 5924 ] + }, + "PIPERX04EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5925 ] + }, + "PIPERX04EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5926 ] + }, + "PIPERX04EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944 ] + }, + "PIPERX04PHYSTATUS": { + "direction": "input", + "bits": [ 5945 ] + }, + "PIPERX04STARTBLOCK": { + "direction": "input", + "bits": [ 5946, 5947 ] + }, + "PIPERX04STATUS": { + "direction": "input", + "bits": [ 5948, 5949, 5950 ] + }, + "PIPERX04SYNCHEADER": { + "direction": "input", + "bits": [ 5951, 5952 ] + }, + "PIPERX04VALID": { + "direction": "input", + "bits": [ 5953 ] + }, + "PIPERX05CHARISK": { + "direction": "input", + "bits": [ 5954, 5955 ] + }, + "PIPERX05DATA": { + "direction": "input", + "bits": [ 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983, 5984, 5985, 5986, 5987 ] + }, + "PIPERX05DATAVALID": { + "direction": "input", + "bits": [ 5988 ] + }, + "PIPERX05ELECIDLE": { + "direction": "input", + "bits": [ 5989 ] + }, + "PIPERX05EQDONE": { + "direction": "input", + "bits": [ 5990 ] + }, + "PIPERX05EQLPADAPTDONE": { + "direction": "input", + "bits": [ 5991 ] + }, + "PIPERX05EQLPLFFSSEL": { + "direction": "input", + "bits": [ 5992 ] + }, + "PIPERX05EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 5993, 5994, 5995, 5996, 5997, 5998, 5999, 6000, 6001, 6002, 6003, 6004, 6005, 6006, 6007, 6008, 6009, 6010 ] + }, + "PIPERX05PHYSTATUS": { + "direction": "input", + "bits": [ 6011 ] + }, + "PIPERX05STARTBLOCK": { + "direction": "input", + "bits": [ 6012, 6013 ] + }, + "PIPERX05STATUS": { + "direction": "input", + "bits": [ 6014, 6015, 6016 ] + }, + "PIPERX05SYNCHEADER": { + "direction": "input", + "bits": [ 6017, 6018 ] + }, + "PIPERX05VALID": { + "direction": "input", + "bits": [ 6019 ] + }, + "PIPERX06CHARISK": { + "direction": "input", + "bits": [ 6020, 6021 ] + }, + "PIPERX06DATA": { + "direction": "input", + "bits": [ 6022, 6023, 6024, 6025, 6026, 6027, 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053 ] + }, + "PIPERX06DATAVALID": { + "direction": "input", + "bits": [ 6054 ] + }, + "PIPERX06ELECIDLE": { + "direction": "input", + "bits": [ 6055 ] + }, + "PIPERX06EQDONE": { + "direction": "input", + "bits": [ 6056 ] + }, + "PIPERX06EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6057 ] + }, + "PIPERX06EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6058 ] + }, + "PIPERX06EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076 ] + }, + "PIPERX06PHYSTATUS": { + "direction": "input", + "bits": [ 6077 ] + }, + "PIPERX06STARTBLOCK": { + "direction": "input", + "bits": [ 6078, 6079 ] + }, + "PIPERX06STATUS": { + "direction": "input", + "bits": [ 6080, 6081, 6082 ] + }, + "PIPERX06SYNCHEADER": { + "direction": "input", + "bits": [ 6083, 6084 ] + }, + "PIPERX06VALID": { + "direction": "input", + "bits": [ 6085 ] + }, + "PIPERX07CHARISK": { + "direction": "input", + "bits": [ 6086, 6087 ] + }, + "PIPERX07DATA": { + "direction": "input", + "bits": [ 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119 ] + }, + "PIPERX07DATAVALID": { + "direction": "input", + "bits": [ 6120 ] + }, + "PIPERX07ELECIDLE": { + "direction": "input", + "bits": [ 6121 ] + }, + "PIPERX07EQDONE": { + "direction": "input", + "bits": [ 6122 ] + }, + "PIPERX07EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6123 ] + }, + "PIPERX07EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6124 ] + }, + "PIPERX07EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142 ] + }, + "PIPERX07PHYSTATUS": { + "direction": "input", + "bits": [ 6143 ] + }, + "PIPERX07STARTBLOCK": { + "direction": "input", + "bits": [ 6144, 6145 ] + }, + "PIPERX07STATUS": { + "direction": "input", + "bits": [ 6146, 6147, 6148 ] + }, + "PIPERX07SYNCHEADER": { + "direction": "input", + "bits": [ 6149, 6150 ] + }, + "PIPERX07VALID": { + "direction": "input", + "bits": [ 6151 ] + }, + "PIPERX08CHARISK": { + "direction": "input", + "bits": [ 6152, 6153 ] + }, + "PIPERX08DATA": { + "direction": "input", + "bits": [ 6154, 6155, 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185 ] + }, + "PIPERX08DATAVALID": { + "direction": "input", + "bits": [ 6186 ] + }, + "PIPERX08ELECIDLE": { + "direction": "input", + "bits": [ 6187 ] + }, + "PIPERX08EQDONE": { + "direction": "input", + "bits": [ 6188 ] + }, + "PIPERX08EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6189 ] + }, + "PIPERX08EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6190 ] + }, + "PIPERX08EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208 ] + }, + "PIPERX08PHYSTATUS": { + "direction": "input", + "bits": [ 6209 ] + }, + "PIPERX08STARTBLOCK": { + "direction": "input", + "bits": [ 6210, 6211 ] + }, + "PIPERX08STATUS": { + "direction": "input", + "bits": [ 6212, 6213, 6214 ] + }, + "PIPERX08SYNCHEADER": { + "direction": "input", + "bits": [ 6215, 6216 ] + }, + "PIPERX08VALID": { + "direction": "input", + "bits": [ 6217 ] + }, + "PIPERX09CHARISK": { + "direction": "input", + "bits": [ 6218, 6219 ] + }, + "PIPERX09DATA": { + "direction": "input", + "bits": [ 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251 ] + }, + "PIPERX09DATAVALID": { + "direction": "input", + "bits": [ 6252 ] + }, + "PIPERX09ELECIDLE": { + "direction": "input", + "bits": [ 6253 ] + }, + "PIPERX09EQDONE": { + "direction": "input", + "bits": [ 6254 ] + }, + "PIPERX09EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6255 ] + }, + "PIPERX09EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6256 ] + }, + "PIPERX09EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274 ] + }, + "PIPERX09PHYSTATUS": { + "direction": "input", + "bits": [ 6275 ] + }, + "PIPERX09STARTBLOCK": { + "direction": "input", + "bits": [ 6276, 6277 ] + }, + "PIPERX09STATUS": { + "direction": "input", + "bits": [ 6278, 6279, 6280 ] + }, + "PIPERX09SYNCHEADER": { + "direction": "input", + "bits": [ 6281, 6282 ] + }, + "PIPERX09VALID": { + "direction": "input", + "bits": [ 6283 ] + }, + "PIPERX10CHARISK": { + "direction": "input", + "bits": [ 6284, 6285 ] + }, + "PIPERX10DATA": { + "direction": "input", + "bits": [ 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317 ] + }, + "PIPERX10DATAVALID": { + "direction": "input", + "bits": [ 6318 ] + }, + "PIPERX10ELECIDLE": { + "direction": "input", + "bits": [ 6319 ] + }, + "PIPERX10EQDONE": { + "direction": "input", + "bits": [ 6320 ] + }, + "PIPERX10EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6321 ] + }, + "PIPERX10EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6322 ] + }, + "PIPERX10EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340 ] + }, + "PIPERX10PHYSTATUS": { + "direction": "input", + "bits": [ 6341 ] + }, + "PIPERX10STARTBLOCK": { + "direction": "input", + "bits": [ 6342, 6343 ] + }, + "PIPERX10STATUS": { + "direction": "input", + "bits": [ 6344, 6345, 6346 ] + }, + "PIPERX10SYNCHEADER": { + "direction": "input", + "bits": [ 6347, 6348 ] + }, + "PIPERX10VALID": { + "direction": "input", + "bits": [ 6349 ] + }, + "PIPERX11CHARISK": { + "direction": "input", + "bits": [ 6350, 6351 ] + }, + "PIPERX11DATA": { + "direction": "input", + "bits": [ 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383 ] + }, + "PIPERX11DATAVALID": { + "direction": "input", + "bits": [ 6384 ] + }, + "PIPERX11ELECIDLE": { + "direction": "input", + "bits": [ 6385 ] + }, + "PIPERX11EQDONE": { + "direction": "input", + "bits": [ 6386 ] + }, + "PIPERX11EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6387 ] + }, + "PIPERX11EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6388 ] + }, + "PIPERX11EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6389, 6390, 6391, 6392, 6393, 6394, 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406 ] + }, + "PIPERX11PHYSTATUS": { + "direction": "input", + "bits": [ 6407 ] + }, + "PIPERX11STARTBLOCK": { + "direction": "input", + "bits": [ 6408, 6409 ] + }, + "PIPERX11STATUS": { + "direction": "input", + "bits": [ 6410, 6411, 6412 ] + }, + "PIPERX11SYNCHEADER": { + "direction": "input", + "bits": [ 6413, 6414 ] + }, + "PIPERX11VALID": { + "direction": "input", + "bits": [ 6415 ] + }, + "PIPERX12CHARISK": { + "direction": "input", + "bits": [ 6416, 6417 ] + }, + "PIPERX12DATA": { + "direction": "input", + "bits": [ 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449 ] + }, + "PIPERX12DATAVALID": { + "direction": "input", + "bits": [ 6450 ] + }, + "PIPERX12ELECIDLE": { + "direction": "input", + "bits": [ 6451 ] + }, + "PIPERX12EQDONE": { + "direction": "input", + "bits": [ 6452 ] + }, + "PIPERX12EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6453 ] + }, + "PIPERX12EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6454 ] + }, + "PIPERX12EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472 ] + }, + "PIPERX12PHYSTATUS": { + "direction": "input", + "bits": [ 6473 ] + }, + "PIPERX12STARTBLOCK": { + "direction": "input", + "bits": [ 6474, 6475 ] + }, + "PIPERX12STATUS": { + "direction": "input", + "bits": [ 6476, 6477, 6478 ] + }, + "PIPERX12SYNCHEADER": { + "direction": "input", + "bits": [ 6479, 6480 ] + }, + "PIPERX12VALID": { + "direction": "input", + "bits": [ 6481 ] + }, + "PIPERX13CHARISK": { + "direction": "input", + "bits": [ 6482, 6483 ] + }, + "PIPERX13DATA": { + "direction": "input", + "bits": [ 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515 ] + }, + "PIPERX13DATAVALID": { + "direction": "input", + "bits": [ 6516 ] + }, + "PIPERX13ELECIDLE": { + "direction": "input", + "bits": [ 6517 ] + }, + "PIPERX13EQDONE": { + "direction": "input", + "bits": [ 6518 ] + }, + "PIPERX13EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6519 ] + }, + "PIPERX13EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6520 ] + }, + "PIPERX13EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538 ] + }, + "PIPERX13PHYSTATUS": { + "direction": "input", + "bits": [ 6539 ] + }, + "PIPERX13STARTBLOCK": { + "direction": "input", + "bits": [ 6540, 6541 ] + }, + "PIPERX13STATUS": { + "direction": "input", + "bits": [ 6542, 6543, 6544 ] + }, + "PIPERX13SYNCHEADER": { + "direction": "input", + "bits": [ 6545, 6546 ] + }, + "PIPERX13VALID": { + "direction": "input", + "bits": [ 6547 ] + }, + "PIPERX14CHARISK": { + "direction": "input", + "bits": [ 6548, 6549 ] + }, + "PIPERX14DATA": { + "direction": "input", + "bits": [ 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560, 6561, 6562, 6563, 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581 ] + }, + "PIPERX14DATAVALID": { + "direction": "input", + "bits": [ 6582 ] + }, + "PIPERX14ELECIDLE": { + "direction": "input", + "bits": [ 6583 ] + }, + "PIPERX14EQDONE": { + "direction": "input", + "bits": [ 6584 ] + }, + "PIPERX14EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6585 ] + }, + "PIPERX14EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6586 ] + }, + "PIPERX14EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6587, 6588, 6589, 6590, 6591, 6592, 6593, 6594, 6595, 6596, 6597, 6598, 6599, 6600, 6601, 6602, 6603, 6604 ] + }, + "PIPERX14PHYSTATUS": { + "direction": "input", + "bits": [ 6605 ] + }, + "PIPERX14STARTBLOCK": { + "direction": "input", + "bits": [ 6606, 6607 ] + }, + "PIPERX14STATUS": { + "direction": "input", + "bits": [ 6608, 6609, 6610 ] + }, + "PIPERX14SYNCHEADER": { + "direction": "input", + "bits": [ 6611, 6612 ] + }, + "PIPERX14VALID": { + "direction": "input", + "bits": [ 6613 ] + }, + "PIPERX15CHARISK": { + "direction": "input", + "bits": [ 6614, 6615 ] + }, + "PIPERX15DATA": { + "direction": "input", + "bits": [ 6616, 6617, 6618, 6619, 6620, 6621, 6622, 6623, 6624, 6625, 6626, 6627, 6628, 6629, 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638, 6639, 6640, 6641, 6642, 6643, 6644, 6645, 6646, 6647 ] + }, + "PIPERX15DATAVALID": { + "direction": "input", + "bits": [ 6648 ] + }, + "PIPERX15ELECIDLE": { + "direction": "input", + "bits": [ 6649 ] + }, + "PIPERX15EQDONE": { + "direction": "input", + "bits": [ 6650 ] + }, + "PIPERX15EQLPADAPTDONE": { + "direction": "input", + "bits": [ 6651 ] + }, + "PIPERX15EQLPLFFSSEL": { + "direction": "input", + "bits": [ 6652 ] + }, + "PIPERX15EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 6653, 6654, 6655, 6656, 6657, 6658, 6659, 6660, 6661, 6662, 6663, 6664, 6665, 6666, 6667, 6668, 6669, 6670 ] + }, + "PIPERX15PHYSTATUS": { + "direction": "input", + "bits": [ 6671 ] + }, + "PIPERX15STARTBLOCK": { + "direction": "input", + "bits": [ 6672, 6673 ] + }, + "PIPERX15STATUS": { + "direction": "input", + "bits": [ 6674, 6675, 6676 ] + }, + "PIPERX15SYNCHEADER": { + "direction": "input", + "bits": [ 6677, 6678 ] + }, + "PIPERX15VALID": { + "direction": "input", + "bits": [ 6679 ] + }, + "PIPETX00EQCOEFF": { + "direction": "input", + "bits": [ 6680, 6681, 6682, 6683, 6684, 6685, 6686, 6687, 6688, 6689, 6690, 6691, 6692, 6693, 6694, 6695, 6696, 6697 ] + }, + "PIPETX00EQDONE": { + "direction": "input", + "bits": [ 6698 ] + }, + "PIPETX01EQCOEFF": { + "direction": "input", + "bits": [ 6699, 6700, 6701, 6702, 6703, 6704, 6705, 6706, 6707, 6708, 6709, 6710, 6711, 6712, 6713, 6714, 6715, 6716 ] + }, + "PIPETX01EQDONE": { + "direction": "input", + "bits": [ 6717 ] + }, + "PIPETX02EQCOEFF": { + "direction": "input", + "bits": [ 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733, 6734, 6735 ] + }, + "PIPETX02EQDONE": { + "direction": "input", + "bits": [ 6736 ] + }, + "PIPETX03EQCOEFF": { + "direction": "input", + "bits": [ 6737, 6738, 6739, 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752, 6753, 6754 ] + }, + "PIPETX03EQDONE": { + "direction": "input", + "bits": [ 6755 ] + }, + "PIPETX04EQCOEFF": { + "direction": "input", + "bits": [ 6756, 6757, 6758, 6759, 6760, 6761, 6762, 6763, 6764, 6765, 6766, 6767, 6768, 6769, 6770, 6771, 6772, 6773 ] + }, + "PIPETX04EQDONE": { + "direction": "input", + "bits": [ 6774 ] + }, + "PIPETX05EQCOEFF": { + "direction": "input", + "bits": [ 6775, 6776, 6777, 6778, 6779, 6780, 6781, 6782, 6783, 6784, 6785, 6786, 6787, 6788, 6789, 6790, 6791, 6792 ] + }, + "PIPETX05EQDONE": { + "direction": "input", + "bits": [ 6793 ] + }, + "PIPETX06EQCOEFF": { + "direction": "input", + "bits": [ 6794, 6795, 6796, 6797, 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810, 6811 ] + }, + "PIPETX06EQDONE": { + "direction": "input", + "bits": [ 6812 ] + }, + "PIPETX07EQCOEFF": { + "direction": "input", + "bits": [ 6813, 6814, 6815, 6816, 6817, 6818, 6819, 6820, 6821, 6822, 6823, 6824, 6825, 6826, 6827, 6828, 6829, 6830 ] + }, + "PIPETX07EQDONE": { + "direction": "input", + "bits": [ 6831 ] + }, + "PIPETX08EQCOEFF": { + "direction": "input", + "bits": [ 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849 ] + }, + "PIPETX08EQDONE": { + "direction": "input", + "bits": [ 6850 ] + }, + "PIPETX09EQCOEFF": { + "direction": "input", + "bits": [ 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864, 6865, 6866, 6867, 6868 ] + }, + "PIPETX09EQDONE": { + "direction": "input", + "bits": [ 6869 ] + }, + "PIPETX10EQCOEFF": { + "direction": "input", + "bits": [ 6870, 6871, 6872, 6873, 6874, 6875, 6876, 6877, 6878, 6879, 6880, 6881, 6882, 6883, 6884, 6885, 6886, 6887 ] + }, + "PIPETX10EQDONE": { + "direction": "input", + "bits": [ 6888 ] + }, + "PIPETX11EQCOEFF": { + "direction": "input", + "bits": [ 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906 ] + }, + "PIPETX11EQDONE": { + "direction": "input", + "bits": [ 6907 ] + }, + "PIPETX12EQCOEFF": { + "direction": "input", + "bits": [ 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925 ] + }, + "PIPETX12EQDONE": { + "direction": "input", + "bits": [ 6926 ] + }, + "PIPETX13EQCOEFF": { + "direction": "input", + "bits": [ 6927, 6928, 6929, 6930, 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942, 6943, 6944 ] + }, + "PIPETX13EQDONE": { + "direction": "input", + "bits": [ 6945 ] + }, + "PIPETX14EQCOEFF": { + "direction": "input", + "bits": [ 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962, 6963 ] + }, + "PIPETX14EQDONE": { + "direction": "input", + "bits": [ 6964 ] + }, + "PIPETX15EQCOEFF": { + "direction": "input", + "bits": [ 6965, 6966, 6967, 6968, 6969, 6970, 6971, 6972, 6973, 6974, 6975, 6976, 6977, 6978, 6979, 6980, 6981, 6982 ] + }, + "PIPETX15EQDONE": { + "direction": "input", + "bits": [ 6983 ] + }, + "PLEQRESETEIEOSCOUNT": { + "direction": "input", + "bits": [ 6984 ] + }, + "PLGEN2UPSTREAMPREFERDEEMPH": { + "direction": "input", + "bits": [ 6985 ] + }, + "PLGEN34REDOEQSPEED": { + "direction": "input", + "bits": [ 6986 ] + }, + "PLGEN34REDOEQUALIZATION": { + "direction": "input", + "bits": [ 6987 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 6988 ] + }, + "SAXISCCIXTXTDATA": { + "direction": "input", + "bits": [ 6989, 6990, 6991, 6992, 6993, 6994, 6995, 6996, 6997, 6998, 6999, 7000, 7001, 7002, 7003, 7004, 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012, 7013, 7014, 7015, 7016, 7017, 7018, 7019, 7020, 7021, 7022, 7023, 7024, 7025, 7026, 7027, 7028, 7029, 7030, 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052, 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060, 7061, 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080, 7081, 7082, 7083, 7084, 7085, 7086, 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094, 7095, 7096, 7097, 7098, 7099, 7100, 7101, 7102, 7103, 7104, 7105, 7106, 7107, 7108, 7109, 7110, 7111, 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139, 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171, 7172, 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204, 7205, 7206, 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239, 7240, 7241, 7242, 7243, 7244 ] + }, + "SAXISCCIXTXTUSER": { + "direction": "input", + "bits": [ 7245, 7246, 7247, 7248, 7249, 7250, 7251, 7252, 7253, 7254, 7255, 7256, 7257, 7258, 7259, 7260, 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286, 7287, 7288, 7289, 7290 ] + }, + "SAXISCCIXTXTVALID": { + "direction": "input", + "bits": [ 7291 ] + }, + "SAXISCCTDATA": { + "direction": "input", + "bits": [ 7292, 7293, 7294, 7295, 7296, 7297, 7298, 7299, 7300, 7301, 7302, 7303, 7304, 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, 7315, 7316, 7317, 7318, 7319, 7320, 7321, 7322, 7323, 7324, 7325, 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342, 7343, 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351, 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359, 7360, 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372, 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388, 7389, 7390, 7391, 7392, 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400, 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408, 7409, 7410, 7411, 7412, 7413, 7414, 7415, 7416, 7417, 7418, 7419, 7420, 7421, 7422, 7423, 7424, 7425, 7426, 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458, 7459, 7460, 7461, 7462, 7463, 7464, 7465, 7466, 7467, 7468, 7469, 7470, 7471, 7472, 7473, 7474, 7475, 7476, 7477, 7478, 7479, 7480, 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510, 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540, 7541, 7542, 7543, 7544, 7545, 7546, 7547 ] + }, + "SAXISCCTKEEP": { + "direction": "input", + "bits": [ 7548, 7549, 7550, 7551, 7552, 7553, 7554, 7555 ] + }, + "SAXISCCTLAST": { + "direction": "input", + "bits": [ 7556 ] + }, + "SAXISCCTUSER": { + "direction": "input", + "bits": [ 7557, 7558, 7559, 7560, 7561, 7562, 7563, 7564, 7565, 7566, 7567, 7568, 7569, 7570, 7571, 7572, 7573, 7574, 7575, 7576, 7577, 7578, 7579, 7580, 7581, 7582, 7583, 7584, 7585, 7586, 7587, 7588, 7589 ] + }, + "SAXISCCTVALID": { + "direction": "input", + "bits": [ 7590 ] + }, + "SAXISRQTDATA": { + "direction": "input", + "bits": [ 7591, 7592, 7593, 7594, 7595, 7596, 7597, 7598, 7599, 7600, 7601, 7602, 7603, 7604, 7605, 7606, 7607, 7608, 7609, 7610, 7611, 7612, 7613, 7614, 7615, 7616, 7617, 7618, 7619, 7620, 7621, 7622, 7623, 7624, 7625, 7626, 7627, 7628, 7629, 7630, 7631, 7632, 7633, 7634, 7635, 7636, 7637, 7638, 7639, 7640, 7641, 7642, 7643, 7644, 7645, 7646, 7647, 7648, 7649, 7650, 7651, 7652, 7653, 7654, 7655, 7656, 7657, 7658, 7659, 7660, 7661, 7662, 7663, 7664, 7665, 7666, 7667, 7668, 7669, 7670, 7671, 7672, 7673, 7674, 7675, 7676, 7677, 7678, 7679, 7680, 7681, 7682, 7683, 7684, 7685, 7686, 7687, 7688, 7689, 7690, 7691, 7692, 7693, 7694, 7695, 7696, 7697, 7698, 7699, 7700, 7701, 7702, 7703, 7704, 7705, 7706, 7707, 7708, 7709, 7710, 7711, 7712, 7713, 7714, 7715, 7716, 7717, 7718, 7719, 7720, 7721, 7722, 7723, 7724, 7725, 7726, 7727, 7728, 7729, 7730, 7731, 7732, 7733, 7734, 7735, 7736, 7737, 7738, 7739, 7740, 7741, 7742, 7743, 7744, 7745, 7746, 7747, 7748, 7749, 7750, 7751, 7752, 7753, 7754, 7755, 7756, 7757, 7758, 7759, 7760, 7761, 7762, 7763, 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 7828, 7829, 7830, 7831, 7832, 7833, 7834, 7835, 7836, 7837, 7838, 7839, 7840, 7841, 7842, 7843, 7844, 7845, 7846 ] + }, + "SAXISRQTKEEP": { + "direction": "input", + "bits": [ 7847, 7848, 7849, 7850, 7851, 7852, 7853, 7854 ] + }, + "SAXISRQTLAST": { + "direction": "input", + "bits": [ 7855 ] + }, + "SAXISRQTUSER": { + "direction": "input", + "bits": [ 7856, 7857, 7858, 7859, 7860, 7861, 7862, 7863, 7864, 7865, 7866, 7867, 7868, 7869, 7870, 7871, 7872, 7873, 7874, 7875, 7876, 7877, 7878, 7879, 7880, 7881, 7882, 7883, 7884, 7885, 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 7898, 7899, 7900, 7901, 7902, 7903, 7904, 7905, 7906, 7907, 7908, 7909, 7910, 7911, 7912, 7913, 7914, 7915, 7916, 7917 ] + }, + "SAXISRQTVALID": { + "direction": "input", + "bits": [ 7918 ] + }, + "USERCLK": { + "direction": "input", + "bits": [ 7919 ] + }, + "USERCLK2": { + "direction": "input", + "bits": [ 7920 ] + }, + "USERCLKEN": { + "direction": "input", + "bits": [ 7921 ] + }, + "USERSPAREIN": { + "direction": "input", + "bits": [ 7922, 7923, 7924, 7925, 7926, 7927, 7928, 7929, 7930, 7931, 7932, 7933, 7934, 7935, 7936, 7937, 7938, 7939, 7940, 7941, 7942, 7943, 7944, 7945, 7946, 7947, 7948, 7949, 7950, 7951, 7952, 7953 ] + } + }, + "cells": { + }, + "netnames": { + "AXIUSERIN": { + "hide_name": 0, + "bits": [ 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26150.17-26150.26" + } + }, + "AXIUSEROUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25810.18-25810.28" + } + }, + "CCIXOPTIMIZEDTLPTXANDRXENABLE": { + "hide_name": 0, + "bits": [ 3848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26151.11-26151.40" + } + }, + "CCIXRXCORRECTABLEERRORDETECTED": { + "hide_name": 0, + "bits": [ 3849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26152.11-26152.41" + } + }, + "CCIXRXFIFOOVERFLOW": { + "hide_name": 0, + "bits": [ 3850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26153.11-26153.29" + } + }, + "CCIXRXTLPFORWARDED0": { + "hide_name": 0, + "bits": [ 3851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26154.11-26154.30" + } + }, + "CCIXRXTLPFORWARDED1": { + "hide_name": 0, + "bits": [ 3852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26155.11-26155.30" + } + }, + "CCIXRXTLPFORWARDEDLENGTH0": { + "hide_name": 0, + "bits": [ 3853, 3854, 3855, 3856, 3857, 3858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26156.17-26156.42" + } + }, + "CCIXRXTLPFORWARDEDLENGTH1": { + "hide_name": 0, + "bits": [ 3859, 3860, 3861, 3862, 3863, 3864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26157.17-26157.42" + } + }, + "CCIXRXUNCORRECTABLEERRORDETECTED": { + "hide_name": 0, + "bits": [ 3865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26158.11-26158.43" + } + }, + "CCIXTXCREDIT": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25811.12-25811.24" + } + }, + "CFGBUSNUMBER": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25812.18-25812.30" + } + }, + "CFGCONFIGSPACEENABLE": { + "hide_name": 0, + "bits": [ 3866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26159.11-26159.31" + } + }, + "CFGCURRENTSPEED": { + "hide_name": 0, + "bits": [ 19, 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25813.18-25813.33" + } + }, + "CFGDEVIDPF0": { + "hide_name": 0, + "bits": [ 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26160.18-26160.29" + } + }, + "CFGDEVIDPF1": { + "hide_name": 0, + "bits": [ 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26161.18-26161.29" + } + }, + "CFGDEVIDPF2": { + "hide_name": 0, + "bits": [ 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26162.18-26162.29" + } + }, + "CFGDEVIDPF3": { + "hide_name": 0, + "bits": [ 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26163.18-26163.29" + } + }, + "CFGDSBUSNUMBER": { + "hide_name": 0, + "bits": [ 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26164.17-26164.31" + } + }, + "CFGDSDEVICENUMBER": { + "hide_name": 0, + "bits": [ 3939, 3940, 3941, 3942, 3943 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26165.17-26165.34" + } + }, + "CFGDSFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 3944, 3945, 3946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26166.17-26166.36" + } + }, + "CFGDSN": { + "hide_name": 0, + "bits": [ 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26167.18-26167.24" + } + }, + "CFGDSPORTNUMBER": { + "hide_name": 0, + "bits": [ 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26168.17-26168.32" + } + }, + "CFGERRCORIN": { + "hide_name": 0, + "bits": [ 4019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26169.11-26169.22" + } + }, + "CFGERRCOROUT": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25814.12-25814.24" + } + }, + "CFGERRFATALOUT": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25815.12-25815.26" + } + }, + "CFGERRNONFATALOUT": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25816.12-25816.29" + } + }, + "CFGERRUNCORIN": { + "hide_name": 0, + "bits": [ 4020 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26170.11-26170.24" + } + }, + "CFGEXTFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25817.18-25817.38" + } + }, + "CFGEXTREADDATA": { + "hide_name": 0, + "bits": [ 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26171.18-26171.32" + } + }, + "CFGEXTREADDATAVALID": { + "hide_name": 0, + "bits": [ 4053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26172.11-26172.30" + } + }, + "CFGEXTREADRECEIVED": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25818.12-25818.30" + } + }, + "CFGEXTREGISTERNUMBER": { + "hide_name": 0, + "bits": [ 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25819.18-25819.38" + } + }, + "CFGEXTWRITEBYTEENABLE": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25820.18-25820.39" + } + }, + "CFGEXTWRITEDATA": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25821.19-25821.34" + } + }, + "CFGEXTWRITERECEIVED": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25822.12-25822.31" + } + }, + "CFGFCCPLD": { + "hide_name": 0, + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25823.19-25823.28" + } + }, + "CFGFCCPLH": { + "hide_name": 0, + "bits": [ 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25824.18-25824.27" + } + }, + "CFGFCNPD": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25825.19-25825.27" + } + }, + "CFGFCNPH": { + "hide_name": 0, + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25826.18-25826.26" + } + }, + "CFGFCPD": { + "hide_name": 0, + "bits": [ 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25827.19-25827.26" + } + }, + "CFGFCPH": { + "hide_name": 0, + "bits": [ 132, 133, 134, 135, 136, 137, 138, 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25828.18-25828.25" + } + }, + "CFGFCSEL": { + "hide_name": 0, + "bits": [ 4054, 4055, 4056 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26173.17-26173.25" + } + }, + "CFGFCVCSEL": { + "hide_name": 0, + "bits": [ 4057 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26174.11-26174.21" + } + }, + "CFGFLRDONE": { + "hide_name": 0, + "bits": [ 4058, 4059, 4060, 4061 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26175.17-26175.27" + } + }, + "CFGFLRINPROCESS": { + "hide_name": 0, + "bits": [ 140, 141, 142, 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25829.18-25829.33" + } + }, + "CFGFUNCTIONPOWERSTATE": { + "hide_name": 0, + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25830.19-25830.40" + } + }, + "CFGFUNCTIONSTATUS": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25831.19-25831.36" + } + }, + "CFGHOTRESETIN": { + "hide_name": 0, + "bits": [ 4062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26176.11-26176.24" + } + }, + "CFGHOTRESETOUT": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25832.12-25832.26" + } + }, + "CFGINTERRUPTINT": { + "hide_name": 0, + "bits": [ 4063, 4064, 4065, 4066 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26177.17-26177.32" + } + }, + "CFGINTERRUPTMSIATTR": { + "hide_name": 0, + "bits": [ 4067, 4068, 4069 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26178.17-26178.36" + } + }, + "CFGINTERRUPTMSIDATA": { + "hide_name": 0, + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25833.19-25833.38" + } + }, + "CFGINTERRUPTMSIENABLE": { + "hide_name": 0, + "bits": [ 205, 206, 207, 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25834.18-25834.39" + } + }, + "CFGINTERRUPTMSIFAIL": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25835.12-25835.31" + } + }, + "CFGINTERRUPTMSIFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26179.17-26179.46" + } + }, + "CFGINTERRUPTMSIINT": { + "hide_name": 0, + "bits": [ 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26180.18-26180.36" + } + }, + "CFGINTERRUPTMSIMASKUPDATE": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25836.12-25836.37" + } + }, + "CFGINTERRUPTMSIMMENABLE": { + "hide_name": 0, + "bits": [ 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25837.19-25837.42" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUS": { + "hide_name": 0, + "bits": [ 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26181.18-26181.46" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE": { + "hide_name": 0, + "bits": [ 4142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26182.11-26182.49" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM": { + "hide_name": 0, + "bits": [ 4143, 4144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26183.17-26183.56" + } + }, + "CFGINTERRUPTMSISELECT": { + "hide_name": 0, + "bits": [ 4145, 4146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26184.17-26184.38" + } + }, + "CFGINTERRUPTMSISENT": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25838.12-25838.31" + } + }, + "CFGINTERRUPTMSITPHPRESENT": { + "hide_name": 0, + "bits": [ 4147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26185.11-26185.36" + } + }, + "CFGINTERRUPTMSITPHSTTAG": { + "hide_name": 0, + "bits": [ 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26186.17-26186.40" + } + }, + "CFGINTERRUPTMSITPHTYPE": { + "hide_name": 0, + "bits": [ 4156, 4157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26187.17-26187.39" + } + }, + "CFGINTERRUPTMSIXADDRESS": { + "hide_name": 0, + "bits": [ 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26188.18-26188.41" + } + }, + "CFGINTERRUPTMSIXDATA": { + "hide_name": 0, + "bits": [ 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26189.18-26189.38" + } + }, + "CFGINTERRUPTMSIXENABLE": { + "hide_name": 0, + "bits": [ 224, 225, 226, 227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25839.18-25839.40" + } + }, + "CFGINTERRUPTMSIXINT": { + "hide_name": 0, + "bits": [ 4254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26190.11-26190.30" + } + }, + "CFGINTERRUPTMSIXMASK": { + "hide_name": 0, + "bits": [ 228, 229, 230, 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25840.18-25840.38" + } + }, + "CFGINTERRUPTMSIXVECPENDING": { + "hide_name": 0, + "bits": [ 4255, 4256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26191.17-26191.43" + } + }, + "CFGINTERRUPTMSIXVECPENDINGSTATUS": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25841.12-25841.44" + } + }, + "CFGINTERRUPTPENDING": { + "hide_name": 0, + "bits": [ 4257, 4258, 4259, 4260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26192.17-26192.36" + } + }, + "CFGINTERRUPTSENT": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25842.12-25842.28" + } + }, + "CFGLINKPOWERSTATE": { + "hide_name": 0, + "bits": [ 234, 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25843.18-25843.35" + } + }, + "CFGLINKTRAININGENABLE": { + "hide_name": 0, + "bits": [ 4261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26193.11-26193.32" + } + }, + "CFGLOCALERROROUT": { + "hide_name": 0, + "bits": [ 236, 237, 238, 239, 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25844.18-25844.34" + } + }, + "CFGLOCALERRORVALID": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25845.12-25845.30" + } + }, + "CFGLTRENABLE": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25846.12-25846.24" + } + }, + "CFGLTSSMSTATE": { + "hide_name": 0, + "bits": [ 243, 244, 245, 246, 247, 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25847.18-25847.31" + } + }, + "CFGMAXPAYLOAD": { + "hide_name": 0, + "bits": [ 249, 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25848.18-25848.31" + } + }, + "CFGMAXREADREQ": { + "hide_name": 0, + "bits": [ 251, 252, 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25849.18-25849.31" + } + }, + "CFGMGMTADDR": { + "hide_name": 0, + "bits": [ 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26194.17-26194.28" + } + }, + "CFGMGMTBYTEENABLE": { + "hide_name": 0, + "bits": [ 4272, 4273, 4274, 4275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26195.17-26195.34" + } + }, + "CFGMGMTDEBUGACCESS": { + "hide_name": 0, + "bits": [ 4276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26196.11-26196.29" + } + }, + "CFGMGMTFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26197.17-26197.38" + } + }, + "CFGMGMTREAD": { + "hide_name": 0, + "bits": [ 4285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26198.11-26198.22" + } + }, + "CFGMGMTREADDATA": { + "hide_name": 0, + "bits": [ 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25850.19-25850.34" + } + }, + "CFGMGMTREADWRITEDONE": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25851.12-25851.32" + } + }, + "CFGMGMTWRITE": { + "hide_name": 0, + "bits": [ 4286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26199.11-26199.23" + } + }, + "CFGMGMTWRITEDATA": { + "hide_name": 0, + "bits": [ 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26200.18-26200.34" + } + }, + "CFGMSGRECEIVED": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25852.12-25852.26" + } + }, + "CFGMSGRECEIVEDDATA": { + "hide_name": 0, + "bits": [ 288, 289, 290, 291, 292, 293, 294, 295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25853.18-25853.36" + } + }, + "CFGMSGRECEIVEDTYPE": { + "hide_name": 0, + "bits": [ 296, 297, 298, 299, 300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25854.18-25854.36" + } + }, + "CFGMSGTRANSMIT": { + "hide_name": 0, + "bits": [ 4319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26201.11-26201.25" + } + }, + "CFGMSGTRANSMITDATA": { + "hide_name": 0, + "bits": [ 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26202.18-26202.36" + } + }, + "CFGMSGTRANSMITDONE": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25855.12-25855.30" + } + }, + "CFGMSGTRANSMITTYPE": { + "hide_name": 0, + "bits": [ 4352, 4353, 4354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26203.17-26203.35" + } + }, + "CFGMSIXRAMADDRESS": { + "hide_name": 0, + "bits": [ 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25856.19-25856.36" + } + }, + "CFGMSIXRAMREADDATA": { + "hide_name": 0, + "bits": [ 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26204.18-26204.36" + } + }, + "CFGMSIXRAMREADENABLE": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25857.12-25857.32" + } + }, + "CFGMSIXRAMWRITEBYTEENABLE": { + "hide_name": 0, + "bits": [ 316, 317, 318, 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25858.18-25858.43" + } + }, + "CFGMSIXRAMWRITEDATA": { + "hide_name": 0, + "bits": [ 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25859.19-25859.38" + } + }, + "CFGNEGOTIATEDWIDTH": { + "hide_name": 0, + "bits": [ 356, 357, 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25860.18-25860.36" + } + }, + "CFGOBFFENABLE": { + "hide_name": 0, + "bits": [ 359, 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25861.18-25861.31" + } + }, + "CFGPHYLINKDOWN": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25862.12-25862.26" + } + }, + "CFGPHYLINKSTATUS": { + "hide_name": 0, + "bits": [ 362, 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25863.18-25863.34" + } + }, + "CFGPLSTATUSCHANGE": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25864.12-25864.29" + } + }, + "CFGPMASPML1ENTRYREJECT": { + "hide_name": 0, + "bits": [ 4391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26205.11-26205.33" + } + }, + "CFGPMASPMTXL0SENTRYDISABLE": { + "hide_name": 0, + "bits": [ 4392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26206.11-26206.37" + } + }, + "CFGPOWERSTATECHANGEACK": { + "hide_name": 0, + "bits": [ 4393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26207.11-26207.33" + } + }, + "CFGPOWERSTATECHANGEINTERRUPT": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25865.12-25865.40" + } + }, + "CFGRCBSTATUS": { + "hide_name": 0, + "bits": [ 366, 367, 368, 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25866.18-25866.30" + } + }, + "CFGREQPMTRANSITIONL23READY": { + "hide_name": 0, + "bits": [ 4394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26208.11-26208.37" + } + }, + "CFGREVIDPF0": { + "hide_name": 0, + "bits": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26209.17-26209.28" + } + }, + "CFGREVIDPF1": { + "hide_name": 0, + "bits": [ 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26210.17-26210.28" + } + }, + "CFGREVIDPF2": { + "hide_name": 0, + "bits": [ 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26211.17-26211.28" + } + }, + "CFGREVIDPF3": { + "hide_name": 0, + "bits": [ 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26212.17-26212.28" + } + }, + "CFGRXPMSTATE": { + "hide_name": 0, + "bits": [ 370, 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25867.18-25867.30" + } + }, + "CFGSUBSYSIDPF0": { + "hide_name": 0, + "bits": [ 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26213.18-26213.32" + } + }, + "CFGSUBSYSIDPF1": { + "hide_name": 0, + "bits": [ 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26214.18-26214.32" + } + }, + "CFGSUBSYSIDPF2": { + "hide_name": 0, + "bits": [ 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26215.18-26215.32" + } + }, + "CFGSUBSYSIDPF3": { + "hide_name": 0, + "bits": [ 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26216.18-26216.32" + } + }, + "CFGSUBSYSVENDID": { + "hide_name": 0, + "bits": [ 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26217.18-26217.33" + } + }, + "CFGTPHRAMADDRESS": { + "hide_name": 0, + "bits": [ 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25868.19-25868.35" + } + }, + "CFGTPHRAMREADDATA": { + "hide_name": 0, + "bits": [ 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26218.18-26218.35" + } + }, + "CFGTPHRAMREADENABLE": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25869.12-25869.31" + } + }, + "CFGTPHRAMWRITEBYTEENABLE": { + "hide_name": 0, + "bits": [ 385, 386, 387, 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25870.18-25870.42" + } + }, + "CFGTPHRAMWRITEDATA": { + "hide_name": 0, + "bits": [ 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25871.19-25871.37" + } + }, + "CFGTPHREQUESTERENABLE": { + "hide_name": 0, + "bits": [ 425, 426, 427, 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25872.18-25872.39" + } + }, + "CFGTPHSTMODE": { + "hide_name": 0, + "bits": [ 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25873.19-25873.31" + } + }, + "CFGTXPMSTATE": { + "hide_name": 0, + "bits": [ 441, 442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25874.18-25874.30" + } + }, + "CFGVC1ENABLE": { + "hide_name": 0, + "bits": [ 443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25875.12-25875.24" + } + }, + "CFGVC1NEGOTIATIONPENDING": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25876.12-25876.36" + } + }, + "CFGVENDID": { + "hide_name": 0, + "bits": [ 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26219.18-26219.27" + } + }, + "CFGVFFLRDONE": { + "hide_name": 0, + "bits": [ 4559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26220.11-26220.23" + } + }, + "CFGVFFLRFUNCNUM": { + "hide_name": 0, + "bits": [ 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26221.17-26221.32" + } + }, + "CONFMCAPDESIGNSWITCH": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25877.12-25877.32" + } + }, + "CONFMCAPEOS": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25878.12-25878.23" + } + }, + "CONFMCAPINUSEBYPCIE": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25879.12-25879.31" + } + }, + "CONFMCAPREQUESTBYCONF": { + "hide_name": 0, + "bits": [ 4568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26222.11-26222.32" + } + }, + "CONFREQDATA": { + "hide_name": 0, + "bits": [ 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26223.18-26223.29" + } + }, + "CONFREQREADY": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25880.12-25880.24" + } + }, + "CONFREQREGNUM": { + "hide_name": 0, + "bits": [ 4601, 4602, 4603, 4604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26224.17-26224.30" + } + }, + "CONFREQTYPE": { + "hide_name": 0, + "bits": [ 4605, 4606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26225.17-26225.28" + } + }, + "CONFREQVALID": { + "hide_name": 0, + "bits": [ 4607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26226.11-26226.23" + } + }, + "CONFRESPRDATA": { + "hide_name": 0, + "bits": [ 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25881.19-25881.32" + } + }, + "CONFRESPVALID": { + "hide_name": 0, + "bits": [ 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25882.12-25882.25" + } + }, + "CORECLK": { + "hide_name": 0, + "bits": [ 4608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26227.11-26227.18" + } + }, + "CORECLKCCIX": { + "hide_name": 0, + "bits": [ 4609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26228.11-26228.22" + } + }, + "CORECLKMIREPLAYRAM0": { + "hide_name": 0, + "bits": [ 4610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26229.11-26229.30" + } + }, + "CORECLKMIREPLAYRAM1": { + "hide_name": 0, + "bits": [ 4611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26230.11-26230.30" + } + }, + "CORECLKMIRXCOMPLETIONRAM0": { + "hide_name": 0, + "bits": [ 4612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26231.11-26231.36" + } + }, + "CORECLKMIRXCOMPLETIONRAM1": { + "hide_name": 0, + "bits": [ 4613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26232.11-26232.36" + } + }, + "CORECLKMIRXPOSTEDREQUESTRAM0": { + "hide_name": 0, + "bits": [ 4614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26233.11-26233.39" + } + }, + "CORECLKMIRXPOSTEDREQUESTRAM1": { + "hide_name": 0, + "bits": [ 4615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26234.11-26234.39" + } + }, + "DBGCCIXOUT": { + "hide_name": 0, + "bits": [ 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25883.20-25883.30" + } + }, + "DBGCTRL0OUT": { + "hide_name": 0, + "bits": [ 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25884.19-25884.30" + } + }, + "DBGCTRL1OUT": { + "hide_name": 0, + "bits": [ 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25885.19-25885.30" + } + }, + "DBGDATA0OUT": { + "hide_name": 0, + "bits": [ 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25886.20-25886.31" + } + }, + "DBGDATA1OUT": { + "hide_name": 0, + "bits": [ 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25887.20-25887.31" + } + }, + "DBGSEL0": { + "hide_name": 0, + "bits": [ 4616, 4617, 4618, 4619, 4620, 4621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26235.17-26235.24" + } + }, + "DBGSEL1": { + "hide_name": 0, + "bits": [ 4622, 4623, 4624, 4625, 4626, 4627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26236.17-26236.24" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26237.17-26237.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 4638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26238.11-26238.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26239.18-26239.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25888.19-25888.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 4655 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26240.11-26240.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 1204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25889.12-25889.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 4656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26241.11-26241.16" + } + }, + "MAXISCCIXRXTUSER": { + "hide_name": 0, + "bits": [ 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25890.19-25890.35" + } + }, + "MAXISCCIXRXTVALID": { + "hide_name": 0, + "bits": [ 1251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25891.12-25891.29" + } + }, + "MAXISCQTDATA": { + "hide_name": 0, + "bits": [ 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25892.20-25892.32" + } + }, + "MAXISCQTKEEP": { + "hide_name": 0, + "bits": [ 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25893.18-25893.30" + } + }, + "MAXISCQTLAST": { + "hide_name": 0, + "bits": [ 1516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25894.12-25894.24" + } + }, + "MAXISCQTREADY": { + "hide_name": 0, + "bits": [ 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26242.18-26242.31" + } + }, + "MAXISCQTUSER": { + "hide_name": 0, + "bits": [ 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25895.19-25895.31" + } + }, + "MAXISCQTVALID": { + "hide_name": 0, + "bits": [ 1605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25896.12-25896.25" + } + }, + "MAXISRCTDATA": { + "hide_name": 0, + "bits": [ 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25897.20-25897.32" + } + }, + "MAXISRCTKEEP": { + "hide_name": 0, + "bits": [ 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25898.18-25898.30" + } + }, + "MAXISRCTLAST": { + "hide_name": 0, + "bits": [ 1870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25899.12-25899.24" + } + }, + "MAXISRCTREADY": { + "hide_name": 0, + "bits": [ 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26243.18-26243.31" + } + }, + "MAXISRCTUSER": { + "hide_name": 0, + "bits": [ 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25900.19-25900.31" + } + }, + "MAXISRCTVALID": { + "hide_name": 0, + "bits": [ 1946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25901.12-25901.25" + } + }, + "MCAPCLK": { + "hide_name": 0, + "bits": [ 4701 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26244.11-26244.18" + } + }, + "MCAPPERST0B": { + "hide_name": 0, + "bits": [ 4702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26245.11-26245.22" + } + }, + "MCAPPERST1B": { + "hide_name": 0, + "bits": [ 4703 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26246.11-26246.22" + } + }, + "MGMTRESETN": { + "hide_name": 0, + "bits": [ 4704 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26247.11-26247.21" + } + }, + "MGMTSTICKYRESETN": { + "hide_name": 0, + "bits": [ 4705 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26248.11-26248.27" + } + }, + "MIREPLAYRAMADDRESS0": { + "hide_name": 0, + "bits": [ 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25902.18-25902.37" + } + }, + "MIREPLAYRAMADDRESS1": { + "hide_name": 0, + "bits": [ 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25903.18-25903.37" + } + }, + "MIREPLAYRAMERRCOR": { + "hide_name": 0, + "bits": [ 4706, 4707, 4708, 4709, 4710, 4711 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26249.17-26249.34" + } + }, + "MIREPLAYRAMERRUNCOR": { + "hide_name": 0, + "bits": [ 4712, 4713, 4714, 4715, 4716, 4717 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26250.17-26250.36" + } + }, + "MIREPLAYRAMREADDATA0": { + "hide_name": 0, + "bits": [ 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26251.19-26251.39" + } + }, + "MIREPLAYRAMREADDATA1": { + "hide_name": 0, + "bits": [ 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26252.19-26252.39" + } + }, + "MIREPLAYRAMREADENABLE0": { + "hide_name": 0, + "bits": [ 1965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25904.12-25904.34" + } + }, + "MIREPLAYRAMREADENABLE1": { + "hide_name": 0, + "bits": [ 1966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25905.12-25905.34" + } + }, + "MIREPLAYRAMWRITEDATA0": { + "hide_name": 0, + "bits": [ 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25906.20-25906.41" + } + }, + "MIREPLAYRAMWRITEDATA1": { + "hide_name": 0, + "bits": [ 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25907.20-25907.41" + } + }, + "MIREPLAYRAMWRITEENABLE0": { + "hide_name": 0, + "bits": [ 2223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25908.12-25908.35" + } + }, + "MIREPLAYRAMWRITEENABLE1": { + "hide_name": 0, + "bits": [ 2224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25909.12-25909.35" + } + }, + "MIRXCOMPLETIONRAMERRCOR": { + "hide_name": 0, + "bits": [ 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26253.18-26253.41" + } + }, + "MIRXCOMPLETIONRAMERRUNCOR": { + "hide_name": 0, + "bits": [ 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26254.18-26254.43" + } + }, + "MIRXCOMPLETIONRAMREADADDRESS0": { + "hide_name": 0, + "bits": [ 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25910.18-25910.47" + } + }, + "MIRXCOMPLETIONRAMREADADDRESS1": { + "hide_name": 0, + "bits": [ 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25911.18-25911.47" + } + }, + "MIRXCOMPLETIONRAMREADDATA0": { + "hide_name": 0, + "bits": [ 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26255.19-26255.45" + } + }, + "MIRXCOMPLETIONRAMREADDATA1": { + "hide_name": 0, + "bits": [ 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266, 5267, 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26256.19-26256.45" + } + }, + "MIRXCOMPLETIONRAMREADENABLE0": { + "hide_name": 0, + "bits": [ 2243, 2244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25912.18-25912.46" + } + }, + "MIRXCOMPLETIONRAMREADENABLE1": { + "hide_name": 0, + "bits": [ 2245, 2246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25913.18-25913.46" + } + }, + "MIRXCOMPLETIONRAMWRITEADDRESS0": { + "hide_name": 0, + "bits": [ 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25914.18-25914.48" + } + }, + "MIRXCOMPLETIONRAMWRITEADDRESS1": { + "hide_name": 0, + "bits": [ 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25915.18-25915.48" + } + }, + "MIRXCOMPLETIONRAMWRITEDATA0": { + "hide_name": 0, + "bits": [ 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25916.20-25916.47" + } + }, + "MIRXCOMPLETIONRAMWRITEDATA1": { + "hide_name": 0, + "bits": [ 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25917.20-25917.47" + } + }, + "MIRXCOMPLETIONRAMWRITEENABLE0": { + "hide_name": 0, + "bits": [ 2553, 2554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25918.18-25918.47" + } + }, + "MIRXCOMPLETIONRAMWRITEENABLE1": { + "hide_name": 0, + "bits": [ 2555, 2556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25919.18-25919.47" + } + }, + "MIRXPOSTEDREQUESTRAMERRCOR": { + "hide_name": 0, + "bits": [ 5286, 5287, 5288, 5289, 5290, 5291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26257.17-26257.43" + } + }, + "MIRXPOSTEDREQUESTRAMERRUNCOR": { + "hide_name": 0, + "bits": [ 5292, 5293, 5294, 5295, 5296, 5297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26258.17-26258.45" + } + }, + "MIRXPOSTEDREQUESTRAMREADADDRESS0": { + "hide_name": 0, + "bits": [ 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25920.18-25920.50" + } + }, + "MIRXPOSTEDREQUESTRAMREADADDRESS1": { + "hide_name": 0, + "bits": [ 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25921.18-25921.50" + } + }, + "MIRXPOSTEDREQUESTRAMREADDATA0": { + "hide_name": 0, + "bits": [ 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 5388, 5389, 5390, 5391, 5392, 5393, 5394, 5395, 5396, 5397, 5398, 5399, 5400, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26259.19-26259.48" + } + }, + "MIRXPOSTEDREQUESTRAMREADDATA1": { + "hide_name": 0, + "bits": [ 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548, 5549, 5550, 5551, 5552, 5553, 5554, 5555, 5556, 5557, 5558, 5559, 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26260.19-26260.48" + } + }, + "MIRXPOSTEDREQUESTRAMREADENABLE0": { + "hide_name": 0, + "bits": [ 2575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25922.12-25922.43" + } + }, + "MIRXPOSTEDREQUESTRAMREADENABLE1": { + "hide_name": 0, + "bits": [ 2576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25923.12-25923.43" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEADDRESS0": { + "hide_name": 0, + "bits": [ 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25924.18-25924.51" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEADDRESS1": { + "hide_name": 0, + "bits": [ 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25925.18-25925.51" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEDATA0": { + "hide_name": 0, + "bits": [ 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25926.20-25926.50" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEDATA1": { + "hide_name": 0, + "bits": [ 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25927.20-25927.50" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEENABLE0": { + "hide_name": 0, + "bits": [ 2883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25928.12-25928.44" + } + }, + "MIRXPOSTEDREQUESTRAMWRITEENABLE1": { + "hide_name": 0, + "bits": [ 2884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25929.12-25929.44" + } + }, + "PCIECOMPLDELIVERED": { + "hide_name": 0, + "bits": [ 5586, 5587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26261.17-26261.35" + } + }, + "PCIECOMPLDELIVEREDTAG0": { + "hide_name": 0, + "bits": [ 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26262.17-26262.39" + } + }, + "PCIECOMPLDELIVEREDTAG1": { + "hide_name": 0, + "bits": [ 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26263.17-26263.39" + } + }, + "PCIECQNPREQ": { + "hide_name": 0, + "bits": [ 5604, 5605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26264.17-26264.28" + } + }, + "PCIECQNPREQCOUNT": { + "hide_name": 0, + "bits": [ 2885, 2886, 2887, 2888, 2889, 2890 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25930.18-25930.34" + } + }, + "PCIECQNPUSERCREDITRCVD": { + "hide_name": 0, + "bits": [ 5606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26265.11-26265.33" + } + }, + "PCIECQPIPELINEEMPTY": { + "hide_name": 0, + "bits": [ 5607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26266.11-26266.30" + } + }, + "PCIEPERST0B": { + "hide_name": 0, + "bits": [ 2891 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25931.12-25931.23" + } + }, + "PCIEPERST1B": { + "hide_name": 0, + "bits": [ 2892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25932.12-25932.23" + } + }, + "PCIEPOSTEDREQDELIVERED": { + "hide_name": 0, + "bits": [ 5608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26267.11-26267.33" + } + }, + "PCIERQSEQNUM0": { + "hide_name": 0, + "bits": [ 2893, 2894, 2895, 2896, 2897, 2898 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25933.18-25933.31" + } + }, + "PCIERQSEQNUM1": { + "hide_name": 0, + "bits": [ 2899, 2900, 2901, 2902, 2903, 2904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25934.18-25934.31" + } + }, + "PCIERQSEQNUMVLD0": { + "hide_name": 0, + "bits": [ 2905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25935.12-25935.28" + } + }, + "PCIERQSEQNUMVLD1": { + "hide_name": 0, + "bits": [ 2906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25936.12-25936.28" + } + }, + "PCIERQTAG0": { + "hide_name": 0, + "bits": [ 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25937.18-25937.28" + } + }, + "PCIERQTAG1": { + "hide_name": 0, + "bits": [ 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25938.18-25938.28" + } + }, + "PCIERQTAGAV": { + "hide_name": 0, + "bits": [ 2923, 2924, 2925, 2926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25939.18-25939.29" + } + }, + "PCIERQTAGVLD0": { + "hide_name": 0, + "bits": [ 2927 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25940.12-25940.25" + } + }, + "PCIERQTAGVLD1": { + "hide_name": 0, + "bits": [ 2928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25941.12-25941.25" + } + }, + "PCIETFCNPDAV": { + "hide_name": 0, + "bits": [ 2929, 2930, 2931, 2932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25942.18-25942.30" + } + }, + "PCIETFCNPHAV": { + "hide_name": 0, + "bits": [ 2933, 2934, 2935, 2936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25943.18-25943.30" + } + }, + "PIPECLK": { + "hide_name": 0, + "bits": [ 5609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26268.11-26268.18" + } + }, + "PIPECLKEN": { + "hide_name": 0, + "bits": [ 5610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26269.11-26269.20" + } + }, + "PIPEEQFS": { + "hide_name": 0, + "bits": [ 5611, 5612, 5613, 5614, 5615, 5616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26270.17-26270.25" + } + }, + "PIPEEQLF": { + "hide_name": 0, + "bits": [ 5617, 5618, 5619, 5620, 5621, 5622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26271.17-26271.25" + } + }, + "PIPERESETN": { + "hide_name": 0, + "bits": [ 5623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26272.11-26272.21" + } + }, + "PIPERX00CHARISK": { + "hide_name": 0, + "bits": [ 5624, 5625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26273.17-26273.32" + } + }, + "PIPERX00DATA": { + "hide_name": 0, + "bits": [ 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26274.18-26274.30" + } + }, + "PIPERX00DATAVALID": { + "hide_name": 0, + "bits": [ 5658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26275.11-26275.28" + } + }, + "PIPERX00ELECIDLE": { + "hide_name": 0, + "bits": [ 5659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26276.11-26276.27" + } + }, + "PIPERX00EQCONTROL": { + "hide_name": 0, + "bits": [ 2937, 2938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25944.18-25944.35" + } + }, + "PIPERX00EQDONE": { + "hide_name": 0, + "bits": [ 5660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26277.11-26277.25" + } + }, + "PIPERX00EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26278.11-26278.32" + } + }, + "PIPERX00EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5662 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26279.11-26279.30" + } + }, + "PIPERX00EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26280.18-26280.48" + } + }, + "PIPERX00PHYSTATUS": { + "hide_name": 0, + "bits": [ 5681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26281.11-26281.28" + } + }, + "PIPERX00POLARITY": { + "hide_name": 0, + "bits": [ 2939 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25945.12-25945.28" + } + }, + "PIPERX00STARTBLOCK": { + "hide_name": 0, + "bits": [ 5682, 5683 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26282.17-26282.35" + } + }, + "PIPERX00STATUS": { + "hide_name": 0, + "bits": [ 5684, 5685, 5686 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26283.17-26283.31" + } + }, + "PIPERX00SYNCHEADER": { + "hide_name": 0, + "bits": [ 5687, 5688 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26284.17-26284.35" + } + }, + "PIPERX00VALID": { + "hide_name": 0, + "bits": [ 5689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26285.11-26285.24" + } + }, + "PIPERX01CHARISK": { + "hide_name": 0, + "bits": [ 5690, 5691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26286.17-26286.32" + } + }, + "PIPERX01DATA": { + "hide_name": 0, + "bits": [ 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704, 5705, 5706, 5707, 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26287.18-26287.30" + } + }, + "PIPERX01DATAVALID": { + "hide_name": 0, + "bits": [ 5724 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26288.11-26288.28" + } + }, + "PIPERX01ELECIDLE": { + "hide_name": 0, + "bits": [ 5725 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26289.11-26289.27" + } + }, + "PIPERX01EQCONTROL": { + "hide_name": 0, + "bits": [ 2940, 2941 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25946.18-25946.35" + } + }, + "PIPERX01EQDONE": { + "hide_name": 0, + "bits": [ 5726 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26290.11-26290.25" + } + }, + "PIPERX01EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5727 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26291.11-26291.32" + } + }, + "PIPERX01EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26292.11-26292.30" + } + }, + "PIPERX01EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26293.18-26293.48" + } + }, + "PIPERX01PHYSTATUS": { + "hide_name": 0, + "bits": [ 5747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26294.11-26294.28" + } + }, + "PIPERX01POLARITY": { + "hide_name": 0, + "bits": [ 2942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25947.12-25947.28" + } + }, + "PIPERX01STARTBLOCK": { + "hide_name": 0, + "bits": [ 5748, 5749 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26295.17-26295.35" + } + }, + "PIPERX01STATUS": { + "hide_name": 0, + "bits": [ 5750, 5751, 5752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26296.17-26296.31" + } + }, + "PIPERX01SYNCHEADER": { + "hide_name": 0, + "bits": [ 5753, 5754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26297.17-26297.35" + } + }, + "PIPERX01VALID": { + "hide_name": 0, + "bits": [ 5755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26298.11-26298.24" + } + }, + "PIPERX02CHARISK": { + "hide_name": 0, + "bits": [ 5756, 5757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26299.17-26299.32" + } + }, + "PIPERX02DATA": { + "hide_name": 0, + "bits": [ 5758, 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26300.18-26300.30" + } + }, + "PIPERX02DATAVALID": { + "hide_name": 0, + "bits": [ 5790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26301.11-26301.28" + } + }, + "PIPERX02ELECIDLE": { + "hide_name": 0, + "bits": [ 5791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26302.11-26302.27" + } + }, + "PIPERX02EQCONTROL": { + "hide_name": 0, + "bits": [ 2943, 2944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25948.18-25948.35" + } + }, + "PIPERX02EQDONE": { + "hide_name": 0, + "bits": [ 5792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26303.11-26303.25" + } + }, + "PIPERX02EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26304.11-26304.32" + } + }, + "PIPERX02EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26305.11-26305.30" + } + }, + "PIPERX02EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26306.18-26306.48" + } + }, + "PIPERX02PHYSTATUS": { + "hide_name": 0, + "bits": [ 5813 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26307.11-26307.28" + } + }, + "PIPERX02POLARITY": { + "hide_name": 0, + "bits": [ 2945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25949.12-25949.28" + } + }, + "PIPERX02STARTBLOCK": { + "hide_name": 0, + "bits": [ 5814, 5815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26308.17-26308.35" + } + }, + "PIPERX02STATUS": { + "hide_name": 0, + "bits": [ 5816, 5817, 5818 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26309.17-26309.31" + } + }, + "PIPERX02SYNCHEADER": { + "hide_name": 0, + "bits": [ 5819, 5820 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26310.17-26310.35" + } + }, + "PIPERX02VALID": { + "hide_name": 0, + "bits": [ 5821 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26311.11-26311.24" + } + }, + "PIPERX03CHARISK": { + "hide_name": 0, + "bits": [ 5822, 5823 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26312.17-26312.32" + } + }, + "PIPERX03DATA": { + "hide_name": 0, + "bits": [ 5824, 5825, 5826, 5827, 5828, 5829, 5830, 5831, 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839, 5840, 5841, 5842, 5843, 5844, 5845, 5846, 5847, 5848, 5849, 5850, 5851, 5852, 5853, 5854, 5855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26313.18-26313.30" + } + }, + "PIPERX03DATAVALID": { + "hide_name": 0, + "bits": [ 5856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26314.11-26314.28" + } + }, + "PIPERX03ELECIDLE": { + "hide_name": 0, + "bits": [ 5857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26315.11-26315.27" + } + }, + "PIPERX03EQCONTROL": { + "hide_name": 0, + "bits": [ 2946, 2947 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25950.18-25950.35" + } + }, + "PIPERX03EQDONE": { + "hide_name": 0, + "bits": [ 5858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26316.11-26316.25" + } + }, + "PIPERX03EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26317.11-26317.32" + } + }, + "PIPERX03EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5860 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26318.11-26318.30" + } + }, + "PIPERX03EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26319.18-26319.48" + } + }, + "PIPERX03PHYSTATUS": { + "hide_name": 0, + "bits": [ 5879 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26320.11-26320.28" + } + }, + "PIPERX03POLARITY": { + "hide_name": 0, + "bits": [ 2948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25951.12-25951.28" + } + }, + "PIPERX03STARTBLOCK": { + "hide_name": 0, + "bits": [ 5880, 5881 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26321.17-26321.35" + } + }, + "PIPERX03STATUS": { + "hide_name": 0, + "bits": [ 5882, 5883, 5884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26322.17-26322.31" + } + }, + "PIPERX03SYNCHEADER": { + "hide_name": 0, + "bits": [ 5885, 5886 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26323.17-26323.35" + } + }, + "PIPERX03VALID": { + "hide_name": 0, + "bits": [ 5887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26324.11-26324.24" + } + }, + "PIPERX04CHARISK": { + "hide_name": 0, + "bits": [ 5888, 5889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26325.17-26325.32" + } + }, + "PIPERX04DATA": { + "hide_name": 0, + "bits": [ 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26326.18-26326.30" + } + }, + "PIPERX04DATAVALID": { + "hide_name": 0, + "bits": [ 5922 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26327.11-26327.28" + } + }, + "PIPERX04ELECIDLE": { + "hide_name": 0, + "bits": [ 5923 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26328.11-26328.27" + } + }, + "PIPERX04EQCONTROL": { + "hide_name": 0, + "bits": [ 2949, 2950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25952.18-25952.35" + } + }, + "PIPERX04EQDONE": { + "hide_name": 0, + "bits": [ 5924 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26329.11-26329.25" + } + }, + "PIPERX04EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5925 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26330.11-26330.32" + } + }, + "PIPERX04EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26331.11-26331.30" + } + }, + "PIPERX04EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26332.18-26332.48" + } + }, + "PIPERX04PHYSTATUS": { + "hide_name": 0, + "bits": [ 5945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26333.11-26333.28" + } + }, + "PIPERX04POLARITY": { + "hide_name": 0, + "bits": [ 2951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25953.12-25953.28" + } + }, + "PIPERX04STARTBLOCK": { + "hide_name": 0, + "bits": [ 5946, 5947 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26334.17-26334.35" + } + }, + "PIPERX04STATUS": { + "hide_name": 0, + "bits": [ 5948, 5949, 5950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26335.17-26335.31" + } + }, + "PIPERX04SYNCHEADER": { + "hide_name": 0, + "bits": [ 5951, 5952 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26336.17-26336.35" + } + }, + "PIPERX04VALID": { + "hide_name": 0, + "bits": [ 5953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26337.11-26337.24" + } + }, + "PIPERX05CHARISK": { + "hide_name": 0, + "bits": [ 5954, 5955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26338.17-26338.32" + } + }, + "PIPERX05DATA": { + "hide_name": 0, + "bits": [ 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983, 5984, 5985, 5986, 5987 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26339.18-26339.30" + } + }, + "PIPERX05DATAVALID": { + "hide_name": 0, + "bits": [ 5988 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26340.11-26340.28" + } + }, + "PIPERX05ELECIDLE": { + "hide_name": 0, + "bits": [ 5989 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26341.11-26341.27" + } + }, + "PIPERX05EQCONTROL": { + "hide_name": 0, + "bits": [ 2952, 2953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25954.18-25954.35" + } + }, + "PIPERX05EQDONE": { + "hide_name": 0, + "bits": [ 5990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26342.11-26342.25" + } + }, + "PIPERX05EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 5991 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26343.11-26343.32" + } + }, + "PIPERX05EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 5992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26344.11-26344.30" + } + }, + "PIPERX05EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 5993, 5994, 5995, 5996, 5997, 5998, 5999, 6000, 6001, 6002, 6003, 6004, 6005, 6006, 6007, 6008, 6009, 6010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26345.18-26345.48" + } + }, + "PIPERX05PHYSTATUS": { + "hide_name": 0, + "bits": [ 6011 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26346.11-26346.28" + } + }, + "PIPERX05POLARITY": { + "hide_name": 0, + "bits": [ 2954 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25955.12-25955.28" + } + }, + "PIPERX05STARTBLOCK": { + "hide_name": 0, + "bits": [ 6012, 6013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26347.17-26347.35" + } + }, + "PIPERX05STATUS": { + "hide_name": 0, + "bits": [ 6014, 6015, 6016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26348.17-26348.31" + } + }, + "PIPERX05SYNCHEADER": { + "hide_name": 0, + "bits": [ 6017, 6018 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26349.17-26349.35" + } + }, + "PIPERX05VALID": { + "hide_name": 0, + "bits": [ 6019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26350.11-26350.24" + } + }, + "PIPERX06CHARISK": { + "hide_name": 0, + "bits": [ 6020, 6021 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26351.17-26351.32" + } + }, + "PIPERX06DATA": { + "hide_name": 0, + "bits": [ 6022, 6023, 6024, 6025, 6026, 6027, 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050, 6051, 6052, 6053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26352.18-26352.30" + } + }, + "PIPERX06DATAVALID": { + "hide_name": 0, + "bits": [ 6054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26353.11-26353.28" + } + }, + "PIPERX06ELECIDLE": { + "hide_name": 0, + "bits": [ 6055 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26354.11-26354.27" + } + }, + "PIPERX06EQCONTROL": { + "hide_name": 0, + "bits": [ 2955, 2956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25956.18-25956.35" + } + }, + "PIPERX06EQDONE": { + "hide_name": 0, + "bits": [ 6056 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26355.11-26355.25" + } + }, + "PIPERX06EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6057 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26356.11-26356.32" + } + }, + "PIPERX06EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6058 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26357.11-26357.30" + } + }, + "PIPERX06EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6075, 6076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26358.18-26358.48" + } + }, + "PIPERX06PHYSTATUS": { + "hide_name": 0, + "bits": [ 6077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26359.11-26359.28" + } + }, + "PIPERX06POLARITY": { + "hide_name": 0, + "bits": [ 2957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25957.12-25957.28" + } + }, + "PIPERX06STARTBLOCK": { + "hide_name": 0, + "bits": [ 6078, 6079 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26360.17-26360.35" + } + }, + "PIPERX06STATUS": { + "hide_name": 0, + "bits": [ 6080, 6081, 6082 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26361.17-26361.31" + } + }, + "PIPERX06SYNCHEADER": { + "hide_name": 0, + "bits": [ 6083, 6084 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26362.17-26362.35" + } + }, + "PIPERX06VALID": { + "hide_name": 0, + "bits": [ 6085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26363.11-26363.24" + } + }, + "PIPERX07CHARISK": { + "hide_name": 0, + "bits": [ 6086, 6087 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26364.17-26364.32" + } + }, + "PIPERX07DATA": { + "hide_name": 0, + "bits": [ 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26365.18-26365.30" + } + }, + "PIPERX07DATAVALID": { + "hide_name": 0, + "bits": [ 6120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26366.11-26366.28" + } + }, + "PIPERX07ELECIDLE": { + "hide_name": 0, + "bits": [ 6121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26367.11-26367.27" + } + }, + "PIPERX07EQCONTROL": { + "hide_name": 0, + "bits": [ 2958, 2959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25958.18-25958.35" + } + }, + "PIPERX07EQDONE": { + "hide_name": 0, + "bits": [ 6122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26368.11-26368.25" + } + }, + "PIPERX07EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26369.11-26369.32" + } + }, + "PIPERX07EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26370.11-26370.30" + } + }, + "PIPERX07EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132, 6133, 6134, 6135, 6136, 6137, 6138, 6139, 6140, 6141, 6142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26371.18-26371.48" + } + }, + "PIPERX07PHYSTATUS": { + "hide_name": 0, + "bits": [ 6143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26372.11-26372.28" + } + }, + "PIPERX07POLARITY": { + "hide_name": 0, + "bits": [ 2960 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25959.12-25959.28" + } + }, + "PIPERX07STARTBLOCK": { + "hide_name": 0, + "bits": [ 6144, 6145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26373.17-26373.35" + } + }, + "PIPERX07STATUS": { + "hide_name": 0, + "bits": [ 6146, 6147, 6148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26374.17-26374.31" + } + }, + "PIPERX07SYNCHEADER": { + "hide_name": 0, + "bits": [ 6149, 6150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26375.17-26375.35" + } + }, + "PIPERX07VALID": { + "hide_name": 0, + "bits": [ 6151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26376.11-26376.24" + } + }, + "PIPERX08CHARISK": { + "hide_name": 0, + "bits": [ 6152, 6153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26377.17-26377.32" + } + }, + "PIPERX08DATA": { + "hide_name": 0, + "bits": [ 6154, 6155, 6156, 6157, 6158, 6159, 6160, 6161, 6162, 6163, 6164, 6165, 6166, 6167, 6168, 6169, 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26378.18-26378.30" + } + }, + "PIPERX08DATAVALID": { + "hide_name": 0, + "bits": [ 6186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26379.11-26379.28" + } + }, + "PIPERX08ELECIDLE": { + "hide_name": 0, + "bits": [ 6187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26380.11-26380.27" + } + }, + "PIPERX08EQCONTROL": { + "hide_name": 0, + "bits": [ 2961, 2962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25960.18-25960.35" + } + }, + "PIPERX08EQDONE": { + "hide_name": 0, + "bits": [ 6188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26381.11-26381.25" + } + }, + "PIPERX08EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26382.11-26382.32" + } + }, + "PIPERX08EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26383.11-26383.30" + } + }, + "PIPERX08EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26384.18-26384.48" + } + }, + "PIPERX08PHYSTATUS": { + "hide_name": 0, + "bits": [ 6209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26385.11-26385.28" + } + }, + "PIPERX08POLARITY": { + "hide_name": 0, + "bits": [ 2963 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25961.12-25961.28" + } + }, + "PIPERX08STARTBLOCK": { + "hide_name": 0, + "bits": [ 6210, 6211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26386.17-26386.35" + } + }, + "PIPERX08STATUS": { + "hide_name": 0, + "bits": [ 6212, 6213, 6214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26387.17-26387.31" + } + }, + "PIPERX08SYNCHEADER": { + "hide_name": 0, + "bits": [ 6215, 6216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26388.17-26388.35" + } + }, + "PIPERX08VALID": { + "hide_name": 0, + "bits": [ 6217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26389.11-26389.24" + } + }, + "PIPERX09CHARISK": { + "hide_name": 0, + "bits": [ 6218, 6219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26390.17-26390.32" + } + }, + "PIPERX09DATA": { + "hide_name": 0, + "bits": [ 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26391.18-26391.30" + } + }, + "PIPERX09DATAVALID": { + "hide_name": 0, + "bits": [ 6252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26392.11-26392.28" + } + }, + "PIPERX09ELECIDLE": { + "hide_name": 0, + "bits": [ 6253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26393.11-26393.27" + } + }, + "PIPERX09EQCONTROL": { + "hide_name": 0, + "bits": [ 2964, 2965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25962.18-25962.35" + } + }, + "PIPERX09EQDONE": { + "hide_name": 0, + "bits": [ 6254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26394.11-26394.25" + } + }, + "PIPERX09EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26395.11-26395.32" + } + }, + "PIPERX09EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26396.11-26396.30" + } + }, + "PIPERX09EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26397.18-26397.48" + } + }, + "PIPERX09PHYSTATUS": { + "hide_name": 0, + "bits": [ 6275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26398.11-26398.28" + } + }, + "PIPERX09POLARITY": { + "hide_name": 0, + "bits": [ 2966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25963.12-25963.28" + } + }, + "PIPERX09STARTBLOCK": { + "hide_name": 0, + "bits": [ 6276, 6277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26399.17-26399.35" + } + }, + "PIPERX09STATUS": { + "hide_name": 0, + "bits": [ 6278, 6279, 6280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26400.17-26400.31" + } + }, + "PIPERX09SYNCHEADER": { + "hide_name": 0, + "bits": [ 6281, 6282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26401.17-26401.35" + } + }, + "PIPERX09VALID": { + "hide_name": 0, + "bits": [ 6283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26402.11-26402.24" + } + }, + "PIPERX10CHARISK": { + "hide_name": 0, + "bits": [ 6284, 6285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26403.17-26403.32" + } + }, + "PIPERX10DATA": { + "hide_name": 0, + "bits": [ 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297, 6298, 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314, 6315, 6316, 6317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26404.18-26404.30" + } + }, + "PIPERX10DATAVALID": { + "hide_name": 0, + "bits": [ 6318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26405.11-26405.28" + } + }, + "PIPERX10ELECIDLE": { + "hide_name": 0, + "bits": [ 6319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26406.11-26406.27" + } + }, + "PIPERX10EQCONTROL": { + "hide_name": 0, + "bits": [ 2967, 2968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25964.18-25964.35" + } + }, + "PIPERX10EQDONE": { + "hide_name": 0, + "bits": [ 6320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26407.11-26407.25" + } + }, + "PIPERX10EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26408.11-26408.32" + } + }, + "PIPERX10EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26409.11-26409.30" + } + }, + "PIPERX10EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26410.18-26410.48" + } + }, + "PIPERX10PHYSTATUS": { + "hide_name": 0, + "bits": [ 6341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26411.11-26411.28" + } + }, + "PIPERX10POLARITY": { + "hide_name": 0, + "bits": [ 2969 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25965.12-25965.28" + } + }, + "PIPERX10STARTBLOCK": { + "hide_name": 0, + "bits": [ 6342, 6343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26412.17-26412.35" + } + }, + "PIPERX10STATUS": { + "hide_name": 0, + "bits": [ 6344, 6345, 6346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26413.17-26413.31" + } + }, + "PIPERX10SYNCHEADER": { + "hide_name": 0, + "bits": [ 6347, 6348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26414.17-26414.35" + } + }, + "PIPERX10VALID": { + "hide_name": 0, + "bits": [ 6349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26415.11-26415.24" + } + }, + "PIPERX11CHARISK": { + "hide_name": 0, + "bits": [ 6350, 6351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26416.17-26416.32" + } + }, + "PIPERX11DATA": { + "hide_name": 0, + "bits": [ 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364, 6365, 6366, 6367, 6368, 6369, 6370, 6371, 6372, 6373, 6374, 6375, 6376, 6377, 6378, 6379, 6380, 6381, 6382, 6383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26417.18-26417.30" + } + }, + "PIPERX11DATAVALID": { + "hide_name": 0, + "bits": [ 6384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26418.11-26418.28" + } + }, + "PIPERX11ELECIDLE": { + "hide_name": 0, + "bits": [ 6385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26419.11-26419.27" + } + }, + "PIPERX11EQCONTROL": { + "hide_name": 0, + "bits": [ 2970, 2971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25966.18-25966.35" + } + }, + "PIPERX11EQDONE": { + "hide_name": 0, + "bits": [ 6386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26420.11-26420.25" + } + }, + "PIPERX11EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26421.11-26421.32" + } + }, + "PIPERX11EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26422.11-26422.30" + } + }, + "PIPERX11EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6389, 6390, 6391, 6392, 6393, 6394, 6395, 6396, 6397, 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26423.18-26423.48" + } + }, + "PIPERX11PHYSTATUS": { + "hide_name": 0, + "bits": [ 6407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26424.11-26424.28" + } + }, + "PIPERX11POLARITY": { + "hide_name": 0, + "bits": [ 2972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25967.12-25967.28" + } + }, + "PIPERX11STARTBLOCK": { + "hide_name": 0, + "bits": [ 6408, 6409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26425.17-26425.35" + } + }, + "PIPERX11STATUS": { + "hide_name": 0, + "bits": [ 6410, 6411, 6412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26426.17-26426.31" + } + }, + "PIPERX11SYNCHEADER": { + "hide_name": 0, + "bits": [ 6413, 6414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26427.17-26427.35" + } + }, + "PIPERX11VALID": { + "hide_name": 0, + "bits": [ 6415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26428.11-26428.24" + } + }, + "PIPERX12CHARISK": { + "hide_name": 0, + "bits": [ 6416, 6417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26429.17-26429.32" + } + }, + "PIPERX12DATA": { + "hide_name": 0, + "bits": [ 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446, 6447, 6448, 6449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26430.18-26430.30" + } + }, + "PIPERX12DATAVALID": { + "hide_name": 0, + "bits": [ 6450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26431.11-26431.28" + } + }, + "PIPERX12ELECIDLE": { + "hide_name": 0, + "bits": [ 6451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26432.11-26432.27" + } + }, + "PIPERX12EQCONTROL": { + "hide_name": 0, + "bits": [ 2973, 2974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25968.18-25968.35" + } + }, + "PIPERX12EQDONE": { + "hide_name": 0, + "bits": [ 6452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26433.11-26433.25" + } + }, + "PIPERX12EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26434.11-26434.32" + } + }, + "PIPERX12EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26435.11-26435.30" + } + }, + "PIPERX12EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6455, 6456, 6457, 6458, 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466, 6467, 6468, 6469, 6470, 6471, 6472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26436.18-26436.48" + } + }, + "PIPERX12PHYSTATUS": { + "hide_name": 0, + "bits": [ 6473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26437.11-26437.28" + } + }, + "PIPERX12POLARITY": { + "hide_name": 0, + "bits": [ 2975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25969.12-25969.28" + } + }, + "PIPERX12STARTBLOCK": { + "hide_name": 0, + "bits": [ 6474, 6475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26438.17-26438.35" + } + }, + "PIPERX12STATUS": { + "hide_name": 0, + "bits": [ 6476, 6477, 6478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26439.17-26439.31" + } + }, + "PIPERX12SYNCHEADER": { + "hide_name": 0, + "bits": [ 6479, 6480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26440.17-26440.35" + } + }, + "PIPERX12VALID": { + "hide_name": 0, + "bits": [ 6481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26441.11-26441.24" + } + }, + "PIPERX13CHARISK": { + "hide_name": 0, + "bits": [ 6482, 6483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26442.17-26442.32" + } + }, + "PIPERX13DATA": { + "hide_name": 0, + "bits": [ 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26443.18-26443.30" + } + }, + "PIPERX13DATAVALID": { + "hide_name": 0, + "bits": [ 6516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26444.11-26444.28" + } + }, + "PIPERX13ELECIDLE": { + "hide_name": 0, + "bits": [ 6517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26445.11-26445.27" + } + }, + "PIPERX13EQCONTROL": { + "hide_name": 0, + "bits": [ 2976, 2977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25970.18-25970.35" + } + }, + "PIPERX13EQDONE": { + "hide_name": 0, + "bits": [ 6518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26446.11-26446.25" + } + }, + "PIPERX13EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26447.11-26447.32" + } + }, + "PIPERX13EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26448.11-26448.30" + } + }, + "PIPERX13EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26449.18-26449.48" + } + }, + "PIPERX13PHYSTATUS": { + "hide_name": 0, + "bits": [ 6539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26450.11-26450.28" + } + }, + "PIPERX13POLARITY": { + "hide_name": 0, + "bits": [ 2978 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25971.12-25971.28" + } + }, + "PIPERX13STARTBLOCK": { + "hide_name": 0, + "bits": [ 6540, 6541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26451.17-26451.35" + } + }, + "PIPERX13STATUS": { + "hide_name": 0, + "bits": [ 6542, 6543, 6544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26452.17-26452.31" + } + }, + "PIPERX13SYNCHEADER": { + "hide_name": 0, + "bits": [ 6545, 6546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26453.17-26453.35" + } + }, + "PIPERX13VALID": { + "hide_name": 0, + "bits": [ 6547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26454.11-26454.24" + } + }, + "PIPERX14CHARISK": { + "hide_name": 0, + "bits": [ 6548, 6549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26455.17-26455.32" + } + }, + "PIPERX14DATA": { + "hide_name": 0, + "bits": [ 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560, 6561, 6562, 6563, 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26456.18-26456.30" + } + }, + "PIPERX14DATAVALID": { + "hide_name": 0, + "bits": [ 6582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26457.11-26457.28" + } + }, + "PIPERX14ELECIDLE": { + "hide_name": 0, + "bits": [ 6583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26458.11-26458.27" + } + }, + "PIPERX14EQCONTROL": { + "hide_name": 0, + "bits": [ 2979, 2980 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25972.18-25972.35" + } + }, + "PIPERX14EQDONE": { + "hide_name": 0, + "bits": [ 6584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26459.11-26459.25" + } + }, + "PIPERX14EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26460.11-26460.32" + } + }, + "PIPERX14EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26461.11-26461.30" + } + }, + "PIPERX14EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6587, 6588, 6589, 6590, 6591, 6592, 6593, 6594, 6595, 6596, 6597, 6598, 6599, 6600, 6601, 6602, 6603, 6604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26462.18-26462.48" + } + }, + "PIPERX14PHYSTATUS": { + "hide_name": 0, + "bits": [ 6605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26463.11-26463.28" + } + }, + "PIPERX14POLARITY": { + "hide_name": 0, + "bits": [ 2981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25973.12-25973.28" + } + }, + "PIPERX14STARTBLOCK": { + "hide_name": 0, + "bits": [ 6606, 6607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26464.17-26464.35" + } + }, + "PIPERX14STATUS": { + "hide_name": 0, + "bits": [ 6608, 6609, 6610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26465.17-26465.31" + } + }, + "PIPERX14SYNCHEADER": { + "hide_name": 0, + "bits": [ 6611, 6612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26466.17-26466.35" + } + }, + "PIPERX14VALID": { + "hide_name": 0, + "bits": [ 6613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26467.11-26467.24" + } + }, + "PIPERX15CHARISK": { + "hide_name": 0, + "bits": [ 6614, 6615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26468.17-26468.32" + } + }, + "PIPERX15DATA": { + "hide_name": 0, + "bits": [ 6616, 6617, 6618, 6619, 6620, 6621, 6622, 6623, 6624, 6625, 6626, 6627, 6628, 6629, 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638, 6639, 6640, 6641, 6642, 6643, 6644, 6645, 6646, 6647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26469.18-26469.30" + } + }, + "PIPERX15DATAVALID": { + "hide_name": 0, + "bits": [ 6648 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26470.11-26470.28" + } + }, + "PIPERX15ELECIDLE": { + "hide_name": 0, + "bits": [ 6649 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26471.11-26471.27" + } + }, + "PIPERX15EQCONTROL": { + "hide_name": 0, + "bits": [ 2982, 2983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25974.18-25974.35" + } + }, + "PIPERX15EQDONE": { + "hide_name": 0, + "bits": [ 6650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26472.11-26472.25" + } + }, + "PIPERX15EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 6651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26473.11-26473.32" + } + }, + "PIPERX15EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 6652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26474.11-26474.30" + } + }, + "PIPERX15EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 6653, 6654, 6655, 6656, 6657, 6658, 6659, 6660, 6661, 6662, 6663, 6664, 6665, 6666, 6667, 6668, 6669, 6670 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26475.18-26475.48" + } + }, + "PIPERX15PHYSTATUS": { + "hide_name": 0, + "bits": [ 6671 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26476.11-26476.28" + } + }, + "PIPERX15POLARITY": { + "hide_name": 0, + "bits": [ 2984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25975.12-25975.28" + } + }, + "PIPERX15STARTBLOCK": { + "hide_name": 0, + "bits": [ 6672, 6673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26477.17-26477.35" + } + }, + "PIPERX15STATUS": { + "hide_name": 0, + "bits": [ 6674, 6675, 6676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26478.17-26478.31" + } + }, + "PIPERX15SYNCHEADER": { + "hide_name": 0, + "bits": [ 6677, 6678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26479.17-26479.35" + } + }, + "PIPERX15VALID": { + "hide_name": 0, + "bits": [ 6679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26480.11-26480.24" + } + }, + "PIPERXEQLPLFFS": { + "hide_name": 0, + "bits": [ 2985, 2986, 2987, 2988, 2989, 2990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25976.18-25976.32" + } + }, + "PIPERXEQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2991, 2992, 2993, 2994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25977.18-25977.36" + } + }, + "PIPETX00CHARISK": { + "hide_name": 0, + "bits": [ 2995, 2996 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25978.18-25978.33" + } + }, + "PIPETX00COMPLIANCE": { + "hide_name": 0, + "bits": [ 2997 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25979.12-25979.30" + } + }, + "PIPETX00DATA": { + "hide_name": 0, + "bits": [ 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25980.19-25980.31" + } + }, + "PIPETX00DATAVALID": { + "hide_name": 0, + "bits": [ 3030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25981.12-25981.29" + } + }, + "PIPETX00ELECIDLE": { + "hide_name": 0, + "bits": [ 3031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25982.12-25982.28" + } + }, + "PIPETX00EQCOEFF": { + "hide_name": 0, + "bits": [ 6680, 6681, 6682, 6683, 6684, 6685, 6686, 6687, 6688, 6689, 6690, 6691, 6692, 6693, 6694, 6695, 6696, 6697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26481.18-26481.33" + } + }, + "PIPETX00EQCONTROL": { + "hide_name": 0, + "bits": [ 3032, 3033 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25983.18-25983.35" + } + }, + "PIPETX00EQDEEMPH": { + "hide_name": 0, + "bits": [ 3034, 3035, 3036, 3037, 3038, 3039 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25984.18-25984.34" + } + }, + "PIPETX00EQDONE": { + "hide_name": 0, + "bits": [ 6698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26482.11-26482.25" + } + }, + "PIPETX00POWERDOWN": { + "hide_name": 0, + "bits": [ 3040, 3041 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25985.18-25985.35" + } + }, + "PIPETX00STARTBLOCK": { + "hide_name": 0, + "bits": [ 3042 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25986.12-25986.30" + } + }, + "PIPETX00SYNCHEADER": { + "hide_name": 0, + "bits": [ 3043, 3044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25987.18-25987.36" + } + }, + "PIPETX01CHARISK": { + "hide_name": 0, + "bits": [ 3045, 3046 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25988.18-25988.33" + } + }, + "PIPETX01COMPLIANCE": { + "hide_name": 0, + "bits": [ 3047 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25989.12-25989.30" + } + }, + "PIPETX01DATA": { + "hide_name": 0, + "bits": [ 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25990.19-25990.31" + } + }, + "PIPETX01DATAVALID": { + "hide_name": 0, + "bits": [ 3080 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25991.12-25991.29" + } + }, + "PIPETX01ELECIDLE": { + "hide_name": 0, + "bits": [ 3081 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25992.12-25992.28" + } + }, + "PIPETX01EQCOEFF": { + "hide_name": 0, + "bits": [ 6699, 6700, 6701, 6702, 6703, 6704, 6705, 6706, 6707, 6708, 6709, 6710, 6711, 6712, 6713, 6714, 6715, 6716 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26483.18-26483.33" + } + }, + "PIPETX01EQCONTROL": { + "hide_name": 0, + "bits": [ 3082, 3083 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25993.18-25993.35" + } + }, + "PIPETX01EQDEEMPH": { + "hide_name": 0, + "bits": [ 3084, 3085, 3086, 3087, 3088, 3089 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25994.18-25994.34" + } + }, + "PIPETX01EQDONE": { + "hide_name": 0, + "bits": [ 6717 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26484.11-26484.25" + } + }, + "PIPETX01POWERDOWN": { + "hide_name": 0, + "bits": [ 3090, 3091 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25995.18-25995.35" + } + }, + "PIPETX01STARTBLOCK": { + "hide_name": 0, + "bits": [ 3092 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25996.12-25996.30" + } + }, + "PIPETX01SYNCHEADER": { + "hide_name": 0, + "bits": [ 3093, 3094 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25997.18-25997.36" + } + }, + "PIPETX02CHARISK": { + "hide_name": 0, + "bits": [ 3095, 3096 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25998.18-25998.33" + } + }, + "PIPETX02COMPLIANCE": { + "hide_name": 0, + "bits": [ 3097 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25999.12-25999.30" + } + }, + "PIPETX02DATA": { + "hide_name": 0, + "bits": [ 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126, 3127, 3128, 3129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26000.19-26000.31" + } + }, + "PIPETX02DATAVALID": { + "hide_name": 0, + "bits": [ 3130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26001.12-26001.29" + } + }, + "PIPETX02ELECIDLE": { + "hide_name": 0, + "bits": [ 3131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26002.12-26002.28" + } + }, + "PIPETX02EQCOEFF": { + "hide_name": 0, + "bits": [ 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733, 6734, 6735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26485.18-26485.33" + } + }, + "PIPETX02EQCONTROL": { + "hide_name": 0, + "bits": [ 3132, 3133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26003.18-26003.35" + } + }, + "PIPETX02EQDEEMPH": { + "hide_name": 0, + "bits": [ 3134, 3135, 3136, 3137, 3138, 3139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26004.18-26004.34" + } + }, + "PIPETX02EQDONE": { + "hide_name": 0, + "bits": [ 6736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26486.11-26486.25" + } + }, + "PIPETX02POWERDOWN": { + "hide_name": 0, + "bits": [ 3140, 3141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26005.18-26005.35" + } + }, + "PIPETX02STARTBLOCK": { + "hide_name": 0, + "bits": [ 3142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26006.12-26006.30" + } + }, + "PIPETX02SYNCHEADER": { + "hide_name": 0, + "bits": [ 3143, 3144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26007.18-26007.36" + } + }, + "PIPETX03CHARISK": { + "hide_name": 0, + "bits": [ 3145, 3146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26008.18-26008.33" + } + }, + "PIPETX03COMPLIANCE": { + "hide_name": 0, + "bits": [ 3147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26009.12-26009.30" + } + }, + "PIPETX03DATA": { + "hide_name": 0, + "bits": [ 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26010.19-26010.31" + } + }, + "PIPETX03DATAVALID": { + "hide_name": 0, + "bits": [ 3180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26011.12-26011.29" + } + }, + "PIPETX03ELECIDLE": { + "hide_name": 0, + "bits": [ 3181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26012.12-26012.28" + } + }, + "PIPETX03EQCOEFF": { + "hide_name": 0, + "bits": [ 6737, 6738, 6739, 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752, 6753, 6754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26487.18-26487.33" + } + }, + "PIPETX03EQCONTROL": { + "hide_name": 0, + "bits": [ 3182, 3183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26013.18-26013.35" + } + }, + "PIPETX03EQDEEMPH": { + "hide_name": 0, + "bits": [ 3184, 3185, 3186, 3187, 3188, 3189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26014.18-26014.34" + } + }, + "PIPETX03EQDONE": { + "hide_name": 0, + "bits": [ 6755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26488.11-26488.25" + } + }, + "PIPETX03POWERDOWN": { + "hide_name": 0, + "bits": [ 3190, 3191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26015.18-26015.35" + } + }, + "PIPETX03STARTBLOCK": { + "hide_name": 0, + "bits": [ 3192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26016.12-26016.30" + } + }, + "PIPETX03SYNCHEADER": { + "hide_name": 0, + "bits": [ 3193, 3194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26017.18-26017.36" + } + }, + "PIPETX04CHARISK": { + "hide_name": 0, + "bits": [ 3195, 3196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26018.18-26018.33" + } + }, + "PIPETX04COMPLIANCE": { + "hide_name": 0, + "bits": [ 3197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26019.12-26019.30" + } + }, + "PIPETX04DATA": { + "hide_name": 0, + "bits": [ 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26020.19-26020.31" + } + }, + "PIPETX04DATAVALID": { + "hide_name": 0, + "bits": [ 3230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26021.12-26021.29" + } + }, + "PIPETX04ELECIDLE": { + "hide_name": 0, + "bits": [ 3231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26022.12-26022.28" + } + }, + "PIPETX04EQCOEFF": { + "hide_name": 0, + "bits": [ 6756, 6757, 6758, 6759, 6760, 6761, 6762, 6763, 6764, 6765, 6766, 6767, 6768, 6769, 6770, 6771, 6772, 6773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26489.18-26489.33" + } + }, + "PIPETX04EQCONTROL": { + "hide_name": 0, + "bits": [ 3232, 3233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26023.18-26023.35" + } + }, + "PIPETX04EQDEEMPH": { + "hide_name": 0, + "bits": [ 3234, 3235, 3236, 3237, 3238, 3239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26024.18-26024.34" + } + }, + "PIPETX04EQDONE": { + "hide_name": 0, + "bits": [ 6774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26490.11-26490.25" + } + }, + "PIPETX04POWERDOWN": { + "hide_name": 0, + "bits": [ 3240, 3241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26025.18-26025.35" + } + }, + "PIPETX04STARTBLOCK": { + "hide_name": 0, + "bits": [ 3242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26026.12-26026.30" + } + }, + "PIPETX04SYNCHEADER": { + "hide_name": 0, + "bits": [ 3243, 3244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26027.18-26027.36" + } + }, + "PIPETX05CHARISK": { + "hide_name": 0, + "bits": [ 3245, 3246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26028.18-26028.33" + } + }, + "PIPETX05COMPLIANCE": { + "hide_name": 0, + "bits": [ 3247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26029.12-26029.30" + } + }, + "PIPETX05DATA": { + "hide_name": 0, + "bits": [ 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26030.19-26030.31" + } + }, + "PIPETX05DATAVALID": { + "hide_name": 0, + "bits": [ 3280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26031.12-26031.29" + } + }, + "PIPETX05ELECIDLE": { + "hide_name": 0, + "bits": [ 3281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26032.12-26032.28" + } + }, + "PIPETX05EQCOEFF": { + "hide_name": 0, + "bits": [ 6775, 6776, 6777, 6778, 6779, 6780, 6781, 6782, 6783, 6784, 6785, 6786, 6787, 6788, 6789, 6790, 6791, 6792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26491.18-26491.33" + } + }, + "PIPETX05EQCONTROL": { + "hide_name": 0, + "bits": [ 3282, 3283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26033.18-26033.35" + } + }, + "PIPETX05EQDEEMPH": { + "hide_name": 0, + "bits": [ 3284, 3285, 3286, 3287, 3288, 3289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26034.18-26034.34" + } + }, + "PIPETX05EQDONE": { + "hide_name": 0, + "bits": [ 6793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26492.11-26492.25" + } + }, + "PIPETX05POWERDOWN": { + "hide_name": 0, + "bits": [ 3290, 3291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26035.18-26035.35" + } + }, + "PIPETX05STARTBLOCK": { + "hide_name": 0, + "bits": [ 3292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26036.12-26036.30" + } + }, + "PIPETX05SYNCHEADER": { + "hide_name": 0, + "bits": [ 3293, 3294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26037.18-26037.36" + } + }, + "PIPETX06CHARISK": { + "hide_name": 0, + "bits": [ 3295, 3296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26038.18-26038.33" + } + }, + "PIPETX06COMPLIANCE": { + "hide_name": 0, + "bits": [ 3297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26039.12-26039.30" + } + }, + "PIPETX06DATA": { + "hide_name": 0, + "bits": [ 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26040.19-26040.31" + } + }, + "PIPETX06DATAVALID": { + "hide_name": 0, + "bits": [ 3330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26041.12-26041.29" + } + }, + "PIPETX06ELECIDLE": { + "hide_name": 0, + "bits": [ 3331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26042.12-26042.28" + } + }, + "PIPETX06EQCOEFF": { + "hide_name": 0, + "bits": [ 6794, 6795, 6796, 6797, 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810, 6811 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26493.18-26493.33" + } + }, + "PIPETX06EQCONTROL": { + "hide_name": 0, + "bits": [ 3332, 3333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26043.18-26043.35" + } + }, + "PIPETX06EQDEEMPH": { + "hide_name": 0, + "bits": [ 3334, 3335, 3336, 3337, 3338, 3339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26044.18-26044.34" + } + }, + "PIPETX06EQDONE": { + "hide_name": 0, + "bits": [ 6812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26494.11-26494.25" + } + }, + "PIPETX06POWERDOWN": { + "hide_name": 0, + "bits": [ 3340, 3341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26045.18-26045.35" + } + }, + "PIPETX06STARTBLOCK": { + "hide_name": 0, + "bits": [ 3342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26046.12-26046.30" + } + }, + "PIPETX06SYNCHEADER": { + "hide_name": 0, + "bits": [ 3343, 3344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26047.18-26047.36" + } + }, + "PIPETX07CHARISK": { + "hide_name": 0, + "bits": [ 3345, 3346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26048.18-26048.33" + } + }, + "PIPETX07COMPLIANCE": { + "hide_name": 0, + "bits": [ 3347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26049.12-26049.30" + } + }, + "PIPETX07DATA": { + "hide_name": 0, + "bits": [ 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26050.19-26050.31" + } + }, + "PIPETX07DATAVALID": { + "hide_name": 0, + "bits": [ 3380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26051.12-26051.29" + } + }, + "PIPETX07ELECIDLE": { + "hide_name": 0, + "bits": [ 3381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26052.12-26052.28" + } + }, + "PIPETX07EQCOEFF": { + "hide_name": 0, + "bits": [ 6813, 6814, 6815, 6816, 6817, 6818, 6819, 6820, 6821, 6822, 6823, 6824, 6825, 6826, 6827, 6828, 6829, 6830 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26495.18-26495.33" + } + }, + "PIPETX07EQCONTROL": { + "hide_name": 0, + "bits": [ 3382, 3383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26053.18-26053.35" + } + }, + "PIPETX07EQDEEMPH": { + "hide_name": 0, + "bits": [ 3384, 3385, 3386, 3387, 3388, 3389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26054.18-26054.34" + } + }, + "PIPETX07EQDONE": { + "hide_name": 0, + "bits": [ 6831 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26496.11-26496.25" + } + }, + "PIPETX07POWERDOWN": { + "hide_name": 0, + "bits": [ 3390, 3391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26055.18-26055.35" + } + }, + "PIPETX07STARTBLOCK": { + "hide_name": 0, + "bits": [ 3392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26056.12-26056.30" + } + }, + "PIPETX07SYNCHEADER": { + "hide_name": 0, + "bits": [ 3393, 3394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26057.18-26057.36" + } + }, + "PIPETX08CHARISK": { + "hide_name": 0, + "bits": [ 3395, 3396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26058.18-26058.33" + } + }, + "PIPETX08COMPLIANCE": { + "hide_name": 0, + "bits": [ 3397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26059.12-26059.30" + } + }, + "PIPETX08DATA": { + "hide_name": 0, + "bits": [ 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26060.19-26060.31" + } + }, + "PIPETX08DATAVALID": { + "hide_name": 0, + "bits": [ 3430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26061.12-26061.29" + } + }, + "PIPETX08ELECIDLE": { + "hide_name": 0, + "bits": [ 3431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26062.12-26062.28" + } + }, + "PIPETX08EQCOEFF": { + "hide_name": 0, + "bits": [ 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26497.18-26497.33" + } + }, + "PIPETX08EQCONTROL": { + "hide_name": 0, + "bits": [ 3432, 3433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26063.18-26063.35" + } + }, + "PIPETX08EQDEEMPH": { + "hide_name": 0, + "bits": [ 3434, 3435, 3436, 3437, 3438, 3439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26064.18-26064.34" + } + }, + "PIPETX08EQDONE": { + "hide_name": 0, + "bits": [ 6850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26498.11-26498.25" + } + }, + "PIPETX08POWERDOWN": { + "hide_name": 0, + "bits": [ 3440, 3441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26065.18-26065.35" + } + }, + "PIPETX08STARTBLOCK": { + "hide_name": 0, + "bits": [ 3442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26066.12-26066.30" + } + }, + "PIPETX08SYNCHEADER": { + "hide_name": 0, + "bits": [ 3443, 3444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26067.18-26067.36" + } + }, + "PIPETX09CHARISK": { + "hide_name": 0, + "bits": [ 3445, 3446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26068.18-26068.33" + } + }, + "PIPETX09COMPLIANCE": { + "hide_name": 0, + "bits": [ 3447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26069.12-26069.30" + } + }, + "PIPETX09DATA": { + "hide_name": 0, + "bits": [ 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26070.19-26070.31" + } + }, + "PIPETX09DATAVALID": { + "hide_name": 0, + "bits": [ 3480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26071.12-26071.29" + } + }, + "PIPETX09ELECIDLE": { + "hide_name": 0, + "bits": [ 3481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26072.12-26072.28" + } + }, + "PIPETX09EQCOEFF": { + "hide_name": 0, + "bits": [ 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864, 6865, 6866, 6867, 6868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26499.18-26499.33" + } + }, + "PIPETX09EQCONTROL": { + "hide_name": 0, + "bits": [ 3482, 3483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26073.18-26073.35" + } + }, + "PIPETX09EQDEEMPH": { + "hide_name": 0, + "bits": [ 3484, 3485, 3486, 3487, 3488, 3489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26074.18-26074.34" + } + }, + "PIPETX09EQDONE": { + "hide_name": 0, + "bits": [ 6869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26500.11-26500.25" + } + }, + "PIPETX09POWERDOWN": { + "hide_name": 0, + "bits": [ 3490, 3491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26075.18-26075.35" + } + }, + "PIPETX09STARTBLOCK": { + "hide_name": 0, + "bits": [ 3492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26076.12-26076.30" + } + }, + "PIPETX09SYNCHEADER": { + "hide_name": 0, + "bits": [ 3493, 3494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26077.18-26077.36" + } + }, + "PIPETX10CHARISK": { + "hide_name": 0, + "bits": [ 3495, 3496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26078.18-26078.33" + } + }, + "PIPETX10COMPLIANCE": { + "hide_name": 0, + "bits": [ 3497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26079.12-26079.30" + } + }, + "PIPETX10DATA": { + "hide_name": 0, + "bits": [ 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26080.19-26080.31" + } + }, + "PIPETX10DATAVALID": { + "hide_name": 0, + "bits": [ 3530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26081.12-26081.29" + } + }, + "PIPETX10ELECIDLE": { + "hide_name": 0, + "bits": [ 3531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26082.12-26082.28" + } + }, + "PIPETX10EQCOEFF": { + "hide_name": 0, + "bits": [ 6870, 6871, 6872, 6873, 6874, 6875, 6876, 6877, 6878, 6879, 6880, 6881, 6882, 6883, 6884, 6885, 6886, 6887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26501.18-26501.33" + } + }, + "PIPETX10EQCONTROL": { + "hide_name": 0, + "bits": [ 3532, 3533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26083.18-26083.35" + } + }, + "PIPETX10EQDEEMPH": { + "hide_name": 0, + "bits": [ 3534, 3535, 3536, 3537, 3538, 3539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26084.18-26084.34" + } + }, + "PIPETX10EQDONE": { + "hide_name": 0, + "bits": [ 6888 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26502.11-26502.25" + } + }, + "PIPETX10POWERDOWN": { + "hide_name": 0, + "bits": [ 3540, 3541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26085.18-26085.35" + } + }, + "PIPETX10STARTBLOCK": { + "hide_name": 0, + "bits": [ 3542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26086.12-26086.30" + } + }, + "PIPETX10SYNCHEADER": { + "hide_name": 0, + "bits": [ 3543, 3544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26087.18-26087.36" + } + }, + "PIPETX11CHARISK": { + "hide_name": 0, + "bits": [ 3545, 3546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26088.18-26088.33" + } + }, + "PIPETX11COMPLIANCE": { + "hide_name": 0, + "bits": [ 3547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26089.12-26089.30" + } + }, + "PIPETX11DATA": { + "hide_name": 0, + "bits": [ 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26090.19-26090.31" + } + }, + "PIPETX11DATAVALID": { + "hide_name": 0, + "bits": [ 3580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26091.12-26091.29" + } + }, + "PIPETX11ELECIDLE": { + "hide_name": 0, + "bits": [ 3581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26092.12-26092.28" + } + }, + "PIPETX11EQCOEFF": { + "hide_name": 0, + "bits": [ 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26503.18-26503.33" + } + }, + "PIPETX11EQCONTROL": { + "hide_name": 0, + "bits": [ 3582, 3583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26093.18-26093.35" + } + }, + "PIPETX11EQDEEMPH": { + "hide_name": 0, + "bits": [ 3584, 3585, 3586, 3587, 3588, 3589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26094.18-26094.34" + } + }, + "PIPETX11EQDONE": { + "hide_name": 0, + "bits": [ 6907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26504.11-26504.25" + } + }, + "PIPETX11POWERDOWN": { + "hide_name": 0, + "bits": [ 3590, 3591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26095.18-26095.35" + } + }, + "PIPETX11STARTBLOCK": { + "hide_name": 0, + "bits": [ 3592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26096.12-26096.30" + } + }, + "PIPETX11SYNCHEADER": { + "hide_name": 0, + "bits": [ 3593, 3594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26097.18-26097.36" + } + }, + "PIPETX12CHARISK": { + "hide_name": 0, + "bits": [ 3595, 3596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26098.18-26098.33" + } + }, + "PIPETX12COMPLIANCE": { + "hide_name": 0, + "bits": [ 3597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26099.12-26099.30" + } + }, + "PIPETX12DATA": { + "hide_name": 0, + "bits": [ 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26100.19-26100.31" + } + }, + "PIPETX12DATAVALID": { + "hide_name": 0, + "bits": [ 3630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26101.12-26101.29" + } + }, + "PIPETX12ELECIDLE": { + "hide_name": 0, + "bits": [ 3631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26102.12-26102.28" + } + }, + "PIPETX12EQCOEFF": { + "hide_name": 0, + "bits": [ 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26505.18-26505.33" + } + }, + "PIPETX12EQCONTROL": { + "hide_name": 0, + "bits": [ 3632, 3633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26103.18-26103.35" + } + }, + "PIPETX12EQDEEMPH": { + "hide_name": 0, + "bits": [ 3634, 3635, 3636, 3637, 3638, 3639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26104.18-26104.34" + } + }, + "PIPETX12EQDONE": { + "hide_name": 0, + "bits": [ 6926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26506.11-26506.25" + } + }, + "PIPETX12POWERDOWN": { + "hide_name": 0, + "bits": [ 3640, 3641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26105.18-26105.35" + } + }, + "PIPETX12STARTBLOCK": { + "hide_name": 0, + "bits": [ 3642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26106.12-26106.30" + } + }, + "PIPETX12SYNCHEADER": { + "hide_name": 0, + "bits": [ 3643, 3644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26107.18-26107.36" + } + }, + "PIPETX13CHARISK": { + "hide_name": 0, + "bits": [ 3645, 3646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26108.18-26108.33" + } + }, + "PIPETX13COMPLIANCE": { + "hide_name": 0, + "bits": [ 3647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26109.12-26109.30" + } + }, + "PIPETX13DATA": { + "hide_name": 0, + "bits": [ 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26110.19-26110.31" + } + }, + "PIPETX13DATAVALID": { + "hide_name": 0, + "bits": [ 3680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26111.12-26111.29" + } + }, + "PIPETX13ELECIDLE": { + "hide_name": 0, + "bits": [ 3681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26112.12-26112.28" + } + }, + "PIPETX13EQCOEFF": { + "hide_name": 0, + "bits": [ 6927, 6928, 6929, 6930, 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942, 6943, 6944 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26507.18-26507.33" + } + }, + "PIPETX13EQCONTROL": { + "hide_name": 0, + "bits": [ 3682, 3683 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26113.18-26113.35" + } + }, + "PIPETX13EQDEEMPH": { + "hide_name": 0, + "bits": [ 3684, 3685, 3686, 3687, 3688, 3689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26114.18-26114.34" + } + }, + "PIPETX13EQDONE": { + "hide_name": 0, + "bits": [ 6945 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26508.11-26508.25" + } + }, + "PIPETX13POWERDOWN": { + "hide_name": 0, + "bits": [ 3690, 3691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26115.18-26115.35" + } + }, + "PIPETX13STARTBLOCK": { + "hide_name": 0, + "bits": [ 3692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26116.12-26116.30" + } + }, + "PIPETX13SYNCHEADER": { + "hide_name": 0, + "bits": [ 3693, 3694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26117.18-26117.36" + } + }, + "PIPETX14CHARISK": { + "hide_name": 0, + "bits": [ 3695, 3696 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26118.18-26118.33" + } + }, + "PIPETX14COMPLIANCE": { + "hide_name": 0, + "bits": [ 3697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26119.12-26119.30" + } + }, + "PIPETX14DATA": { + "hide_name": 0, + "bits": [ 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26120.19-26120.31" + } + }, + "PIPETX14DATAVALID": { + "hide_name": 0, + "bits": [ 3730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26121.12-26121.29" + } + }, + "PIPETX14ELECIDLE": { + "hide_name": 0, + "bits": [ 3731 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26122.12-26122.28" + } + }, + "PIPETX14EQCOEFF": { + "hide_name": 0, + "bits": [ 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962, 6963 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26509.18-26509.33" + } + }, + "PIPETX14EQCONTROL": { + "hide_name": 0, + "bits": [ 3732, 3733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26123.18-26123.35" + } + }, + "PIPETX14EQDEEMPH": { + "hide_name": 0, + "bits": [ 3734, 3735, 3736, 3737, 3738, 3739 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26124.18-26124.34" + } + }, + "PIPETX14EQDONE": { + "hide_name": 0, + "bits": [ 6964 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26510.11-26510.25" + } + }, + "PIPETX14POWERDOWN": { + "hide_name": 0, + "bits": [ 3740, 3741 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26125.18-26125.35" + } + }, + "PIPETX14STARTBLOCK": { + "hide_name": 0, + "bits": [ 3742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26126.12-26126.30" + } + }, + "PIPETX14SYNCHEADER": { + "hide_name": 0, + "bits": [ 3743, 3744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26127.18-26127.36" + } + }, + "PIPETX15CHARISK": { + "hide_name": 0, + "bits": [ 3745, 3746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26128.18-26128.33" + } + }, + "PIPETX15COMPLIANCE": { + "hide_name": 0, + "bits": [ 3747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26129.12-26129.30" + } + }, + "PIPETX15DATA": { + "hide_name": 0, + "bits": [ 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26130.19-26130.31" + } + }, + "PIPETX15DATAVALID": { + "hide_name": 0, + "bits": [ 3780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26131.12-26131.29" + } + }, + "PIPETX15ELECIDLE": { + "hide_name": 0, + "bits": [ 3781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26132.12-26132.28" + } + }, + "PIPETX15EQCOEFF": { + "hide_name": 0, + "bits": [ 6965, 6966, 6967, 6968, 6969, 6970, 6971, 6972, 6973, 6974, 6975, 6976, 6977, 6978, 6979, 6980, 6981, 6982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26511.18-26511.33" + } + }, + "PIPETX15EQCONTROL": { + "hide_name": 0, + "bits": [ 3782, 3783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26133.18-26133.35" + } + }, + "PIPETX15EQDEEMPH": { + "hide_name": 0, + "bits": [ 3784, 3785, 3786, 3787, 3788, 3789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26134.18-26134.34" + } + }, + "PIPETX15EQDONE": { + "hide_name": 0, + "bits": [ 6983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26512.11-26512.25" + } + }, + "PIPETX15POWERDOWN": { + "hide_name": 0, + "bits": [ 3790, 3791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26135.18-26135.35" + } + }, + "PIPETX15STARTBLOCK": { + "hide_name": 0, + "bits": [ 3792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26136.12-26136.30" + } + }, + "PIPETX15SYNCHEADER": { + "hide_name": 0, + "bits": [ 3793, 3794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26137.18-26137.36" + } + }, + "PIPETXDEEMPH": { + "hide_name": 0, + "bits": [ 3795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26138.12-26138.24" + } + }, + "PIPETXMARGIN": { + "hide_name": 0, + "bits": [ 3796, 3797, 3798 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26139.18-26139.30" + } + }, + "PIPETXRATE": { + "hide_name": 0, + "bits": [ 3799, 3800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26140.18-26140.28" + } + }, + "PIPETXRCVRDET": { + "hide_name": 0, + "bits": [ 3801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26141.12-26141.25" + } + }, + "PIPETXRESET": { + "hide_name": 0, + "bits": [ 3802 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26142.12-26142.23" + } + }, + "PIPETXSWING": { + "hide_name": 0, + "bits": [ 3803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26143.12-26143.23" + } + }, + "PLEQINPROGRESS": { + "hide_name": 0, + "bits": [ 3804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26144.12-26144.26" + } + }, + "PLEQPHASE": { + "hide_name": 0, + "bits": [ 3805, 3806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26145.18-26145.27" + } + }, + "PLEQRESETEIEOSCOUNT": { + "hide_name": 0, + "bits": [ 6984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26513.11-26513.30" + } + }, + "PLGEN2UPSTREAMPREFERDEEMPH": { + "hide_name": 0, + "bits": [ 6985 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26514.11-26514.37" + } + }, + "PLGEN34EQMISMATCH": { + "hide_name": 0, + "bits": [ 3807 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26146.12-26146.29" + } + }, + "PLGEN34REDOEQSPEED": { + "hide_name": 0, + "bits": [ 6986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26515.11-26515.29" + } + }, + "PLGEN34REDOEQUALIZATION": { + "hide_name": 0, + "bits": [ 6987 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26516.11-26516.34" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 6988 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26517.11-26517.17" + } + }, + "SAXISCCIXTXTDATA": { + "hide_name": 0, + "bits": [ 6989, 6990, 6991, 6992, 6993, 6994, 6995, 6996, 6997, 6998, 6999, 7000, 7001, 7002, 7003, 7004, 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012, 7013, 7014, 7015, 7016, 7017, 7018, 7019, 7020, 7021, 7022, 7023, 7024, 7025, 7026, 7027, 7028, 7029, 7030, 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052, 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060, 7061, 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074, 7075, 7076, 7077, 7078, 7079, 7080, 7081, 7082, 7083, 7084, 7085, 7086, 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094, 7095, 7096, 7097, 7098, 7099, 7100, 7101, 7102, 7103, 7104, 7105, 7106, 7107, 7108, 7109, 7110, 7111, 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139, 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171, 7172, 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204, 7205, 7206, 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239, 7240, 7241, 7242, 7243, 7244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26518.19-26518.35" + } + }, + "SAXISCCIXTXTUSER": { + "hide_name": 0, + "bits": [ 7245, 7246, 7247, 7248, 7249, 7250, 7251, 7252, 7253, 7254, 7255, 7256, 7257, 7258, 7259, 7260, 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286, 7287, 7288, 7289, 7290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26519.18-26519.34" + } + }, + "SAXISCCIXTXTVALID": { + "hide_name": 0, + "bits": [ 7291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26520.11-26520.28" + } + }, + "SAXISCCTDATA": { + "hide_name": 0, + "bits": [ 7292, 7293, 7294, 7295, 7296, 7297, 7298, 7299, 7300, 7301, 7302, 7303, 7304, 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, 7315, 7316, 7317, 7318, 7319, 7320, 7321, 7322, 7323, 7324, 7325, 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342, 7343, 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351, 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359, 7360, 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372, 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388, 7389, 7390, 7391, 7392, 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400, 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408, 7409, 7410, 7411, 7412, 7413, 7414, 7415, 7416, 7417, 7418, 7419, 7420, 7421, 7422, 7423, 7424, 7425, 7426, 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458, 7459, 7460, 7461, 7462, 7463, 7464, 7465, 7466, 7467, 7468, 7469, 7470, 7471, 7472, 7473, 7474, 7475, 7476, 7477, 7478, 7479, 7480, 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510, 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540, 7541, 7542, 7543, 7544, 7545, 7546, 7547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26521.19-26521.31" + } + }, + "SAXISCCTKEEP": { + "hide_name": 0, + "bits": [ 7548, 7549, 7550, 7551, 7552, 7553, 7554, 7555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26522.17-26522.29" + } + }, + "SAXISCCTLAST": { + "hide_name": 0, + "bits": [ 7556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26523.11-26523.23" + } + }, + "SAXISCCTREADY": { + "hide_name": 0, + "bits": [ 3808, 3809, 3810, 3811 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26147.18-26147.31" + } + }, + "SAXISCCTUSER": { + "hide_name": 0, + "bits": [ 7557, 7558, 7559, 7560, 7561, 7562, 7563, 7564, 7565, 7566, 7567, 7568, 7569, 7570, 7571, 7572, 7573, 7574, 7575, 7576, 7577, 7578, 7579, 7580, 7581, 7582, 7583, 7584, 7585, 7586, 7587, 7588, 7589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26524.18-26524.30" + } + }, + "SAXISCCTVALID": { + "hide_name": 0, + "bits": [ 7590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26525.11-26525.24" + } + }, + "SAXISRQTDATA": { + "hide_name": 0, + "bits": [ 7591, 7592, 7593, 7594, 7595, 7596, 7597, 7598, 7599, 7600, 7601, 7602, 7603, 7604, 7605, 7606, 7607, 7608, 7609, 7610, 7611, 7612, 7613, 7614, 7615, 7616, 7617, 7618, 7619, 7620, 7621, 7622, 7623, 7624, 7625, 7626, 7627, 7628, 7629, 7630, 7631, 7632, 7633, 7634, 7635, 7636, 7637, 7638, 7639, 7640, 7641, 7642, 7643, 7644, 7645, 7646, 7647, 7648, 7649, 7650, 7651, 7652, 7653, 7654, 7655, 7656, 7657, 7658, 7659, 7660, 7661, 7662, 7663, 7664, 7665, 7666, 7667, 7668, 7669, 7670, 7671, 7672, 7673, 7674, 7675, 7676, 7677, 7678, 7679, 7680, 7681, 7682, 7683, 7684, 7685, 7686, 7687, 7688, 7689, 7690, 7691, 7692, 7693, 7694, 7695, 7696, 7697, 7698, 7699, 7700, 7701, 7702, 7703, 7704, 7705, 7706, 7707, 7708, 7709, 7710, 7711, 7712, 7713, 7714, 7715, 7716, 7717, 7718, 7719, 7720, 7721, 7722, 7723, 7724, 7725, 7726, 7727, 7728, 7729, 7730, 7731, 7732, 7733, 7734, 7735, 7736, 7737, 7738, 7739, 7740, 7741, 7742, 7743, 7744, 7745, 7746, 7747, 7748, 7749, 7750, 7751, 7752, 7753, 7754, 7755, 7756, 7757, 7758, 7759, 7760, 7761, 7762, 7763, 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 7828, 7829, 7830, 7831, 7832, 7833, 7834, 7835, 7836, 7837, 7838, 7839, 7840, 7841, 7842, 7843, 7844, 7845, 7846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26526.19-26526.31" + } + }, + "SAXISRQTKEEP": { + "hide_name": 0, + "bits": [ 7847, 7848, 7849, 7850, 7851, 7852, 7853, 7854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26527.17-26527.29" + } + }, + "SAXISRQTLAST": { + "hide_name": 0, + "bits": [ 7855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26528.11-26528.23" + } + }, + "SAXISRQTREADY": { + "hide_name": 0, + "bits": [ 3812, 3813, 3814, 3815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26148.18-26148.31" + } + }, + "SAXISRQTUSER": { + "hide_name": 0, + "bits": [ 7856, 7857, 7858, 7859, 7860, 7861, 7862, 7863, 7864, 7865, 7866, 7867, 7868, 7869, 7870, 7871, 7872, 7873, 7874, 7875, 7876, 7877, 7878, 7879, 7880, 7881, 7882, 7883, 7884, 7885, 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 7898, 7899, 7900, 7901, 7902, 7903, 7904, 7905, 7906, 7907, 7908, 7909, 7910, 7911, 7912, 7913, 7914, 7915, 7916, 7917 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26529.18-26529.30" + } + }, + "SAXISRQTVALID": { + "hide_name": 0, + "bits": [ 7918 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26530.11-26530.24" + } + }, + "USERCLK": { + "hide_name": 0, + "bits": [ 7919 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26531.11-26531.18" + } + }, + "USERCLK2": { + "hide_name": 0, + "bits": [ 7920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26532.11-26532.19" + } + }, + "USERCLKEN": { + "hide_name": 0, + "bits": [ 7921 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26533.11-26533.20" + } + }, + "USERSPAREIN": { + "hide_name": 0, + "bits": [ 7922, 7923, 7924, 7925, 7926, 7927, 7928, 7929, 7930, 7931, 7932, 7933, 7934, 7935, 7936, 7937, 7938, 7939, 7940, 7941, 7942, 7943, 7944, 7945, 7946, 7947, 7948, 7949, 7950, 7951, 7952, 7953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26534.18-26534.29" + } + }, + "USERSPAREOUT": { + "hide_name": 0, + "bits": [ 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26149.19-26149.31" + } + } + } + }, + "PCIE_2_0": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20538.1-21131.10" + }, + "parameter_default_values": { + "AER_BASE_PTR": "000100101000", + "AER_CAP_ECRC_CHECK_CAPABLE": "FALSE", + "AER_CAP_ECRC_GEN_CAPABLE": "FALSE", + "AER_CAP_ID": "0000000000000001", + "AER_CAP_INT_MSG_NUM_MSI": "01010", + "AER_CAP_INT_MSG_NUM_MSIX": "10101", + "AER_CAP_NEXTPTR": "000101100000", + "AER_CAP_ON": "FALSE", + "AER_CAP_PERMIT_ROOTERR_UPDATE": "TRUE", + "AER_CAP_VERSION": "0001", + "ALLOW_X8_GEN2": "FALSE", + "BAR0": "11111111111111111111111100000000", + "BAR1": "11111111111111110000000000000000", + "BAR2": "11111111111111110000000000001100", + "BAR3": "11111111111111111111111111111111", + "BAR4": "00000000000000000000000000000000", + "BAR5": "00000000000000000000000000000000", + "CAPABILITIES_PTR": "01000000", + "CARDBUS_CIS_POINTER": "00000000000000000000000000000000", + "CLASS_CODE": "000000000000000000000000", + "CMD_INTX_IMPLEMENTED": "TRUE", + "CPL_TIMEOUT_DISABLE_SUPPORTED": "FALSE", + "CPL_TIMEOUT_RANGES_SUPPORTED": "0000", + "CRM_MODULE_RSTS": "0000000", + "DEVICE_ID": "0000000000000111", + "DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE": "TRUE", + "DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE": "TRUE", + "DEV_CAP_ENDPOINT_L0S_LATENCY": "00000000000000000000000000000000", + "DEV_CAP_ENDPOINT_L1_LATENCY": "00000000000000000000000000000000", + "DEV_CAP_EXT_TAG_SUPPORTED": "TRUE", + "DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE": "FALSE", + "DEV_CAP_MAX_PAYLOAD_SUPPORTED": "00000000000000000000000000000010", + "DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT": "00000000000000000000000000000000", + "DEV_CAP_ROLE_BASED_ERROR": "TRUE", + "DEV_CAP_RSVD_14_12": "00000000000000000000000000000000", + "DEV_CAP_RSVD_17_16": "00000000000000000000000000000000", + "DEV_CAP_RSVD_31_29": "00000000000000000000000000000000", + "DEV_CONTROL_AUX_POWER_SUPPORTED": "FALSE", + "DISABLE_ASPM_L1_TIMER": "FALSE", + "DISABLE_BAR_FILTERING": "FALSE", + "DISABLE_ID_CHECK": "FALSE", + "DISABLE_LANE_REVERSAL": "FALSE", + "DISABLE_RX_TC_FILTER": "FALSE", + "DISABLE_SCRAMBLING": "FALSE", + "DNSTREAM_LINK_NUM": "00000000", + "DSN_BASE_PTR": "000100000000", + "DSN_CAP_ID": "0000000000000011", + "DSN_CAP_NEXTPTR": "000000000000", + "DSN_CAP_ON": "TRUE", + "DSN_CAP_VERSION": "0001", + "ENABLE_MSG_ROUTE": "00000000000", + "ENABLE_RX_TD_ECRC_TRIM": "FALSE", + "ENTER_RVRY_EI_L0": "TRUE", + "EXIT_LOOPBACK_ON_EI": "TRUE", + "EXPANSION_ROM": "11111111111111111111000000000001", + "EXT_CFG_CAP_PTR": "111111", + "EXT_CFG_XP_CAP_PTR": "1111111111", + "HEADER_TYPE": "00000000", + "INFER_EI": "00000", + "INTERRUPT_PIN": "00000001", + "IS_SWITCH": "FALSE", + "LAST_CONFIG_DWORD": "0001000010", + "LINK_CAP_ASPM_SUPPORT": "00000000000000000000000000000001", + "LINK_CAP_CLOCK_POWER_MANAGEMENT": "FALSE", + "LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP": "FALSE", + "LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "LINK_CAP_L0S_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "LINK_CAP_L0S_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP": "FALSE", + "LINK_CAP_MAX_LINK_SPEED": "0001", + "LINK_CAP_MAX_LINK_WIDTH": "001000", + "LINK_CAP_RSVD_23_22": "00000000000000000000000000000000", + "LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE": "FALSE", + "LINK_CONTROL_RCB": "00000000000000000000000000000000", + "LINK_CTRL2_DEEMPHASIS": "FALSE", + "LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE": "FALSE", + "LINK_CTRL2_TARGET_LINK_SPEED": "0010", + "LINK_STATUS_SLOT_CLOCK_CONFIG": "TRUE", + "LL_ACK_TIMEOUT": "000000000000000", + "LL_ACK_TIMEOUT_EN": "FALSE", + "LL_ACK_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LL_REPLAY_TIMEOUT": "000000000000000", + "LL_REPLAY_TIMEOUT_EN": "FALSE", + "LL_REPLAY_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LTSSM_MAX_LINK_WIDTH": "000001", + "MSIX_BASE_PTR": "10011100", + "MSIX_CAP_ID": "00010001", + "MSIX_CAP_NEXTPTR": "00000000", + "MSIX_CAP_ON": "FALSE", + "MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "MSIX_CAP_TABLE_SIZE": "00000000000", + "MSI_BASE_PTR": "01001000", + "MSI_CAP_64_BIT_ADDR_CAPABLE": "TRUE", + "MSI_CAP_ID": "00000101", + "MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "MSI_CAP_MULTIMSG_EXTENSION": "00000000000000000000000000000000", + "MSI_CAP_NEXTPTR": "01100000", + "MSI_CAP_ON": "FALSE", + "MSI_CAP_PER_VECTOR_MASKING_CAPABLE": "TRUE", + "N_FTS_COMCLK_GEN1": "00000000000000000000000011111111", + "N_FTS_COMCLK_GEN2": "00000000000000000000000011111111", + "N_FTS_GEN1": "00000000000000000000000011111111", + "N_FTS_GEN2": "00000000000000000000000011111111", + "PCIE_BASE_PTR": "01100000", + "PCIE_CAP_CAPABILITY_ID": "00010000", + "PCIE_CAP_CAPABILITY_VERSION": "0010", + "PCIE_CAP_DEVICE_PORT_TYPE": "0000", + "PCIE_CAP_INT_MSG_NUM": "00000", + "PCIE_CAP_NEXTPTR": "00000000", + "PCIE_CAP_ON": "TRUE", + "PCIE_CAP_RSVD_15_14": "00000000000000000000000000000000", + "PCIE_CAP_SLOT_IMPLEMENTED": "FALSE", + "PCIE_REVISION": "00000000000000000000000000000010", + "PGL0_LANE": "00000000000000000000000000000000", + "PGL1_LANE": "00000000000000000000000000000001", + "PGL2_LANE": "00000000000000000000000000000010", + "PGL3_LANE": "00000000000000000000000000000011", + "PGL4_LANE": "00000000000000000000000000000100", + "PGL5_LANE": "00000000000000000000000000000101", + "PGL6_LANE": "00000000000000000000000000000110", + "PGL7_LANE": "00000000000000000000000000000111", + "PL_AUTO_CONFIG": "00000000000000000000000000000000", + "PL_FAST_TRAIN": "FALSE", + "PM_BASE_PTR": "01000000", + "PM_CAP_AUXCURRENT": "00000000000000000000000000000000", + "PM_CAP_D1SUPPORT": "TRUE", + "PM_CAP_D2SUPPORT": "TRUE", + "PM_CAP_DSI": "FALSE", + "PM_CAP_ID": "00000001", + "PM_CAP_NEXTPTR": "01001000", + "PM_CAP_ON": "TRUE", + "PM_CAP_PMESUPPORT": "01111", + "PM_CAP_PME_CLOCK": "FALSE", + "PM_CAP_RSVD_04": "00000000000000000000000000000000", + "PM_CAP_VERSION": "00000000000000000000000000000011", + "PM_CSR_B2B3": "FALSE", + "PM_CSR_BPCCEN": "FALSE", + "PM_CSR_NOSOFTRST": "TRUE", + "PM_DATA0": "00000001", + "PM_DATA1": "00000001", + "PM_DATA2": "00000001", + "PM_DATA3": "00000001", + "PM_DATA4": "00000001", + "PM_DATA5": "00000001", + "PM_DATA6": "00000001", + "PM_DATA7": "00000001", + "PM_DATA_SCALE0": "01", + "PM_DATA_SCALE1": "01", + "PM_DATA_SCALE2": "01", + "PM_DATA_SCALE3": "01", + "PM_DATA_SCALE4": "01", + "PM_DATA_SCALE5": "01", + "PM_DATA_SCALE6": "01", + "PM_DATA_SCALE7": "01", + "RECRC_CHK": "00000000000000000000000000000000", + "RECRC_CHK_TRIM": "FALSE", + "REVISION_ID": "00000000", + "ROOT_CAP_CRS_SW_VISIBILITY": "FALSE", + "SELECT_DLL_IF": "FALSE", + "SIM_VERSION": "1.0", + "SLOT_CAP_ATT_BUTTON_PRESENT": "FALSE", + "SLOT_CAP_ATT_INDICATOR_PRESENT": "FALSE", + "SLOT_CAP_ELEC_INTERLOCK_PRESENT": "FALSE", + "SLOT_CAP_HOTPLUG_CAPABLE": "FALSE", + "SLOT_CAP_HOTPLUG_SURPRISE": "FALSE", + "SLOT_CAP_MRL_SENSOR_PRESENT": "FALSE", + "SLOT_CAP_NO_CMD_COMPLETED_SUPPORT": "FALSE", + "SLOT_CAP_PHYSICAL_SLOT_NUM": "0000000000000", + "SLOT_CAP_POWER_CONTROLLER_PRESENT": "FALSE", + "SLOT_CAP_POWER_INDICATOR_PRESENT": "FALSE", + "SLOT_CAP_SLOT_POWER_LIMIT_SCALE": "00000000000000000000000000000000", + "SLOT_CAP_SLOT_POWER_LIMIT_VALUE": "00000000", + "SPARE_BIT0": "00000000000000000000000000000000", + "SPARE_BIT1": "00000000000000000000000000000000", + "SPARE_BIT2": "00000000000000000000000000000000", + "SPARE_BIT3": "00000000000000000000000000000000", + "SPARE_BIT4": "00000000000000000000000000000000", + "SPARE_BIT5": "00000000000000000000000000000000", + "SPARE_BIT6": "00000000000000000000000000000000", + "SPARE_BIT7": "00000000000000000000000000000000", + "SPARE_BIT8": "00000000000000000000000000000000", + "SPARE_BYTE0": "00000000", + "SPARE_BYTE1": "00000000", + "SPARE_BYTE2": "00000000", + "SPARE_BYTE3": "00000000", + "SPARE_WORD0": "00000000000000000000000000000000", + "SPARE_WORD1": "00000000000000000000000000000000", + "SPARE_WORD2": "00000000000000000000000000000000", + "SPARE_WORD3": "00000000000000000000000000000000", + "SUBSYSTEM_ID": "0000000000000111", + "SUBSYSTEM_VENDOR_ID": "0001000011101110", + "TL_RBYPASS": "FALSE", + "TL_RX_RAM_RADDR_LATENCY": "00000000000000000000000000000000", + "TL_RX_RAM_RDATA_LATENCY": "00000000000000000000000000000010", + "TL_RX_RAM_WRITE_LATENCY": "00000000000000000000000000000000", + "TL_TFC_DISABLE": "FALSE", + "TL_TX_CHECKS_DISABLE": "FALSE", + "TL_TX_RAM_RADDR_LATENCY": "00000000000000000000000000000000", + "TL_TX_RAM_RDATA_LATENCY": "00000000000000000000000000000010", + "TL_TX_RAM_WRITE_LATENCY": "00000000000000000000000000000000", + "UPCONFIG_CAPABLE": "TRUE", + "UPSTREAM_FACING": "TRUE", + "UR_INV_REQ": "TRUE", + "USER_CLK_FREQ": "00000000000000000000000000000011", + "VC0_CPL_INFINITE": "TRUE", + "VC0_RX_RAM_LIMIT": "0001111111111", + "VC0_TOTAL_CREDITS_CD": "00000000000000000000000001111111", + "VC0_TOTAL_CREDITS_CH": "00000000000000000000000000011111", + "VC0_TOTAL_CREDITS_NPH": "00000000000000000000000000001100", + "VC0_TOTAL_CREDITS_PD": "00000000000000000000000100100000", + "VC0_TOTAL_CREDITS_PH": "00000000000000000000000000100000", + "VC0_TX_LASTPACKET": "00000000000000000000000000011111", + "VC_BASE_PTR": "000100001100", + "VC_CAP_ID": "0000000000000010", + "VC_CAP_NEXTPTR": "000000000000", + "VC_CAP_ON": "FALSE", + "VC_CAP_REJECT_SNOOP_TRANSACTIONS": "FALSE", + "VC_CAP_VERSION": "0001", + "VENDOR_ID": "0001000011101110", + "VSEC_BASE_PTR": "000101100000", + "VSEC_CAP_HDR_ID": "0001001000110100", + "VSEC_CAP_HDR_LENGTH": "000000011000", + "VSEC_CAP_HDR_REVISION": "0001", + "VSEC_CAP_ID": "0000000000001011", + "VSEC_CAP_IS_LINK_VISIBLE": "TRUE", + "VSEC_CAP_NEXTPTR": "000000000000", + "VSEC_CAP_ON": "FALSE", + "VSEC_CAP_VERSION": "0001" + }, + "ports": { + "CFGAERECRCCHECKEN": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGAERECRCGENEN": { + "direction": "output", + "bits": [ 3 ] + }, + "CFGCOMMANDBUSMASTERENABLE": { + "direction": "output", + "bits": [ 4 ] + }, + "CFGCOMMANDINTERRUPTDISABLE": { + "direction": "output", + "bits": [ 5 ] + }, + "CFGCOMMANDIOENABLE": { + "direction": "output", + "bits": [ 6 ] + }, + "CFGCOMMANDMEMENABLE": { + "direction": "output", + "bits": [ 7 ] + }, + "CFGCOMMANDSERREN": { + "direction": "output", + "bits": [ 8 ] + }, + "CFGDEVCONTROL2CPLTIMEOUTDIS": { + "direction": "output", + "bits": [ 9 ] + }, + "CFGDEVCONTROLAUXPOWEREN": { + "direction": "output", + "bits": [ 10 ] + }, + "CFGDEVCONTROLCORRERRREPORTINGEN": { + "direction": "output", + "bits": [ 11 ] + }, + "CFGDEVCONTROLENABLERO": { + "direction": "output", + "bits": [ 12 ] + }, + "CFGDEVCONTROLEXTTAGEN": { + "direction": "output", + "bits": [ 13 ] + }, + "CFGDEVCONTROLFATALERRREPORTINGEN": { + "direction": "output", + "bits": [ 14 ] + }, + "CFGDEVCONTROLNONFATALREPORTINGEN": { + "direction": "output", + "bits": [ 15 ] + }, + "CFGDEVCONTROLNOSNOOPEN": { + "direction": "output", + "bits": [ 16 ] + }, + "CFGDEVCONTROLPHANTOMEN": { + "direction": "output", + "bits": [ 17 ] + }, + "CFGDEVCONTROLURERRREPORTINGEN": { + "direction": "output", + "bits": [ 18 ] + }, + "CFGDEVSTATUSCORRERRDETECTED": { + "direction": "output", + "bits": [ 19 ] + }, + "CFGDEVSTATUSFATALERRDETECTED": { + "direction": "output", + "bits": [ 20 ] + }, + "CFGDEVSTATUSNONFATALERRDETECTED": { + "direction": "output", + "bits": [ 21 ] + }, + "CFGDEVSTATUSURDETECTED": { + "direction": "output", + "bits": [ 22 ] + }, + "CFGERRAERHEADERLOGSETN": { + "direction": "output", + "bits": [ 23 ] + }, + "CFGERRCPLRDYN": { + "direction": "output", + "bits": [ 24 ] + }, + "CFGINTERRUPTMSIENABLE": { + "direction": "output", + "bits": [ 25 ] + }, + "CFGINTERRUPTMSIXENABLE": { + "direction": "output", + "bits": [ 26 ] + }, + "CFGINTERRUPTMSIXFM": { + "direction": "output", + "bits": [ 27 ] + }, + "CFGINTERRUPTRDYN": { + "direction": "output", + "bits": [ 28 ] + }, + "CFGLINKCONTROLAUTOBANDWIDTHINTEN": { + "direction": "output", + "bits": [ 29 ] + }, + "CFGLINKCONTROLBANDWIDTHINTEN": { + "direction": "output", + "bits": [ 30 ] + }, + "CFGLINKCONTROLCLOCKPMEN": { + "direction": "output", + "bits": [ 31 ] + }, + "CFGLINKCONTROLCOMMONCLOCK": { + "direction": "output", + "bits": [ 32 ] + }, + "CFGLINKCONTROLEXTENDEDSYNC": { + "direction": "output", + "bits": [ 33 ] + }, + "CFGLINKCONTROLHWAUTOWIDTHDIS": { + "direction": "output", + "bits": [ 34 ] + }, + "CFGLINKCONTROLLINKDISABLE": { + "direction": "output", + "bits": [ 35 ] + }, + "CFGLINKCONTROLRCB": { + "direction": "output", + "bits": [ 36 ] + }, + "CFGLINKCONTROLRETRAINLINK": { + "direction": "output", + "bits": [ 37 ] + }, + "CFGLINKSTATUSAUTOBANDWIDTHSTATUS": { + "direction": "output", + "bits": [ 38 ] + }, + "CFGLINKSTATUSBANDWITHSTATUS": { + "direction": "output", + "bits": [ 39 ] + }, + "CFGLINKSTATUSDLLACTIVE": { + "direction": "output", + "bits": [ 40 ] + }, + "CFGLINKSTATUSLINKTRAINING": { + "direction": "output", + "bits": [ 41 ] + }, + "CFGMSGRECEIVED": { + "direction": "output", + "bits": [ 42 ] + }, + "CFGMSGRECEIVEDASSERTINTA": { + "direction": "output", + "bits": [ 43 ] + }, + "CFGMSGRECEIVEDASSERTINTB": { + "direction": "output", + "bits": [ 44 ] + }, + "CFGMSGRECEIVEDASSERTINTC": { + "direction": "output", + "bits": [ 45 ] + }, + "CFGMSGRECEIVEDASSERTINTD": { + "direction": "output", + "bits": [ 46 ] + }, + "CFGMSGRECEIVEDDEASSERTINTA": { + "direction": "output", + "bits": [ 47 ] + }, + "CFGMSGRECEIVEDDEASSERTINTB": { + "direction": "output", + "bits": [ 48 ] + }, + "CFGMSGRECEIVEDDEASSERTINTC": { + "direction": "output", + "bits": [ 49 ] + }, + "CFGMSGRECEIVEDDEASSERTINTD": { + "direction": "output", + "bits": [ 50 ] + }, + "CFGMSGRECEIVEDERRCOR": { + "direction": "output", + "bits": [ 51 ] + }, + "CFGMSGRECEIVEDERRFATAL": { + "direction": "output", + "bits": [ 52 ] + }, + "CFGMSGRECEIVEDERRNONFATAL": { + "direction": "output", + "bits": [ 53 ] + }, + "CFGMSGRECEIVEDPMASNAK": { + "direction": "output", + "bits": [ 54 ] + }, + "CFGMSGRECEIVEDPMETO": { + "direction": "output", + "bits": [ 55 ] + }, + "CFGMSGRECEIVEDPMETOACK": { + "direction": "output", + "bits": [ 56 ] + }, + "CFGMSGRECEIVEDPMPME": { + "direction": "output", + "bits": [ 57 ] + }, + "CFGMSGRECEIVEDSETSLOTPOWERLIMIT": { + "direction": "output", + "bits": [ 58 ] + }, + "CFGMSGRECEIVEDUNLOCK": { + "direction": "output", + "bits": [ 59 ] + }, + "CFGPMCSRPMEEN": { + "direction": "output", + "bits": [ 60 ] + }, + "CFGPMCSRPMESTATUS": { + "direction": "output", + "bits": [ 61 ] + }, + "CFGPMRCVASREQL1N": { + "direction": "output", + "bits": [ 62 ] + }, + "CFGPMRCVENTERL1N": { + "direction": "output", + "bits": [ 63 ] + }, + "CFGPMRCVENTERL23N": { + "direction": "output", + "bits": [ 64 ] + }, + "CFGPMRCVREQACKN": { + "direction": "output", + "bits": [ 65 ] + }, + "CFGRDWRDONEN": { + "direction": "output", + "bits": [ 66 ] + }, + "CFGSLOTCONTROLELECTROMECHILCTLPULSE": { + "direction": "output", + "bits": [ 67 ] + }, + "CFGTRANSACTION": { + "direction": "output", + "bits": [ 68 ] + }, + "CFGTRANSACTIONTYPE": { + "direction": "output", + "bits": [ 69 ] + }, + "DBGSCLRA": { + "direction": "output", + "bits": [ 70 ] + }, + "DBGSCLRB": { + "direction": "output", + "bits": [ 71 ] + }, + "DBGSCLRC": { + "direction": "output", + "bits": [ 72 ] + }, + "DBGSCLRD": { + "direction": "output", + "bits": [ 73 ] + }, + "DBGSCLRE": { + "direction": "output", + "bits": [ 74 ] + }, + "DBGSCLRF": { + "direction": "output", + "bits": [ 75 ] + }, + "DBGSCLRG": { + "direction": "output", + "bits": [ 76 ] + }, + "DBGSCLRH": { + "direction": "output", + "bits": [ 77 ] + }, + "DBGSCLRI": { + "direction": "output", + "bits": [ 78 ] + }, + "DBGSCLRJ": { + "direction": "output", + "bits": [ 79 ] + }, + "DBGSCLRK": { + "direction": "output", + "bits": [ 80 ] + }, + "DRPDRDY": { + "direction": "output", + "bits": [ 81 ] + }, + "LL2BADDLLPERRN": { + "direction": "output", + "bits": [ 82 ] + }, + "LL2BADTLPERRN": { + "direction": "output", + "bits": [ 83 ] + }, + "LL2PROTOCOLERRN": { + "direction": "output", + "bits": [ 84 ] + }, + "LL2REPLAYROERRN": { + "direction": "output", + "bits": [ 85 ] + }, + "LL2REPLAYTOERRN": { + "direction": "output", + "bits": [ 86 ] + }, + "LL2SUSPENDOKN": { + "direction": "output", + "bits": [ 87 ] + }, + "LL2TFCINIT1SEQN": { + "direction": "output", + "bits": [ 88 ] + }, + "LL2TFCINIT2SEQN": { + "direction": "output", + "bits": [ 89 ] + }, + "LNKCLKEN": { + "direction": "output", + "bits": [ 90 ] + }, + "MIMRXRCE": { + "direction": "output", + "bits": [ 91 ] + }, + "MIMRXREN": { + "direction": "output", + "bits": [ 92 ] + }, + "MIMRXWEN": { + "direction": "output", + "bits": [ 93 ] + }, + "MIMTXRCE": { + "direction": "output", + "bits": [ 94 ] + }, + "MIMTXREN": { + "direction": "output", + "bits": [ 95 ] + }, + "MIMTXWEN": { + "direction": "output", + "bits": [ 96 ] + }, + "PIPERX0POLARITY": { + "direction": "output", + "bits": [ 97 ] + }, + "PIPERX1POLARITY": { + "direction": "output", + "bits": [ 98 ] + }, + "PIPERX2POLARITY": { + "direction": "output", + "bits": [ 99 ] + }, + "PIPERX3POLARITY": { + "direction": "output", + "bits": [ 100 ] + }, + "PIPERX4POLARITY": { + "direction": "output", + "bits": [ 101 ] + }, + "PIPERX5POLARITY": { + "direction": "output", + "bits": [ 102 ] + }, + "PIPERX6POLARITY": { + "direction": "output", + "bits": [ 103 ] + }, + "PIPERX7POLARITY": { + "direction": "output", + "bits": [ 104 ] + }, + "PIPETX0COMPLIANCE": { + "direction": "output", + "bits": [ 105 ] + }, + "PIPETX0ELECIDLE": { + "direction": "output", + "bits": [ 106 ] + }, + "PIPETX1COMPLIANCE": { + "direction": "output", + "bits": [ 107 ] + }, + "PIPETX1ELECIDLE": { + "direction": "output", + "bits": [ 108 ] + }, + "PIPETX2COMPLIANCE": { + "direction": "output", + "bits": [ 109 ] + }, + "PIPETX2ELECIDLE": { + "direction": "output", + "bits": [ 110 ] + }, + "PIPETX3COMPLIANCE": { + "direction": "output", + "bits": [ 111 ] + }, + "PIPETX3ELECIDLE": { + "direction": "output", + "bits": [ 112 ] + }, + "PIPETX4COMPLIANCE": { + "direction": "output", + "bits": [ 113 ] + }, + "PIPETX4ELECIDLE": { + "direction": "output", + "bits": [ 114 ] + }, + "PIPETX5COMPLIANCE": { + "direction": "output", + "bits": [ 115 ] + }, + "PIPETX5ELECIDLE": { + "direction": "output", + "bits": [ 116 ] + }, + "PIPETX6COMPLIANCE": { + "direction": "output", + "bits": [ 117 ] + }, + "PIPETX6ELECIDLE": { + "direction": "output", + "bits": [ 118 ] + }, + "PIPETX7COMPLIANCE": { + "direction": "output", + "bits": [ 119 ] + }, + "PIPETX7ELECIDLE": { + "direction": "output", + "bits": [ 120 ] + }, + "PIPETXDEEMPH": { + "direction": "output", + "bits": [ 121 ] + }, + "PIPETXRATE": { + "direction": "output", + "bits": [ 122 ] + }, + "PIPETXRCVRDET": { + "direction": "output", + "bits": [ 123 ] + }, + "PIPETXRESET": { + "direction": "output", + "bits": [ 124 ] + }, + "PL2LINKUPN": { + "direction": "output", + "bits": [ 125 ] + }, + "PL2RECEIVERERRN": { + "direction": "output", + "bits": [ 126 ] + }, + "PL2RECOVERYN": { + "direction": "output", + "bits": [ 127 ] + }, + "PL2RXELECIDLE": { + "direction": "output", + "bits": [ 128 ] + }, + "PL2SUSPENDOK": { + "direction": "output", + "bits": [ 129 ] + }, + "PLLINKGEN2CAP": { + "direction": "output", + "bits": [ 130 ] + }, + "PLLINKPARTNERGEN2SUPPORTED": { + "direction": "output", + "bits": [ 131 ] + }, + "PLLINKUPCFGCAP": { + "direction": "output", + "bits": [ 132 ] + }, + "PLPHYLNKUPN": { + "direction": "output", + "bits": [ 133 ] + }, + "PLRECEIVEDHOTRST": { + "direction": "output", + "bits": [ 134 ] + }, + "PLSELLNKRATE": { + "direction": "output", + "bits": [ 135 ] + }, + "RECEIVEDFUNCLVLRSTN": { + "direction": "output", + "bits": [ 136 ] + }, + "TL2ASPMSUSPENDCREDITCHECKOKN": { + "direction": "output", + "bits": [ 137 ] + }, + "TL2ASPMSUSPENDREQN": { + "direction": "output", + "bits": [ 138 ] + }, + "TL2PPMSUSPENDOKN": { + "direction": "output", + "bits": [ 139 ] + }, + "TRNLNKUPN": { + "direction": "output", + "bits": [ 140 ] + }, + "TRNRDLLPSRCRDYN": { + "direction": "output", + "bits": [ 141 ] + }, + "TRNRECRCERRN": { + "direction": "output", + "bits": [ 142 ] + }, + "TRNREOFN": { + "direction": "output", + "bits": [ 143 ] + }, + "TRNRERRFWDN": { + "direction": "output", + "bits": [ 144 ] + }, + "TRNRREMN": { + "direction": "output", + "bits": [ 145 ] + }, + "TRNRSOFN": { + "direction": "output", + "bits": [ 146 ] + }, + "TRNRSRCDSCN": { + "direction": "output", + "bits": [ 147 ] + }, + "TRNRSRCRDYN": { + "direction": "output", + "bits": [ 148 ] + }, + "TRNTCFGREQN": { + "direction": "output", + "bits": [ 149 ] + }, + "TRNTDLLPDSTRDYN": { + "direction": "output", + "bits": [ 150 ] + }, + "TRNTDSTRDYN": { + "direction": "output", + "bits": [ 151 ] + }, + "TRNTERRDROPN": { + "direction": "output", + "bits": [ 152 ] + }, + "USERRSTN": { + "direction": "output", + "bits": [ 153 ] + }, + "DBGVECC": { + "direction": "output", + "bits": [ 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165 ] + }, + "PLDBGVEC": { + "direction": "output", + "bits": [ 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177 ] + }, + "TRNFCCPLD": { + "direction": "output", + "bits": [ 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ] + }, + "TRNFCNPD": { + "direction": "output", + "bits": [ 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201 ] + }, + "TRNFCPD": { + "direction": "output", + "bits": [ 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213 ] + }, + "MIMRXRADDR": { + "direction": "output", + "bits": [ 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ] + }, + "MIMRXWADDR": { + "direction": "output", + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239 ] + }, + "MIMTXRADDR": { + "direction": "output", + "bits": [ 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252 ] + }, + "MIMTXWADDR": { + "direction": "output", + "bits": [ 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265 ] + }, + "CFGMSGDATA": { + "direction": "output", + "bits": [ 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297 ] + }, + "PIPETX0DATA": { + "direction": "output", + "bits": [ 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313 ] + }, + "PIPETX1DATA": { + "direction": "output", + "bits": [ 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329 ] + }, + "PIPETX2DATA": { + "direction": "output", + "bits": [ 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345 ] + }, + "PIPETX3DATA": { + "direction": "output", + "bits": [ 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361 ] + }, + "PIPETX4DATA": { + "direction": "output", + "bits": [ 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377 ] + }, + "PIPETX5DATA": { + "direction": "output", + "bits": [ 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393 ] + }, + "PIPETX6DATA": { + "direction": "output", + "bits": [ 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409 ] + }, + "PIPETX7DATA": { + "direction": "output", + "bits": [ 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425 ] + }, + "CFGLINKCONTROLASPMCONTROL": { + "direction": "output", + "bits": [ 426, 427 ] + }, + "CFGLINKSTATUSCURRENTSPEED": { + "direction": "output", + "bits": [ 428, 429 ] + }, + "CFGPMCSRPOWERSTATE": { + "direction": "output", + "bits": [ 430, 431 ] + }, + "PIPETX0CHARISK": { + "direction": "output", + "bits": [ 432, 433 ] + }, + "PIPETX0POWERDOWN": { + "direction": "output", + "bits": [ 434, 435 ] + }, + "PIPETX1CHARISK": { + "direction": "output", + "bits": [ 436, 437 ] + }, + "PIPETX1POWERDOWN": { + "direction": "output", + "bits": [ 438, 439 ] + }, + "PIPETX2CHARISK": { + "direction": "output", + "bits": [ 440, 441 ] + }, + "PIPETX2POWERDOWN": { + "direction": "output", + "bits": [ 442, 443 ] + }, + "PIPETX3CHARISK": { + "direction": "output", + "bits": [ 444, 445 ] + }, + "PIPETX3POWERDOWN": { + "direction": "output", + "bits": [ 446, 447 ] + }, + "PIPETX4CHARISK": { + "direction": "output", + "bits": [ 448, 449 ] + }, + "PIPETX4POWERDOWN": { + "direction": "output", + "bits": [ 450, 451 ] + }, + "PIPETX5CHARISK": { + "direction": "output", + "bits": [ 452, 453 ] + }, + "PIPETX5POWERDOWN": { + "direction": "output", + "bits": [ 454, 455 ] + }, + "PIPETX6CHARISK": { + "direction": "output", + "bits": [ 456, 457 ] + }, + "PIPETX6POWERDOWN": { + "direction": "output", + "bits": [ 458, 459 ] + }, + "PIPETX7CHARISK": { + "direction": "output", + "bits": [ 460, 461 ] + }, + "PIPETX7POWERDOWN": { + "direction": "output", + "bits": [ 462, 463 ] + }, + "PLLANEREVERSALMODE": { + "direction": "output", + "bits": [ 464, 465 ] + }, + "PLRXPMSTATE": { + "direction": "output", + "bits": [ 466, 467 ] + }, + "PLSELLNKWIDTH": { + "direction": "output", + "bits": [ 468, 469 ] + }, + "CFGDEVCONTROLMAXPAYLOAD": { + "direction": "output", + "bits": [ 470, 471, 472 ] + }, + "CFGDEVCONTROLMAXREADREQ": { + "direction": "output", + "bits": [ 473, 474, 475 ] + }, + "CFGINTERRUPTMMENABLE": { + "direction": "output", + "bits": [ 476, 477, 478 ] + }, + "CFGPCIELINKSTATE": { + "direction": "output", + "bits": [ 479, 480, 481 ] + }, + "PIPETXMARGIN": { + "direction": "output", + "bits": [ 482, 483, 484 ] + }, + "PLINITIALLINKWIDTH": { + "direction": "output", + "bits": [ 485, 486, 487 ] + }, + "PLTXPMSTATE": { + "direction": "output", + "bits": [ 488, 489, 490 ] + }, + "CFGDO": { + "direction": "output", + "bits": [ 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522 ] + }, + "TRNRDLLPDATA": { + "direction": "output", + "bits": [ 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554 ] + }, + "CFGDEVCONTROL2CPLTIMEOUTVAL": { + "direction": "output", + "bits": [ 555, 556, 557, 558 ] + }, + "CFGLINKSTATUSNEGOTIATEDWIDTH": { + "direction": "output", + "bits": [ 559, 560, 561, 562 ] + }, + "PLLTSSMSTATE": { + "direction": "output", + "bits": [ 563, 564, 565, 566, 567, 568 ] + }, + "TRNTBUFAV": { + "direction": "output", + "bits": [ 569, 570, 571, 572, 573, 574 ] + }, + "DBGVECA": { + "direction": "output", + "bits": [ 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638 ] + }, + "DBGVECB": { + "direction": "output", + "bits": [ 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702 ] + }, + "TRNRD": { + "direction": "output", + "bits": [ 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766 ] + }, + "MIMRXWDATA": { + "direction": "output", + "bits": [ 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834 ] + }, + "MIMTXWDATA": { + "direction": "output", + "bits": [ 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903 ] + }, + "CFGTRANSACTIONADDR": { + "direction": "output", + "bits": [ 904, 905, 906, 907, 908, 909, 910 ] + }, + "CFGVCTCVCMAP": { + "direction": "output", + "bits": [ 911, 912, 913, 914, 915, 916, 917 ] + }, + "TRNRBARHITN": { + "direction": "output", + "bits": [ 918, 919, 920, 921, 922, 923, 924 ] + }, + "CFGINTERRUPTDO": { + "direction": "output", + "bits": [ 925, 926, 927, 928, 929, 930, 931, 932 ] + }, + "TRNFCCPLH": { + "direction": "output", + "bits": [ 933, 934, 935, 936, 937, 938, 939, 940 ] + }, + "TRNFCNPH": { + "direction": "output", + "bits": [ 941, 942, 943, 944, 945, 946, 947, 948 ] + }, + "TRNFCPH": { + "direction": "output", + "bits": [ 949, 950, 951, 952, 953, 954, 955, 956 ] + }, + "CFGERRACSN": { + "direction": "input", + "bits": [ 957 ] + }, + "CFGERRCORN": { + "direction": "input", + "bits": [ 958 ] + }, + "CFGERRCPLABORTN": { + "direction": "input", + "bits": [ 959 ] + }, + "CFGERRCPLTIMEOUTN": { + "direction": "input", + "bits": [ 960 ] + }, + "CFGERRCPLUNEXPECTN": { + "direction": "input", + "bits": [ 961 ] + }, + "CFGERRECRCN": { + "direction": "input", + "bits": [ 962 ] + }, + "CFGERRLOCKEDN": { + "direction": "input", + "bits": [ 963 ] + }, + "CFGERRPOSTEDN": { + "direction": "input", + "bits": [ 964 ] + }, + "CFGERRURN": { + "direction": "input", + "bits": [ 965 ] + }, + "CFGINTERRUPTASSERTN": { + "direction": "input", + "bits": [ 966 ] + }, + "CFGINTERRUPTN": { + "direction": "input", + "bits": [ 967 ] + }, + "CFGPMDIRECTASPML1N": { + "direction": "input", + "bits": [ 968 ] + }, + "CFGPMSENDPMACKN": { + "direction": "input", + "bits": [ 969 ] + }, + "CFGPMSENDPMETON": { + "direction": "input", + "bits": [ 970 ] + }, + "CFGPMSENDPMNAKN": { + "direction": "input", + "bits": [ 971 ] + }, + "CFGPMTURNOFFOKN": { + "direction": "input", + "bits": [ 972 ] + }, + "CFGPMWAKEN": { + "direction": "input", + "bits": [ 973 ] + }, + "CFGRDENN": { + "direction": "input", + "bits": [ 974 ] + }, + "CFGTRNPENDINGN": { + "direction": "input", + "bits": [ 975 ] + }, + "CFGWRENN": { + "direction": "input", + "bits": [ 976 ] + }, + "CFGWRREADONLYN": { + "direction": "input", + "bits": [ 977 ] + }, + "CFGWRRW1CASRWN": { + "direction": "input", + "bits": [ 978 ] + }, + "CMRSTN": { + "direction": "input", + "bits": [ 979 ] + }, + "CMSTICKYRSTN": { + "direction": "input", + "bits": [ 980 ] + }, + "DBGSUBMODE": { + "direction": "input", + "bits": [ 981 ] + }, + "DLRSTN": { + "direction": "input", + "bits": [ 982 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 983 ] + }, + "DRPDEN": { + "direction": "input", + "bits": [ 984 ] + }, + "DRPDWE": { + "direction": "input", + "bits": [ 985 ] + }, + "FUNCLVLRSTN": { + "direction": "input", + "bits": [ 986 ] + }, + "LL2SENDASREQL1N": { + "direction": "input", + "bits": [ 987 ] + }, + "LL2SENDENTERL1N": { + "direction": "input", + "bits": [ 988 ] + }, + "LL2SENDENTERL23N": { + "direction": "input", + "bits": [ 989 ] + }, + "LL2SUSPENDNOWN": { + "direction": "input", + "bits": [ 990 ] + }, + "LL2TLPRCVN": { + "direction": "input", + "bits": [ 991 ] + }, + "PIPECLK": { + "direction": "input", + "bits": [ 992 ] + }, + "PIPERX0CHANISALIGNED": { + "direction": "input", + "bits": [ 993 ] + }, + "PIPERX0ELECIDLE": { + "direction": "input", + "bits": [ 994 ] + }, + "PIPERX0PHYSTATUS": { + "direction": "input", + "bits": [ 995 ] + }, + "PIPERX0VALID": { + "direction": "input", + "bits": [ 996 ] + }, + "PIPERX1CHANISALIGNED": { + "direction": "input", + "bits": [ 997 ] + }, + "PIPERX1ELECIDLE": { + "direction": "input", + "bits": [ 998 ] + }, + "PIPERX1PHYSTATUS": { + "direction": "input", + "bits": [ 999 ] + }, + "PIPERX1VALID": { + "direction": "input", + "bits": [ 1000 ] + }, + "PIPERX2CHANISALIGNED": { + "direction": "input", + "bits": [ 1001 ] + }, + "PIPERX2ELECIDLE": { + "direction": "input", + "bits": [ 1002 ] + }, + "PIPERX2PHYSTATUS": { + "direction": "input", + "bits": [ 1003 ] + }, + "PIPERX2VALID": { + "direction": "input", + "bits": [ 1004 ] + }, + "PIPERX3CHANISALIGNED": { + "direction": "input", + "bits": [ 1005 ] + }, + "PIPERX3ELECIDLE": { + "direction": "input", + "bits": [ 1006 ] + }, + "PIPERX3PHYSTATUS": { + "direction": "input", + "bits": [ 1007 ] + }, + "PIPERX3VALID": { + "direction": "input", + "bits": [ 1008 ] + }, + "PIPERX4CHANISALIGNED": { + "direction": "input", + "bits": [ 1009 ] + }, + "PIPERX4ELECIDLE": { + "direction": "input", + "bits": [ 1010 ] + }, + "PIPERX4PHYSTATUS": { + "direction": "input", + "bits": [ 1011 ] + }, + "PIPERX4VALID": { + "direction": "input", + "bits": [ 1012 ] + }, + "PIPERX5CHANISALIGNED": { + "direction": "input", + "bits": [ 1013 ] + }, + "PIPERX5ELECIDLE": { + "direction": "input", + "bits": [ 1014 ] + }, + "PIPERX5PHYSTATUS": { + "direction": "input", + "bits": [ 1015 ] + }, + "PIPERX5VALID": { + "direction": "input", + "bits": [ 1016 ] + }, + "PIPERX6CHANISALIGNED": { + "direction": "input", + "bits": [ 1017 ] + }, + "PIPERX6ELECIDLE": { + "direction": "input", + "bits": [ 1018 ] + }, + "PIPERX6PHYSTATUS": { + "direction": "input", + "bits": [ 1019 ] + }, + "PIPERX6VALID": { + "direction": "input", + "bits": [ 1020 ] + }, + "PIPERX7CHANISALIGNED": { + "direction": "input", + "bits": [ 1021 ] + }, + "PIPERX7ELECIDLE": { + "direction": "input", + "bits": [ 1022 ] + }, + "PIPERX7PHYSTATUS": { + "direction": "input", + "bits": [ 1023 ] + }, + "PIPERX7VALID": { + "direction": "input", + "bits": [ 1024 ] + }, + "PLDIRECTEDLINKAUTON": { + "direction": "input", + "bits": [ 1025 ] + }, + "PLDIRECTEDLINKSPEED": { + "direction": "input", + "bits": [ 1026 ] + }, + "PLDOWNSTREAMDEEMPHSOURCE": { + "direction": "input", + "bits": [ 1027 ] + }, + "PLRSTN": { + "direction": "input", + "bits": [ 1028 ] + }, + "PLTRANSMITHOTRST": { + "direction": "input", + "bits": [ 1029 ] + }, + "PLUPSTREAMPREFERDEEMPH": { + "direction": "input", + "bits": [ 1030 ] + }, + "SYSRSTN": { + "direction": "input", + "bits": [ 1031 ] + }, + "TL2ASPMSUSPENDCREDITCHECKN": { + "direction": "input", + "bits": [ 1032 ] + }, + "TL2PPMSUSPENDREQN": { + "direction": "input", + "bits": [ 1033 ] + }, + "TLRSTN": { + "direction": "input", + "bits": [ 1034 ] + }, + "TRNRDSTRDYN": { + "direction": "input", + "bits": [ 1035 ] + }, + "TRNRNPOKN": { + "direction": "input", + "bits": [ 1036 ] + }, + "TRNTCFGGNTN": { + "direction": "input", + "bits": [ 1037 ] + }, + "TRNTDLLPSRCRDYN": { + "direction": "input", + "bits": [ 1038 ] + }, + "TRNTECRCGENN": { + "direction": "input", + "bits": [ 1039 ] + }, + "TRNTEOFN": { + "direction": "input", + "bits": [ 1040 ] + }, + "TRNTERRFWDN": { + "direction": "input", + "bits": [ 1041 ] + }, + "TRNTREMN": { + "direction": "input", + "bits": [ 1042 ] + }, + "TRNTSOFN": { + "direction": "input", + "bits": [ 1043 ] + }, + "TRNTSRCDSCN": { + "direction": "input", + "bits": [ 1044 ] + }, + "TRNTSRCRDYN": { + "direction": "input", + "bits": [ 1045 ] + }, + "TRNTSTRN": { + "direction": "input", + "bits": [ 1046 ] + }, + "USERCLK": { + "direction": "input", + "bits": [ 1047 ] + }, + "CFGERRAERHEADERLOG": { + "direction": "input", + "bits": [ 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191 ] + }, + "PIPERX0DATA": { + "direction": "input", + "bits": [ 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207 ] + }, + "PIPERX1DATA": { + "direction": "input", + "bits": [ 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223 ] + }, + "PIPERX2DATA": { + "direction": "input", + "bits": [ 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239 ] + }, + "PIPERX3DATA": { + "direction": "input", + "bits": [ 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255 ] + }, + "PIPERX4DATA": { + "direction": "input", + "bits": [ 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271 ] + }, + "PIPERX5DATA": { + "direction": "input", + "bits": [ 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287 ] + }, + "PIPERX6DATA": { + "direction": "input", + "bits": [ 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303 ] + }, + "PIPERX7DATA": { + "direction": "input", + "bits": [ 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319 ] + }, + "DBGMODE": { + "direction": "input", + "bits": [ 1320, 1321 ] + }, + "PIPERX0CHARISK": { + "direction": "input", + "bits": [ 1322, 1323 ] + }, + "PIPERX1CHARISK": { + "direction": "input", + "bits": [ 1324, 1325 ] + }, + "PIPERX2CHARISK": { + "direction": "input", + "bits": [ 1326, 1327 ] + }, + "PIPERX3CHARISK": { + "direction": "input", + "bits": [ 1328, 1329 ] + }, + "PIPERX4CHARISK": { + "direction": "input", + "bits": [ 1330, 1331 ] + }, + "PIPERX5CHARISK": { + "direction": "input", + "bits": [ 1332, 1333 ] + }, + "PIPERX6CHARISK": { + "direction": "input", + "bits": [ 1334, 1335 ] + }, + "PIPERX7CHARISK": { + "direction": "input", + "bits": [ 1336, 1337 ] + }, + "PLDIRECTEDLINKCHANGE": { + "direction": "input", + "bits": [ 1338, 1339 ] + }, + "PLDIRECTEDLINKWIDTH": { + "direction": "input", + "bits": [ 1340, 1341 ] + }, + "CFGDSFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 1342, 1343, 1344 ] + }, + "PIPERX0STATUS": { + "direction": "input", + "bits": [ 1345, 1346, 1347 ] + }, + "PIPERX1STATUS": { + "direction": "input", + "bits": [ 1348, 1349, 1350 ] + }, + "PIPERX2STATUS": { + "direction": "input", + "bits": [ 1351, 1352, 1353 ] + }, + "PIPERX3STATUS": { + "direction": "input", + "bits": [ 1354, 1355, 1356 ] + }, + "PIPERX4STATUS": { + "direction": "input", + "bits": [ 1357, 1358, 1359 ] + }, + "PIPERX5STATUS": { + "direction": "input", + "bits": [ 1360, 1361, 1362 ] + }, + "PIPERX6STATUS": { + "direction": "input", + "bits": [ 1363, 1364, 1365 ] + }, + "PIPERX7STATUS": { + "direction": "input", + "bits": [ 1366, 1367, 1368 ] + }, + "PLDBGMODE": { + "direction": "input", + "bits": [ 1369, 1370, 1371 ] + }, + "TRNFCSEL": { + "direction": "input", + "bits": [ 1372, 1373, 1374 ] + }, + "CFGDI": { + "direction": "input", + "bits": [ 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406 ] + }, + "TRNTDLLPDATA": { + "direction": "input", + "bits": [ 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438 ] + }, + "CFGBYTEENN": { + "direction": "input", + "bits": [ 1439, 1440, 1441, 1442 ] + }, + "CFGERRTLPCPLHEADER": { + "direction": "input", + "bits": [ 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490 ] + }, + "CFGDSDEVICENUMBER": { + "direction": "input", + "bits": [ 1491, 1492, 1493, 1494, 1495 ] + }, + "PL2DIRECTEDLSTATE": { + "direction": "input", + "bits": [ 1496, 1497, 1498, 1499, 1500 ] + }, + "CFGDSN": { + "direction": "input", + "bits": [ 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564 ] + }, + "TRNTD": { + "direction": "input", + "bits": [ 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628 ] + }, + "MIMRXRDATA": { + "direction": "input", + "bits": [ 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696 ] + }, + "MIMTXRDATA": { + "direction": "input", + "bits": [ 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765 ] + }, + "CFGDSBUSNUMBER": { + "direction": "input", + "bits": [ 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773 ] + }, + "CFGINTERRUPTDI": { + "direction": "input", + "bits": [ 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781 ] + }, + "CFGPORTNUMBER": { + "direction": "input", + "bits": [ 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789 ] + }, + "DRPDADDR": { + "direction": "input", + "bits": [ 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798 ] + }, + "CFGDWADDR": { + "direction": "input", + "bits": [ 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808 ] + } + }, + "cells": { + }, + "netnames": { + "CFGAERECRCCHECKEN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20775.12-20775.29" + } + }, + "CFGAERECRCGENEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20776.12-20776.27" + } + }, + "CFGBYTEENN": { + "hide_name": 0, + "bits": [ 1439, 1440, 1441, 1442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21118.17-21118.27" + } + }, + "CFGCOMMANDBUSMASTERENABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20777.12-20777.37" + } + }, + "CFGCOMMANDINTERRUPTDISABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20778.12-20778.38" + } + }, + "CFGCOMMANDIOENABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20779.12-20779.30" + } + }, + "CFGCOMMANDMEMENABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20780.12-20780.31" + } + }, + "CFGCOMMANDSERREN": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20781.12-20781.28" + } + }, + "CFGDEVCONTROL2CPLTIMEOUTDIS": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20782.12-20782.39" + } + }, + "CFGDEVCONTROL2CPLTIMEOUTVAL": { + "hide_name": 0, + "bits": [ 555, 556, 557, 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20977.18-20977.45" + } + }, + "CFGDEVCONTROLAUXPOWEREN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20783.12-20783.35" + } + }, + "CFGDEVCONTROLCORRERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20784.12-20784.43" + } + }, + "CFGDEVCONTROLENABLERO": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20785.12-20785.33" + } + }, + "CFGDEVCONTROLEXTTAGEN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20786.12-20786.33" + } + }, + "CFGDEVCONTROLFATALERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20787.12-20787.44" + } + }, + "CFGDEVCONTROLMAXPAYLOAD": { + "hide_name": 0, + "bits": [ 470, 471, 472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20968.18-20968.41" + } + }, + "CFGDEVCONTROLMAXREADREQ": { + "hide_name": 0, + "bits": [ 473, 474, 475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20969.18-20969.41" + } + }, + "CFGDEVCONTROLNONFATALREPORTINGEN": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20788.12-20788.44" + } + }, + "CFGDEVCONTROLNOSNOOPEN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20789.12-20789.34" + } + }, + "CFGDEVCONTROLPHANTOMEN": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20790.12-20790.34" + } + }, + "CFGDEVCONTROLURERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20791.12-20791.41" + } + }, + "CFGDEVSTATUSCORRERRDETECTED": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20792.12-20792.39" + } + }, + "CFGDEVSTATUSFATALERRDETECTED": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20793.12-20793.40" + } + }, + "CFGDEVSTATUSNONFATALERRDETECTED": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20794.12-20794.43" + } + }, + "CFGDEVSTATUSURDETECTED": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20795.12-20795.34" + } + }, + "CFGDI": { + "hide_name": 0, + "bits": [ 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21116.18-21116.23" + } + }, + "CFGDO": { + "hide_name": 0, + "bits": [ 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20975.19-20975.24" + } + }, + "CFGDSBUSNUMBER": { + "hide_name": 0, + "bits": [ 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21126.17-21126.31" + } + }, + "CFGDSDEVICENUMBER": { + "hide_name": 0, + "bits": [ 1491, 1492, 1493, 1494, 1495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21120.17-21120.34" + } + }, + "CFGDSFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 1342, 1343, 1344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21105.17-21105.36" + } + }, + "CFGDSN": { + "hide_name": 0, + "bits": [ 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21122.18-21122.24" + } + }, + "CFGDWADDR": { + "hide_name": 0, + "bits": [ 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21130.17-21130.26" + } + }, + "CFGERRACSN": { + "hide_name": 0, + "bits": [ 957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20993.11-20993.21" + } + }, + "CFGERRAERHEADERLOG": { + "hide_name": 0, + "bits": [ 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21084.19-21084.37" + } + }, + "CFGERRAERHEADERLOGSETN": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20796.12-20796.34" + } + }, + "CFGERRCORN": { + "hide_name": 0, + "bits": [ 958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20994.11-20994.21" + } + }, + "CFGERRCPLABORTN": { + "hide_name": 0, + "bits": [ 959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20995.11-20995.26" + } + }, + "CFGERRCPLRDYN": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20797.12-20797.25" + } + }, + "CFGERRCPLTIMEOUTN": { + "hide_name": 0, + "bits": [ 960 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20996.11-20996.28" + } + }, + "CFGERRCPLUNEXPECTN": { + "hide_name": 0, + "bits": [ 961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20997.11-20997.29" + } + }, + "CFGERRECRCN": { + "hide_name": 0, + "bits": [ 962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20998.11-20998.22" + } + }, + "CFGERRLOCKEDN": { + "hide_name": 0, + "bits": [ 963 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20999.11-20999.24" + } + }, + "CFGERRPOSTEDN": { + "hide_name": 0, + "bits": [ 964 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21000.11-21000.24" + } + }, + "CFGERRTLPCPLHEADER": { + "hide_name": 0, + "bits": [ 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21119.18-21119.36" + } + }, + "CFGERRURN": { + "hide_name": 0, + "bits": [ 965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21001.11-21001.20" + } + }, + "CFGINTERRUPTASSERTN": { + "hide_name": 0, + "bits": [ 966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21002.11-21002.30" + } + }, + "CFGINTERRUPTDI": { + "hide_name": 0, + "bits": [ 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21127.17-21127.31" + } + }, + "CFGINTERRUPTDO": { + "hide_name": 0, + "bits": [ 925, 926, 927, 928, 929, 930, 931, 932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20989.18-20989.32" + } + }, + "CFGINTERRUPTMMENABLE": { + "hide_name": 0, + "bits": [ 476, 477, 478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20970.18-20970.38" + } + }, + "CFGINTERRUPTMSIENABLE": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20798.12-20798.33" + } + }, + "CFGINTERRUPTMSIXENABLE": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20799.12-20799.34" + } + }, + "CFGINTERRUPTMSIXFM": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20800.12-20800.30" + } + }, + "CFGINTERRUPTN": { + "hide_name": 0, + "bits": [ 967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21003.11-21003.24" + } + }, + "CFGINTERRUPTRDYN": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20801.12-20801.28" + } + }, + "CFGLINKCONTROLASPMCONTROL": { + "hide_name": 0, + "bits": [ 426, 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20946.18-20946.43" + } + }, + "CFGLINKCONTROLAUTOBANDWIDTHINTEN": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20802.12-20802.44" + } + }, + "CFGLINKCONTROLBANDWIDTHINTEN": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20803.12-20803.40" + } + }, + "CFGLINKCONTROLCLOCKPMEN": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20804.12-20804.35" + } + }, + "CFGLINKCONTROLCOMMONCLOCK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20805.12-20805.37" + } + }, + "CFGLINKCONTROLEXTENDEDSYNC": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20806.12-20806.38" + } + }, + "CFGLINKCONTROLHWAUTOWIDTHDIS": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20807.12-20807.40" + } + }, + "CFGLINKCONTROLLINKDISABLE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20808.12-20808.37" + } + }, + "CFGLINKCONTROLRCB": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20809.12-20809.29" + } + }, + "CFGLINKCONTROLRETRAINLINK": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20810.12-20810.37" + } + }, + "CFGLINKSTATUSAUTOBANDWIDTHSTATUS": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20811.12-20811.44" + } + }, + "CFGLINKSTATUSBANDWITHSTATUS": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20812.12-20812.39" + } + }, + "CFGLINKSTATUSCURRENTSPEED": { + "hide_name": 0, + "bits": [ 428, 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20947.18-20947.43" + } + }, + "CFGLINKSTATUSDLLACTIVE": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20813.12-20813.34" + } + }, + "CFGLINKSTATUSLINKTRAINING": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20814.12-20814.37" + } + }, + "CFGLINKSTATUSNEGOTIATEDWIDTH": { + "hide_name": 0, + "bits": [ 559, 560, 561, 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20978.18-20978.46" + } + }, + "CFGMSGDATA": { + "hide_name": 0, + "bits": [ 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20936.19-20936.29" + } + }, + "CFGMSGRECEIVED": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20815.12-20815.26" + } + }, + "CFGMSGRECEIVEDASSERTINTA": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20816.12-20816.36" + } + }, + "CFGMSGRECEIVEDASSERTINTB": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20817.12-20817.36" + } + }, + "CFGMSGRECEIVEDASSERTINTC": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20818.12-20818.36" + } + }, + "CFGMSGRECEIVEDASSERTINTD": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20819.12-20819.36" + } + }, + "CFGMSGRECEIVEDDEASSERTINTA": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20820.12-20820.38" + } + }, + "CFGMSGRECEIVEDDEASSERTINTB": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20821.12-20821.38" + } + }, + "CFGMSGRECEIVEDDEASSERTINTC": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20822.12-20822.38" + } + }, + "CFGMSGRECEIVEDDEASSERTINTD": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20823.12-20823.38" + } + }, + "CFGMSGRECEIVEDERRCOR": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20824.12-20824.32" + } + }, + "CFGMSGRECEIVEDERRFATAL": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20825.12-20825.34" + } + }, + "CFGMSGRECEIVEDERRNONFATAL": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20826.12-20826.37" + } + }, + "CFGMSGRECEIVEDPMASNAK": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20827.12-20827.33" + } + }, + "CFGMSGRECEIVEDPMETO": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20828.12-20828.31" + } + }, + "CFGMSGRECEIVEDPMETOACK": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20829.12-20829.34" + } + }, + "CFGMSGRECEIVEDPMPME": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20830.12-20830.31" + } + }, + "CFGMSGRECEIVEDSETSLOTPOWERLIMIT": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20831.12-20831.43" + } + }, + "CFGMSGRECEIVEDUNLOCK": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20832.12-20832.32" + } + }, + "CFGPCIELINKSTATE": { + "hide_name": 0, + "bits": [ 479, 480, 481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20971.18-20971.34" + } + }, + "CFGPMCSRPMEEN": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20833.12-20833.25" + } + }, + "CFGPMCSRPMESTATUS": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20834.12-20834.29" + } + }, + "CFGPMCSRPOWERSTATE": { + "hide_name": 0, + "bits": [ 430, 431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20948.18-20948.36" + } + }, + "CFGPMDIRECTASPML1N": { + "hide_name": 0, + "bits": [ 968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21004.11-21004.29" + } + }, + "CFGPMRCVASREQL1N": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20835.12-20835.28" + } + }, + "CFGPMRCVENTERL1N": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20836.12-20836.28" + } + }, + "CFGPMRCVENTERL23N": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20837.12-20837.29" + } + }, + "CFGPMRCVREQACKN": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20838.12-20838.27" + } + }, + "CFGPMSENDPMACKN": { + "hide_name": 0, + "bits": [ 969 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21005.11-21005.26" + } + }, + "CFGPMSENDPMETON": { + "hide_name": 0, + "bits": [ 970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21006.11-21006.26" + } + }, + "CFGPMSENDPMNAKN": { + "hide_name": 0, + "bits": [ 971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21007.11-21007.26" + } + }, + "CFGPMTURNOFFOKN": { + "hide_name": 0, + "bits": [ 972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21008.11-21008.26" + } + }, + "CFGPMWAKEN": { + "hide_name": 0, + "bits": [ 973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21009.11-21009.21" + } + }, + "CFGPORTNUMBER": { + "hide_name": 0, + "bits": [ 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21128.17-21128.30" + } + }, + "CFGRDENN": { + "hide_name": 0, + "bits": [ 974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21010.11-21010.19" + } + }, + "CFGRDWRDONEN": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20839.12-20839.24" + } + }, + "CFGSLOTCONTROLELECTROMECHILCTLPULSE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20840.12-20840.47" + } + }, + "CFGTRANSACTION": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20841.12-20841.26" + } + }, + "CFGTRANSACTIONADDR": { + "hide_name": 0, + "bits": [ 904, 905, 906, 907, 908, 909, 910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20986.18-20986.36" + } + }, + "CFGTRANSACTIONTYPE": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20842.12-20842.30" + } + }, + "CFGTRNPENDINGN": { + "hide_name": 0, + "bits": [ 975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21011.11-21011.25" + } + }, + "CFGVCTCVCMAP": { + "hide_name": 0, + "bits": [ 911, 912, 913, 914, 915, 916, 917 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20987.18-20987.30" + } + }, + "CFGWRENN": { + "hide_name": 0, + "bits": [ 976 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21012.11-21012.19" + } + }, + "CFGWRREADONLYN": { + "hide_name": 0, + "bits": [ 977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21013.11-21013.25" + } + }, + "CFGWRRW1CASRWN": { + "hide_name": 0, + "bits": [ 978 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21014.11-21014.25" + } + }, + "CMRSTN": { + "hide_name": 0, + "bits": [ 979 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21015.11-21015.17" + } + }, + "CMSTICKYRSTN": { + "hide_name": 0, + "bits": [ 980 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21016.11-21016.23" + } + }, + "DBGMODE": { + "hide_name": 0, + "bits": [ 1320, 1321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21094.17-21094.24" + } + }, + "DBGSCLRA": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20843.12-20843.20" + } + }, + "DBGSCLRB": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20844.12-20844.20" + } + }, + "DBGSCLRC": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20845.12-20845.20" + } + }, + "DBGSCLRD": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20846.12-20846.20" + } + }, + "DBGSCLRE": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20847.12-20847.20" + } + }, + "DBGSCLRF": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20848.12-20848.20" + } + }, + "DBGSCLRG": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20849.12-20849.20" + } + }, + "DBGSCLRH": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20850.12-20850.20" + } + }, + "DBGSCLRI": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20851.12-20851.20" + } + }, + "DBGSCLRJ": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20852.12-20852.20" + } + }, + "DBGSCLRK": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20853.12-20853.20" + } + }, + "DBGSUBMODE": { + "hide_name": 0, + "bits": [ 981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21017.11-21017.21" + } + }, + "DBGVECA": { + "hide_name": 0, + "bits": [ 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20981.19-20981.26" + } + }, + "DBGVECB": { + "hide_name": 0, + "bits": [ 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20982.19-20982.26" + } + }, + "DBGVECC": { + "hide_name": 0, + "bits": [ 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20927.19-20927.26" + } + }, + "DLRSTN": { + "hide_name": 0, + "bits": [ 982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21018.11-21018.17" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21019.11-21019.17" + } + }, + "DRPDADDR": { + "hide_name": 0, + "bits": [ 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21129.17-21129.25" + } + }, + "DRPDEN": { + "hide_name": 0, + "bits": [ 984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21020.11-21020.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21085.18-21085.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20937.19-20937.24" + } + }, + "DRPDRDY": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20854.12-20854.19" + } + }, + "DRPDWE": { + "hide_name": 0, + "bits": [ 985 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21021.11-21021.17" + } + }, + "FUNCLVLRSTN": { + "hide_name": 0, + "bits": [ 986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21022.11-21022.22" + } + }, + "LL2BADDLLPERRN": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20855.12-20855.26" + } + }, + "LL2BADTLPERRN": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20856.12-20856.25" + } + }, + "LL2PROTOCOLERRN": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20857.12-20857.27" + } + }, + "LL2REPLAYROERRN": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20858.12-20858.27" + } + }, + "LL2REPLAYTOERRN": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20859.12-20859.27" + } + }, + "LL2SENDASREQL1N": { + "hide_name": 0, + "bits": [ 987 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21023.11-21023.26" + } + }, + "LL2SENDENTERL1N": { + "hide_name": 0, + "bits": [ 988 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21024.11-21024.26" + } + }, + "LL2SENDENTERL23N": { + "hide_name": 0, + "bits": [ 989 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21025.11-21025.27" + } + }, + "LL2SUSPENDNOWN": { + "hide_name": 0, + "bits": [ 990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21026.11-21026.25" + } + }, + "LL2SUSPENDOKN": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20860.12-20860.25" + } + }, + "LL2TFCINIT1SEQN": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20861.12-20861.27" + } + }, + "LL2TFCINIT2SEQN": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20862.12-20862.27" + } + }, + "LL2TLPRCVN": { + "hide_name": 0, + "bits": [ 991 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21027.11-21027.21" + } + }, + "LNKCLKEN": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20863.12-20863.20" + } + }, + "MIMRXRADDR": { + "hide_name": 0, + "bits": [ 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20932.19-20932.29" + } + }, + "MIMRXRCE": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20864.12-20864.20" + } + }, + "MIMRXRDATA": { + "hide_name": 0, + "bits": [ 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21124.18-21124.28" + } + }, + "MIMRXREN": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20865.12-20865.20" + } + }, + "MIMRXWADDR": { + "hide_name": 0, + "bits": [ 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20933.19-20933.29" + } + }, + "MIMRXWDATA": { + "hide_name": 0, + "bits": [ 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20984.19-20984.29" + } + }, + "MIMRXWEN": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20866.12-20866.20" + } + }, + "MIMTXRADDR": { + "hide_name": 0, + "bits": [ 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20934.19-20934.29" + } + }, + "MIMTXRCE": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20867.12-20867.20" + } + }, + "MIMTXRDATA": { + "hide_name": 0, + "bits": [ 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21125.18-21125.28" + } + }, + "MIMTXREN": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20868.12-20868.20" + } + }, + "MIMTXWADDR": { + "hide_name": 0, + "bits": [ 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20935.19-20935.29" + } + }, + "MIMTXWDATA": { + "hide_name": 0, + "bits": [ 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20985.19-20985.29" + } + }, + "MIMTXWEN": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20869.12-20869.20" + } + }, + "PIPECLK": { + "hide_name": 0, + "bits": [ 992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21028.11-21028.18" + } + }, + "PIPERX0CHANISALIGNED": { + "hide_name": 0, + "bits": [ 993 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21029.11-21029.31" + } + }, + "PIPERX0CHARISK": { + "hide_name": 0, + "bits": [ 1322, 1323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21095.17-21095.31" + } + }, + "PIPERX0DATA": { + "hide_name": 0, + "bits": [ 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21086.18-21086.29" + } + }, + "PIPERX0ELECIDLE": { + "hide_name": 0, + "bits": [ 994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21030.11-21030.26" + } + }, + "PIPERX0PHYSTATUS": { + "hide_name": 0, + "bits": [ 995 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21031.11-21031.27" + } + }, + "PIPERX0POLARITY": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20870.12-20870.27" + } + }, + "PIPERX0STATUS": { + "hide_name": 0, + "bits": [ 1345, 1346, 1347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21106.17-21106.30" + } + }, + "PIPERX0VALID": { + "hide_name": 0, + "bits": [ 996 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21032.11-21032.23" + } + }, + "PIPERX1CHANISALIGNED": { + "hide_name": 0, + "bits": [ 997 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21033.11-21033.31" + } + }, + "PIPERX1CHARISK": { + "hide_name": 0, + "bits": [ 1324, 1325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21096.17-21096.31" + } + }, + "PIPERX1DATA": { + "hide_name": 0, + "bits": [ 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21087.18-21087.29" + } + }, + "PIPERX1ELECIDLE": { + "hide_name": 0, + "bits": [ 998 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21034.11-21034.26" + } + }, + "PIPERX1PHYSTATUS": { + "hide_name": 0, + "bits": [ 999 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21035.11-21035.27" + } + }, + "PIPERX1POLARITY": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20871.12-20871.27" + } + }, + "PIPERX1STATUS": { + "hide_name": 0, + "bits": [ 1348, 1349, 1350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21107.17-21107.30" + } + }, + "PIPERX1VALID": { + "hide_name": 0, + "bits": [ 1000 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21036.11-21036.23" + } + }, + "PIPERX2CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1001 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21037.11-21037.31" + } + }, + "PIPERX2CHARISK": { + "hide_name": 0, + "bits": [ 1326, 1327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21097.17-21097.31" + } + }, + "PIPERX2DATA": { + "hide_name": 0, + "bits": [ 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21088.18-21088.29" + } + }, + "PIPERX2ELECIDLE": { + "hide_name": 0, + "bits": [ 1002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21038.11-21038.26" + } + }, + "PIPERX2PHYSTATUS": { + "hide_name": 0, + "bits": [ 1003 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21039.11-21039.27" + } + }, + "PIPERX2POLARITY": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20872.12-20872.27" + } + }, + "PIPERX2STATUS": { + "hide_name": 0, + "bits": [ 1351, 1352, 1353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21108.17-21108.30" + } + }, + "PIPERX2VALID": { + "hide_name": 0, + "bits": [ 1004 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21040.11-21040.23" + } + }, + "PIPERX3CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1005 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21041.11-21041.31" + } + }, + "PIPERX3CHARISK": { + "hide_name": 0, + "bits": [ 1328, 1329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21098.17-21098.31" + } + }, + "PIPERX3DATA": { + "hide_name": 0, + "bits": [ 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21089.18-21089.29" + } + }, + "PIPERX3ELECIDLE": { + "hide_name": 0, + "bits": [ 1006 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21042.11-21042.26" + } + }, + "PIPERX3PHYSTATUS": { + "hide_name": 0, + "bits": [ 1007 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21043.11-21043.27" + } + }, + "PIPERX3POLARITY": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20873.12-20873.27" + } + }, + "PIPERX3STATUS": { + "hide_name": 0, + "bits": [ 1354, 1355, 1356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21109.17-21109.30" + } + }, + "PIPERX3VALID": { + "hide_name": 0, + "bits": [ 1008 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21044.11-21044.23" + } + }, + "PIPERX4CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1009 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21045.11-21045.31" + } + }, + "PIPERX4CHARISK": { + "hide_name": 0, + "bits": [ 1330, 1331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21099.17-21099.31" + } + }, + "PIPERX4DATA": { + "hide_name": 0, + "bits": [ 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21090.18-21090.29" + } + }, + "PIPERX4ELECIDLE": { + "hide_name": 0, + "bits": [ 1010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21046.11-21046.26" + } + }, + "PIPERX4PHYSTATUS": { + "hide_name": 0, + "bits": [ 1011 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21047.11-21047.27" + } + }, + "PIPERX4POLARITY": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20874.12-20874.27" + } + }, + "PIPERX4STATUS": { + "hide_name": 0, + "bits": [ 1357, 1358, 1359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21110.17-21110.30" + } + }, + "PIPERX4VALID": { + "hide_name": 0, + "bits": [ 1012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21048.11-21048.23" + } + }, + "PIPERX5CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21049.11-21049.31" + } + }, + "PIPERX5CHARISK": { + "hide_name": 0, + "bits": [ 1332, 1333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21100.17-21100.31" + } + }, + "PIPERX5DATA": { + "hide_name": 0, + "bits": [ 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21091.18-21091.29" + } + }, + "PIPERX5ELECIDLE": { + "hide_name": 0, + "bits": [ 1014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21050.11-21050.26" + } + }, + "PIPERX5PHYSTATUS": { + "hide_name": 0, + "bits": [ 1015 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21051.11-21051.27" + } + }, + "PIPERX5POLARITY": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20875.12-20875.27" + } + }, + "PIPERX5STATUS": { + "hide_name": 0, + "bits": [ 1360, 1361, 1362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21111.17-21111.30" + } + }, + "PIPERX5VALID": { + "hide_name": 0, + "bits": [ 1016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21052.11-21052.23" + } + }, + "PIPERX6CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1017 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21053.11-21053.31" + } + }, + "PIPERX6CHARISK": { + "hide_name": 0, + "bits": [ 1334, 1335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21101.17-21101.31" + } + }, + "PIPERX6DATA": { + "hide_name": 0, + "bits": [ 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21092.18-21092.29" + } + }, + "PIPERX6ELECIDLE": { + "hide_name": 0, + "bits": [ 1018 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21054.11-21054.26" + } + }, + "PIPERX6PHYSTATUS": { + "hide_name": 0, + "bits": [ 1019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21055.11-21055.27" + } + }, + "PIPERX6POLARITY": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20876.12-20876.27" + } + }, + "PIPERX6STATUS": { + "hide_name": 0, + "bits": [ 1363, 1364, 1365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21112.17-21112.30" + } + }, + "PIPERX6VALID": { + "hide_name": 0, + "bits": [ 1020 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21056.11-21056.23" + } + }, + "PIPERX7CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1021 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21057.11-21057.31" + } + }, + "PIPERX7CHARISK": { + "hide_name": 0, + "bits": [ 1336, 1337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21102.17-21102.31" + } + }, + "PIPERX7DATA": { + "hide_name": 0, + "bits": [ 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21093.18-21093.29" + } + }, + "PIPERX7ELECIDLE": { + "hide_name": 0, + "bits": [ 1022 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21058.11-21058.26" + } + }, + "PIPERX7PHYSTATUS": { + "hide_name": 0, + "bits": [ 1023 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21059.11-21059.27" + } + }, + "PIPERX7POLARITY": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20877.12-20877.27" + } + }, + "PIPERX7STATUS": { + "hide_name": 0, + "bits": [ 1366, 1367, 1368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21113.17-21113.30" + } + }, + "PIPERX7VALID": { + "hide_name": 0, + "bits": [ 1024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21060.11-21060.23" + } + }, + "PIPETX0CHARISK": { + "hide_name": 0, + "bits": [ 432, 433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20949.18-20949.32" + } + }, + "PIPETX0COMPLIANCE": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20878.12-20878.29" + } + }, + "PIPETX0DATA": { + "hide_name": 0, + "bits": [ 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20938.19-20938.30" + } + }, + "PIPETX0ELECIDLE": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20879.12-20879.27" + } + }, + "PIPETX0POWERDOWN": { + "hide_name": 0, + "bits": [ 434, 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20950.18-20950.34" + } + }, + "PIPETX1CHARISK": { + "hide_name": 0, + "bits": [ 436, 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20951.18-20951.32" + } + }, + "PIPETX1COMPLIANCE": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20880.12-20880.29" + } + }, + "PIPETX1DATA": { + "hide_name": 0, + "bits": [ 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20939.19-20939.30" + } + }, + "PIPETX1ELECIDLE": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20881.12-20881.27" + } + }, + "PIPETX1POWERDOWN": { + "hide_name": 0, + "bits": [ 438, 439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20952.18-20952.34" + } + }, + "PIPETX2CHARISK": { + "hide_name": 0, + "bits": [ 440, 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20953.18-20953.32" + } + }, + "PIPETX2COMPLIANCE": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20882.12-20882.29" + } + }, + "PIPETX2DATA": { + "hide_name": 0, + "bits": [ 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20940.19-20940.30" + } + }, + "PIPETX2ELECIDLE": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20883.12-20883.27" + } + }, + "PIPETX2POWERDOWN": { + "hide_name": 0, + "bits": [ 442, 443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20954.18-20954.34" + } + }, + "PIPETX3CHARISK": { + "hide_name": 0, + "bits": [ 444, 445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20955.18-20955.32" + } + }, + "PIPETX3COMPLIANCE": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20884.12-20884.29" + } + }, + "PIPETX3DATA": { + "hide_name": 0, + "bits": [ 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20941.19-20941.30" + } + }, + "PIPETX3ELECIDLE": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20885.12-20885.27" + } + }, + "PIPETX3POWERDOWN": { + "hide_name": 0, + "bits": [ 446, 447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20956.18-20956.34" + } + }, + "PIPETX4CHARISK": { + "hide_name": 0, + "bits": [ 448, 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20957.18-20957.32" + } + }, + "PIPETX4COMPLIANCE": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20886.12-20886.29" + } + }, + "PIPETX4DATA": { + "hide_name": 0, + "bits": [ 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20942.19-20942.30" + } + }, + "PIPETX4ELECIDLE": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20887.12-20887.27" + } + }, + "PIPETX4POWERDOWN": { + "hide_name": 0, + "bits": [ 450, 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20958.18-20958.34" + } + }, + "PIPETX5CHARISK": { + "hide_name": 0, + "bits": [ 452, 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20959.18-20959.32" + } + }, + "PIPETX5COMPLIANCE": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20888.12-20888.29" + } + }, + "PIPETX5DATA": { + "hide_name": 0, + "bits": [ 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20943.19-20943.30" + } + }, + "PIPETX5ELECIDLE": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20889.12-20889.27" + } + }, + "PIPETX5POWERDOWN": { + "hide_name": 0, + "bits": [ 454, 455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20960.18-20960.34" + } + }, + "PIPETX6CHARISK": { + "hide_name": 0, + "bits": [ 456, 457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20961.18-20961.32" + } + }, + "PIPETX6COMPLIANCE": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20890.12-20890.29" + } + }, + "PIPETX6DATA": { + "hide_name": 0, + "bits": [ 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20944.19-20944.30" + } + }, + "PIPETX6ELECIDLE": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20891.12-20891.27" + } + }, + "PIPETX6POWERDOWN": { + "hide_name": 0, + "bits": [ 458, 459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20962.18-20962.34" + } + }, + "PIPETX7CHARISK": { + "hide_name": 0, + "bits": [ 460, 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20963.18-20963.32" + } + }, + "PIPETX7COMPLIANCE": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20892.12-20892.29" + } + }, + "PIPETX7DATA": { + "hide_name": 0, + "bits": [ 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20945.19-20945.30" + } + }, + "PIPETX7ELECIDLE": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20893.12-20893.27" + } + }, + "PIPETX7POWERDOWN": { + "hide_name": 0, + "bits": [ 462, 463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20964.18-20964.34" + } + }, + "PIPETXDEEMPH": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20894.12-20894.24" + } + }, + "PIPETXMARGIN": { + "hide_name": 0, + "bits": [ 482, 483, 484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20972.18-20972.30" + } + }, + "PIPETXRATE": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20895.12-20895.22" + } + }, + "PIPETXRCVRDET": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20896.12-20896.25" + } + }, + "PIPETXRESET": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20897.12-20897.23" + } + }, + "PL2DIRECTEDLSTATE": { + "hide_name": 0, + "bits": [ 1496, 1497, 1498, 1499, 1500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21121.17-21121.34" + } + }, + "PL2LINKUPN": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20898.12-20898.22" + } + }, + "PL2RECEIVERERRN": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20899.12-20899.27" + } + }, + "PL2RECOVERYN": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20900.12-20900.24" + } + }, + "PL2RXELECIDLE": { + "hide_name": 0, + "bits": [ 128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20901.12-20901.25" + } + }, + "PL2SUSPENDOK": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20902.12-20902.24" + } + }, + "PLDBGMODE": { + "hide_name": 0, + "bits": [ 1369, 1370, 1371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21114.17-21114.26" + } + }, + "PLDBGVEC": { + "hide_name": 0, + "bits": [ 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20928.19-20928.27" + } + }, + "PLDIRECTEDLINKAUTON": { + "hide_name": 0, + "bits": [ 1025 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21061.11-21061.30" + } + }, + "PLDIRECTEDLINKCHANGE": { + "hide_name": 0, + "bits": [ 1338, 1339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21103.17-21103.37" + } + }, + "PLDIRECTEDLINKSPEED": { + "hide_name": 0, + "bits": [ 1026 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21062.11-21062.30" + } + }, + "PLDIRECTEDLINKWIDTH": { + "hide_name": 0, + "bits": [ 1340, 1341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21104.17-21104.36" + } + }, + "PLDOWNSTREAMDEEMPHSOURCE": { + "hide_name": 0, + "bits": [ 1027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21063.11-21063.35" + } + }, + "PLINITIALLINKWIDTH": { + "hide_name": 0, + "bits": [ 485, 486, 487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20973.18-20973.36" + } + }, + "PLLANEREVERSALMODE": { + "hide_name": 0, + "bits": [ 464, 465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20965.18-20965.36" + } + }, + "PLLINKGEN2CAP": { + "hide_name": 0, + "bits": [ 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20903.12-20903.25" + } + }, + "PLLINKPARTNERGEN2SUPPORTED": { + "hide_name": 0, + "bits": [ 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20904.12-20904.38" + } + }, + "PLLINKUPCFGCAP": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20905.12-20905.26" + } + }, + "PLLTSSMSTATE": { + "hide_name": 0, + "bits": [ 563, 564, 565, 566, 567, 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20979.18-20979.30" + } + }, + "PLPHYLNKUPN": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20906.12-20906.23" + } + }, + "PLRECEIVEDHOTRST": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20907.12-20907.28" + } + }, + "PLRSTN": { + "hide_name": 0, + "bits": [ 1028 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21064.11-21064.17" + } + }, + "PLRXPMSTATE": { + "hide_name": 0, + "bits": [ 466, 467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20966.18-20966.29" + } + }, + "PLSELLNKRATE": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20908.12-20908.24" + } + }, + "PLSELLNKWIDTH": { + "hide_name": 0, + "bits": [ 468, 469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20967.18-20967.31" + } + }, + "PLTRANSMITHOTRST": { + "hide_name": 0, + "bits": [ 1029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21065.11-21065.27" + } + }, + "PLTXPMSTATE": { + "hide_name": 0, + "bits": [ 488, 489, 490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20974.18-20974.29" + } + }, + "PLUPSTREAMPREFERDEEMPH": { + "hide_name": 0, + "bits": [ 1030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21066.11-21066.33" + } + }, + "RECEIVEDFUNCLVLRSTN": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20909.12-20909.31" + } + }, + "SYSRSTN": { + "hide_name": 0, + "bits": [ 1031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21067.11-21067.18" + } + }, + "TL2ASPMSUSPENDCREDITCHECKN": { + "hide_name": 0, + "bits": [ 1032 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21068.11-21068.37" + } + }, + "TL2ASPMSUSPENDCREDITCHECKOKN": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20910.12-20910.40" + } + }, + "TL2ASPMSUSPENDREQN": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20911.12-20911.30" + } + }, + "TL2PPMSUSPENDOKN": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20912.12-20912.28" + } + }, + "TL2PPMSUSPENDREQN": { + "hide_name": 0, + "bits": [ 1033 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21069.11-21069.28" + } + }, + "TLRSTN": { + "hide_name": 0, + "bits": [ 1034 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21070.11-21070.17" + } + }, + "TRNFCCPLD": { + "hide_name": 0, + "bits": [ 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20929.19-20929.28" + } + }, + "TRNFCCPLH": { + "hide_name": 0, + "bits": [ 933, 934, 935, 936, 937, 938, 939, 940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20990.18-20990.27" + } + }, + "TRNFCNPD": { + "hide_name": 0, + "bits": [ 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20930.19-20930.27" + } + }, + "TRNFCNPH": { + "hide_name": 0, + "bits": [ 941, 942, 943, 944, 945, 946, 947, 948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20991.18-20991.26" + } + }, + "TRNFCPD": { + "hide_name": 0, + "bits": [ 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20931.19-20931.26" + } + }, + "TRNFCPH": { + "hide_name": 0, + "bits": [ 949, 950, 951, 952, 953, 954, 955, 956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20992.18-20992.25" + } + }, + "TRNFCSEL": { + "hide_name": 0, + "bits": [ 1372, 1373, 1374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21115.17-21115.25" + } + }, + "TRNLNKUPN": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20913.12-20913.21" + } + }, + "TRNRBARHITN": { + "hide_name": 0, + "bits": [ 918, 919, 920, 921, 922, 923, 924 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20988.18-20988.29" + } + }, + "TRNRD": { + "hide_name": 0, + "bits": [ 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20983.19-20983.24" + } + }, + "TRNRDLLPDATA": { + "hide_name": 0, + "bits": [ 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20976.19-20976.31" + } + }, + "TRNRDLLPSRCRDYN": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20914.12-20914.27" + } + }, + "TRNRDSTRDYN": { + "hide_name": 0, + "bits": [ 1035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21071.11-21071.22" + } + }, + "TRNRECRCERRN": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20915.12-20915.24" + } + }, + "TRNREOFN": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20916.12-20916.20" + } + }, + "TRNRERRFWDN": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20917.12-20917.23" + } + }, + "TRNRNPOKN": { + "hide_name": 0, + "bits": [ 1036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21072.11-21072.20" + } + }, + "TRNRREMN": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20918.12-20918.20" + } + }, + "TRNRSOFN": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20919.12-20919.20" + } + }, + "TRNRSRCDSCN": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20920.12-20920.23" + } + }, + "TRNRSRCRDYN": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20921.12-20921.23" + } + }, + "TRNTBUFAV": { + "hide_name": 0, + "bits": [ 569, 570, 571, 572, 573, 574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20980.18-20980.27" + } + }, + "TRNTCFGGNTN": { + "hide_name": 0, + "bits": [ 1037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21073.11-21073.22" + } + }, + "TRNTCFGREQN": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20922.12-20922.23" + } + }, + "TRNTD": { + "hide_name": 0, + "bits": [ 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21123.18-21123.23" + } + }, + "TRNTDLLPDATA": { + "hide_name": 0, + "bits": [ 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21117.18-21117.30" + } + }, + "TRNTDLLPDSTRDYN": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20923.12-20923.27" + } + }, + "TRNTDLLPSRCRDYN": { + "hide_name": 0, + "bits": [ 1038 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21074.11-21074.26" + } + }, + "TRNTDSTRDYN": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20924.12-20924.23" + } + }, + "TRNTECRCGENN": { + "hide_name": 0, + "bits": [ 1039 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21075.11-21075.23" + } + }, + "TRNTEOFN": { + "hide_name": 0, + "bits": [ 1040 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21076.11-21076.19" + } + }, + "TRNTERRDROPN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20925.12-20925.24" + } + }, + "TRNTERRFWDN": { + "hide_name": 0, + "bits": [ 1041 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21077.11-21077.22" + } + }, + "TRNTREMN": { + "hide_name": 0, + "bits": [ 1042 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21078.11-21078.19" + } + }, + "TRNTSOFN": { + "hide_name": 0, + "bits": [ 1043 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21079.11-21079.19" + } + }, + "TRNTSRCDSCN": { + "hide_name": 0, + "bits": [ 1044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21080.11-21080.22" + } + }, + "TRNTSRCRDYN": { + "hide_name": 0, + "bits": [ 1045 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21081.11-21081.22" + } + }, + "TRNTSTRN": { + "hide_name": 0, + "bits": [ 1046 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21082.11-21082.19" + } + }, + "USERCLK": { + "hide_name": 0, + "bits": [ 1047 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21083.11-21083.18" + } + }, + "USERRSTN": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20926.12-20926.20" + } + } + } + }, + "PCIE_2_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21133.1-21826.10" + }, + "parameter_default_values": { + "AER_BASE_PTR": "000101000000", + "AER_CAP_ECRC_CHECK_CAPABLE": "FALSE", + "AER_CAP_ECRC_GEN_CAPABLE": "FALSE", + "AER_CAP_ID": "0000000000000001", + "AER_CAP_MULTIHEADER": "FALSE", + "AER_CAP_NEXTPTR": "000101111000", + "AER_CAP_ON": "FALSE", + "AER_CAP_OPTIONAL_ERR_SUPPORT": "000000000000000000000000", + "AER_CAP_PERMIT_ROOTERR_UPDATE": "TRUE", + "AER_CAP_VERSION": "0010", + "ALLOW_X8_GEN2": "FALSE", + "BAR0": "11111111111111111111111100000000", + "BAR1": "11111111111111110000000000000000", + "BAR2": "11111111111111110000000000001100", + "BAR3": "11111111111111111111111111111111", + "BAR4": "00000000000000000000000000000000", + "BAR5": "00000000000000000000000000000000", + "CAPABILITIES_PTR": "01000000", + "CARDBUS_CIS_POINTER": "00000000000000000000000000000000", + "CFG_ECRC_ERR_CPLSTAT": "00000000000000000000000000000000", + "CLASS_CODE": "000000000000000000000000", + "CMD_INTX_IMPLEMENTED": "TRUE", + "CPL_TIMEOUT_DISABLE_SUPPORTED": "FALSE", + "CPL_TIMEOUT_RANGES_SUPPORTED": "0000", + "CRM_MODULE_RSTS": "0000000", + "DEV_CAP2_ARI_FORWARDING_SUPPORTED": "FALSE", + "DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED": "FALSE", + "DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED": "FALSE", + "DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED": "FALSE", + "DEV_CAP2_CAS128_COMPLETER_SUPPORTED": "FALSE", + "DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED": "FALSE", + "DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED": "FALSE", + "DEV_CAP2_LTR_MECHANISM_SUPPORTED": "FALSE", + "DEV_CAP2_MAX_ENDEND_TLP_PREFIXES": "00", + "DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING": "FALSE", + "DEV_CAP2_TPH_COMPLETER_SUPPORTED": "00", + "DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE": "TRUE", + "DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE": "TRUE", + "DEV_CAP_ENDPOINT_L0S_LATENCY": "00000000000000000000000000000000", + "DEV_CAP_ENDPOINT_L1_LATENCY": "00000000000000000000000000000000", + "DEV_CAP_EXT_TAG_SUPPORTED": "TRUE", + "DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE": "FALSE", + "DEV_CAP_MAX_PAYLOAD_SUPPORTED": "00000000000000000000000000000010", + "DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT": "00000000000000000000000000000000", + "DEV_CAP_ROLE_BASED_ERROR": "TRUE", + "DEV_CAP_RSVD_14_12": "00000000000000000000000000000000", + "DEV_CAP_RSVD_17_16": "00000000000000000000000000000000", + "DEV_CAP_RSVD_31_29": "00000000000000000000000000000000", + "DEV_CONTROL_AUX_POWER_SUPPORTED": "FALSE", + "DEV_CONTROL_EXT_TAG_DEFAULT": "FALSE", + "DISABLE_ASPM_L1_TIMER": "FALSE", + "DISABLE_BAR_FILTERING": "FALSE", + "DISABLE_ERR_MSG": "FALSE", + "DISABLE_ID_CHECK": "FALSE", + "DISABLE_LANE_REVERSAL": "FALSE", + "DISABLE_LOCKED_FILTER": "FALSE", + "DISABLE_PPM_FILTER": "FALSE", + "DISABLE_RX_POISONED_RESP": "FALSE", + "DISABLE_RX_TC_FILTER": "FALSE", + "DISABLE_SCRAMBLING": "FALSE", + "DNSTREAM_LINK_NUM": "00000000", + "DSN_BASE_PTR": "000100000000", + "DSN_CAP_ID": "0000000000000011", + "DSN_CAP_NEXTPTR": "000100001100", + "DSN_CAP_ON": "TRUE", + "DSN_CAP_VERSION": "0001", + "ENABLE_MSG_ROUTE": "00000000000", + "ENABLE_RX_TD_ECRC_TRIM": "FALSE", + "ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED": "FALSE", + "ENTER_RVRY_EI_L0": "TRUE", + "EXIT_LOOPBACK_ON_EI": "TRUE", + "EXPANSION_ROM": "11111111111111111111000000000001", + "EXT_CFG_CAP_PTR": "111111", + "EXT_CFG_XP_CAP_PTR": "1111111111", + "HEADER_TYPE": "00000000", + "INFER_EI": "00000", + "INTERRUPT_PIN": "00000001", + "INTERRUPT_STAT_AUTO": "TRUE", + "IS_SWITCH": "FALSE", + "LAST_CONFIG_DWORD": "1111111111", + "LINK_CAP_ASPM_OPTIONALITY": "TRUE", + "LINK_CAP_ASPM_SUPPORT": "00000000000000000000000000000001", + "LINK_CAP_CLOCK_POWER_MANAGEMENT": "FALSE", + "LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP": "FALSE", + "LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "LINK_CAP_L0S_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "LINK_CAP_L0S_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP": "FALSE", + "LINK_CAP_MAX_LINK_SPEED": "0001", + "LINK_CAP_MAX_LINK_WIDTH": "001000", + "LINK_CAP_RSVD_23": "00000000000000000000000000000000", + "LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE": "FALSE", + "LINK_CONTROL_RCB": "00000000000000000000000000000000", + "LINK_CTRL2_DEEMPHASIS": "FALSE", + "LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE": "FALSE", + "LINK_CTRL2_TARGET_LINK_SPEED": "0010", + "LINK_STATUS_SLOT_CLOCK_CONFIG": "TRUE", + "LL_ACK_TIMEOUT": "000000000000000", + "LL_ACK_TIMEOUT_EN": "FALSE", + "LL_ACK_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LL_REPLAY_TIMEOUT": "000000000000000", + "LL_REPLAY_TIMEOUT_EN": "FALSE", + "LL_REPLAY_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LTSSM_MAX_LINK_WIDTH": "000001", + "MPS_FORCE": "FALSE", + "MSIX_BASE_PTR": "10011100", + "MSIX_CAP_ID": "00010001", + "MSIX_CAP_NEXTPTR": "00000000", + "MSIX_CAP_ON": "FALSE", + "MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "MSIX_CAP_TABLE_SIZE": "00000000000", + "MSI_BASE_PTR": "01001000", + "MSI_CAP_64_BIT_ADDR_CAPABLE": "TRUE", + "MSI_CAP_ID": "00000101", + "MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "MSI_CAP_MULTIMSG_EXTENSION": "00000000000000000000000000000000", + "MSI_CAP_NEXTPTR": "01100000", + "MSI_CAP_ON": "FALSE", + "MSI_CAP_PER_VECTOR_MASKING_CAPABLE": "TRUE", + "N_FTS_COMCLK_GEN1": "00000000000000000000000011111111", + "N_FTS_COMCLK_GEN2": "00000000000000000000000011111111", + "N_FTS_GEN1": "00000000000000000000000011111111", + "N_FTS_GEN2": "00000000000000000000000011111111", + "PCIE_BASE_PTR": "01100000", + "PCIE_CAP_CAPABILITY_ID": "00010000", + "PCIE_CAP_CAPABILITY_VERSION": "0010", + "PCIE_CAP_DEVICE_PORT_TYPE": "0000", + "PCIE_CAP_NEXTPTR": "10011100", + "PCIE_CAP_ON": "TRUE", + "PCIE_CAP_RSVD_15_14": "00000000000000000000000000000000", + "PCIE_CAP_SLOT_IMPLEMENTED": "FALSE", + "PCIE_REVISION": "00000000000000000000000000000010", + "PL_AUTO_CONFIG": "00000000000000000000000000000000", + "PL_FAST_TRAIN": "FALSE", + "PM_ASPML0S_TIMEOUT": "000000000000000", + "PM_ASPML0S_TIMEOUT_EN": "FALSE", + "PM_ASPML0S_TIMEOUT_FUNC": "00000000000000000000000000000000", + "PM_ASPM_FASTEXIT": "FALSE", + "PM_BASE_PTR": "01000000", + "PM_CAP_AUXCURRENT": "00000000000000000000000000000000", + "PM_CAP_D1SUPPORT": "TRUE", + "PM_CAP_D2SUPPORT": "TRUE", + "PM_CAP_DSI": "FALSE", + "PM_CAP_ID": "00000001", + "PM_CAP_NEXTPTR": "01001000", + "PM_CAP_ON": "TRUE", + "PM_CAP_PMESUPPORT": "01111", + "PM_CAP_PME_CLOCK": "FALSE", + "PM_CAP_RSVD_04": "00000000000000000000000000000000", + "PM_CAP_VERSION": "00000000000000000000000000000011", + "PM_CSR_B2B3": "FALSE", + "PM_CSR_BPCCEN": "FALSE", + "PM_CSR_NOSOFTRST": "TRUE", + "PM_DATA0": "00000001", + "PM_DATA1": "00000001", + "PM_DATA2": "00000001", + "PM_DATA3": "00000001", + "PM_DATA4": "00000001", + "PM_DATA5": "00000001", + "PM_DATA6": "00000001", + "PM_DATA7": "00000001", + "PM_DATA_SCALE0": "01", + "PM_DATA_SCALE1": "01", + "PM_DATA_SCALE2": "01", + "PM_DATA_SCALE3": "01", + "PM_DATA_SCALE4": "01", + "PM_DATA_SCALE5": "01", + "PM_DATA_SCALE6": "01", + "PM_DATA_SCALE7": "01", + "PM_MF": "FALSE", + "RBAR_BASE_PTR": "000101111000", + "RBAR_CAP_CONTROL_ENCODEDBAR0": "00000", + "RBAR_CAP_CONTROL_ENCODEDBAR1": "00000", + "RBAR_CAP_CONTROL_ENCODEDBAR2": "00000", + "RBAR_CAP_CONTROL_ENCODEDBAR3": "00000", + "RBAR_CAP_CONTROL_ENCODEDBAR4": "00000", + "RBAR_CAP_CONTROL_ENCODEDBAR5": "00000", + "RBAR_CAP_ID": "0000000000010101", + "RBAR_CAP_INDEX0": "000", + "RBAR_CAP_INDEX1": "000", + "RBAR_CAP_INDEX2": "000", + "RBAR_CAP_INDEX3": "000", + "RBAR_CAP_INDEX4": "000", + "RBAR_CAP_INDEX5": "000", + "RBAR_CAP_NEXTPTR": "000000000000", + "RBAR_CAP_ON": "FALSE", + "RBAR_CAP_SUP0": "00000000000000000000000000000000", + "RBAR_CAP_SUP1": "00000000000000000000000000000000", + "RBAR_CAP_SUP2": "00000000000000000000000000000000", + "RBAR_CAP_SUP3": "00000000000000000000000000000000", + "RBAR_CAP_SUP4": "00000000000000000000000000000000", + "RBAR_CAP_SUP5": "00000000000000000000000000000000", + "RBAR_CAP_VERSION": "0001", + "RBAR_NUM": "001", + "RECRC_CHK": "00000000000000000000000000000000", + "RECRC_CHK_TRIM": "FALSE", + "ROOT_CAP_CRS_SW_VISIBILITY": "FALSE", + "RP_AUTO_SPD": "01", + "RP_AUTO_SPD_LOOPCNT": "11111", + "SELECT_DLL_IF": "FALSE", + "SIM_VERSION": "1.0", + "SLOT_CAP_ATT_BUTTON_PRESENT": "FALSE", + "SLOT_CAP_ATT_INDICATOR_PRESENT": "FALSE", + "SLOT_CAP_ELEC_INTERLOCK_PRESENT": "FALSE", + "SLOT_CAP_HOTPLUG_CAPABLE": "FALSE", + "SLOT_CAP_HOTPLUG_SURPRISE": "FALSE", + "SLOT_CAP_MRL_SENSOR_PRESENT": "FALSE", + "SLOT_CAP_NO_CMD_COMPLETED_SUPPORT": "FALSE", + "SLOT_CAP_PHYSICAL_SLOT_NUM": "0000000000000", + "SLOT_CAP_POWER_CONTROLLER_PRESENT": "FALSE", + "SLOT_CAP_POWER_INDICATOR_PRESENT": "FALSE", + "SLOT_CAP_SLOT_POWER_LIMIT_SCALE": "00000000000000000000000000000000", + "SLOT_CAP_SLOT_POWER_LIMIT_VALUE": "00000000", + "SPARE_BIT0": "00000000000000000000000000000000", + "SPARE_BIT1": "00000000000000000000000000000000", + "SPARE_BIT2": "00000000000000000000000000000000", + "SPARE_BIT3": "00000000000000000000000000000000", + "SPARE_BIT4": "00000000000000000000000000000000", + "SPARE_BIT5": "00000000000000000000000000000000", + "SPARE_BIT6": "00000000000000000000000000000000", + "SPARE_BIT7": "00000000000000000000000000000000", + "SPARE_BIT8": "00000000000000000000000000000000", + "SPARE_BYTE0": "00000000", + "SPARE_BYTE1": "00000000", + "SPARE_BYTE2": "00000000", + "SPARE_BYTE3": "00000000", + "SPARE_WORD0": "00000000000000000000000000000000", + "SPARE_WORD1": "00000000000000000000000000000000", + "SPARE_WORD2": "00000000000000000000000000000000", + "SPARE_WORD3": "00000000000000000000000000000000", + "SSL_MESSAGE_AUTO": "FALSE", + "TECRC_EP_INV": "FALSE", + "TL_RBYPASS": "FALSE", + "TL_RX_RAM_RADDR_LATENCY": "00000000000000000000000000000000", + "TL_RX_RAM_RDATA_LATENCY": "00000000000000000000000000000010", + "TL_RX_RAM_WRITE_LATENCY": "00000000000000000000000000000000", + "TL_TFC_DISABLE": "FALSE", + "TL_TX_CHECKS_DISABLE": "FALSE", + "TL_TX_RAM_RADDR_LATENCY": "00000000000000000000000000000000", + "TL_TX_RAM_RDATA_LATENCY": "00000000000000000000000000000010", + "TL_TX_RAM_WRITE_LATENCY": "00000000000000000000000000000000", + "TRN_DW": "FALSE", + "TRN_NP_FC": "FALSE", + "UPCONFIG_CAPABLE": "TRUE", + "UPSTREAM_FACING": "TRUE", + "UR_ATOMIC": "TRUE", + "UR_CFG1": "TRUE", + "UR_INV_REQ": "TRUE", + "UR_PRS_RESPONSE": "TRUE", + "USER_CLK2_DIV2": "FALSE", + "USER_CLK_FREQ": "00000000000000000000000000000011", + "USE_RID_PINS": "FALSE", + "VC0_CPL_INFINITE": "TRUE", + "VC0_RX_RAM_LIMIT": "0001111111111", + "VC0_TOTAL_CREDITS_CD": "00000000000000000000000001111111", + "VC0_TOTAL_CREDITS_CH": "00000000000000000000000000011111", + "VC0_TOTAL_CREDITS_NPD": "00000000000000000000000000011000", + "VC0_TOTAL_CREDITS_NPH": "00000000000000000000000000001100", + "VC0_TOTAL_CREDITS_PD": "00000000000000000000000100100000", + "VC0_TOTAL_CREDITS_PH": "00000000000000000000000000100000", + "VC0_TX_LASTPACKET": "00000000000000000000000000011111", + "VC_BASE_PTR": "000100001100", + "VC_CAP_ID": "0000000000000010", + "VC_CAP_NEXTPTR": "000000000000", + "VC_CAP_ON": "FALSE", + "VC_CAP_REJECT_SNOOP_TRANSACTIONS": "FALSE", + "VC_CAP_VERSION": "0001", + "VSEC_BASE_PTR": "000100101000", + "VSEC_CAP_HDR_ID": "0001001000110100", + "VSEC_CAP_HDR_LENGTH": "000000011000", + "VSEC_CAP_HDR_REVISION": "0001", + "VSEC_CAP_ID": "0000000000001011", + "VSEC_CAP_IS_LINK_VISIBLE": "TRUE", + "VSEC_CAP_NEXTPTR": "000101000000", + "VSEC_CAP_ON": "FALSE", + "VSEC_CAP_VERSION": "0001" + }, + "ports": { + "CFGAERECRCCHECKEN": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGAERECRCGENEN": { + "direction": "output", + "bits": [ 3 ] + }, + "CFGAERROOTERRCORRERRRECEIVED": { + "direction": "output", + "bits": [ 4 ] + }, + "CFGAERROOTERRCORRERRREPORTINGEN": { + "direction": "output", + "bits": [ 5 ] + }, + "CFGAERROOTERRFATALERRRECEIVED": { + "direction": "output", + "bits": [ 6 ] + }, + "CFGAERROOTERRFATALERRREPORTINGEN": { + "direction": "output", + "bits": [ 7 ] + }, + "CFGAERROOTERRNONFATALERRRECEIVED": { + "direction": "output", + "bits": [ 8 ] + }, + "CFGAERROOTERRNONFATALERRREPORTINGEN": { + "direction": "output", + "bits": [ 9 ] + }, + "CFGBRIDGESERREN": { + "direction": "output", + "bits": [ 10 ] + }, + "CFGCOMMANDBUSMASTERENABLE": { + "direction": "output", + "bits": [ 11 ] + }, + "CFGCOMMANDINTERRUPTDISABLE": { + "direction": "output", + "bits": [ 12 ] + }, + "CFGCOMMANDIOENABLE": { + "direction": "output", + "bits": [ 13 ] + }, + "CFGCOMMANDMEMENABLE": { + "direction": "output", + "bits": [ 14 ] + }, + "CFGCOMMANDSERREN": { + "direction": "output", + "bits": [ 15 ] + }, + "CFGDEVCONTROL2ARIFORWARDEN": { + "direction": "output", + "bits": [ 16 ] + }, + "CFGDEVCONTROL2ATOMICEGRESSBLOCK": { + "direction": "output", + "bits": [ 17 ] + }, + "CFGDEVCONTROL2ATOMICREQUESTEREN": { + "direction": "output", + "bits": [ 18 ] + }, + "CFGDEVCONTROL2CPLTIMEOUTDIS": { + "direction": "output", + "bits": [ 19 ] + }, + "CFGDEVCONTROL2IDOCPLEN": { + "direction": "output", + "bits": [ 20 ] + }, + "CFGDEVCONTROL2IDOREQEN": { + "direction": "output", + "bits": [ 21 ] + }, + "CFGDEVCONTROL2LTREN": { + "direction": "output", + "bits": [ 22 ] + }, + "CFGDEVCONTROL2TLPPREFIXBLOCK": { + "direction": "output", + "bits": [ 23 ] + }, + "CFGDEVCONTROLAUXPOWEREN": { + "direction": "output", + "bits": [ 24 ] + }, + "CFGDEVCONTROLCORRERRREPORTINGEN": { + "direction": "output", + "bits": [ 25 ] + }, + "CFGDEVCONTROLENABLERO": { + "direction": "output", + "bits": [ 26 ] + }, + "CFGDEVCONTROLEXTTAGEN": { + "direction": "output", + "bits": [ 27 ] + }, + "CFGDEVCONTROLFATALERRREPORTINGEN": { + "direction": "output", + "bits": [ 28 ] + }, + "CFGDEVCONTROLNONFATALREPORTINGEN": { + "direction": "output", + "bits": [ 29 ] + }, + "CFGDEVCONTROLNOSNOOPEN": { + "direction": "output", + "bits": [ 30 ] + }, + "CFGDEVCONTROLPHANTOMEN": { + "direction": "output", + "bits": [ 31 ] + }, + "CFGDEVCONTROLURERRREPORTINGEN": { + "direction": "output", + "bits": [ 32 ] + }, + "CFGDEVSTATUSCORRERRDETECTED": { + "direction": "output", + "bits": [ 33 ] + }, + "CFGDEVSTATUSFATALERRDETECTED": { + "direction": "output", + "bits": [ 34 ] + }, + "CFGDEVSTATUSNONFATALERRDETECTED": { + "direction": "output", + "bits": [ 35 ] + }, + "CFGDEVSTATUSURDETECTED": { + "direction": "output", + "bits": [ 36 ] + }, + "CFGERRAERHEADERLOGSETN": { + "direction": "output", + "bits": [ 37 ] + }, + "CFGERRCPLRDYN": { + "direction": "output", + "bits": [ 38 ] + }, + "CFGINTERRUPTMSIENABLE": { + "direction": "output", + "bits": [ 39 ] + }, + "CFGINTERRUPTMSIXENABLE": { + "direction": "output", + "bits": [ 40 ] + }, + "CFGINTERRUPTMSIXFM": { + "direction": "output", + "bits": [ 41 ] + }, + "CFGINTERRUPTRDYN": { + "direction": "output", + "bits": [ 42 ] + }, + "CFGLINKCONTROLAUTOBANDWIDTHINTEN": { + "direction": "output", + "bits": [ 43 ] + }, + "CFGLINKCONTROLBANDWIDTHINTEN": { + "direction": "output", + "bits": [ 44 ] + }, + "CFGLINKCONTROLCLOCKPMEN": { + "direction": "output", + "bits": [ 45 ] + }, + "CFGLINKCONTROLCOMMONCLOCK": { + "direction": "output", + "bits": [ 46 ] + }, + "CFGLINKCONTROLEXTENDEDSYNC": { + "direction": "output", + "bits": [ 47 ] + }, + "CFGLINKCONTROLHWAUTOWIDTHDIS": { + "direction": "output", + "bits": [ 48 ] + }, + "CFGLINKCONTROLLINKDISABLE": { + "direction": "output", + "bits": [ 49 ] + }, + "CFGLINKCONTROLRCB": { + "direction": "output", + "bits": [ 50 ] + }, + "CFGLINKCONTROLRETRAINLINK": { + "direction": "output", + "bits": [ 51 ] + }, + "CFGLINKSTATUSAUTOBANDWIDTHSTATUS": { + "direction": "output", + "bits": [ 52 ] + }, + "CFGLINKSTATUSBANDWIDTHSTATUS": { + "direction": "output", + "bits": [ 53 ] + }, + "CFGLINKSTATUSDLLACTIVE": { + "direction": "output", + "bits": [ 54 ] + }, + "CFGLINKSTATUSLINKTRAINING": { + "direction": "output", + "bits": [ 55 ] + }, + "CFGMGMTRDWRDONEN": { + "direction": "output", + "bits": [ 56 ] + }, + "CFGMSGRECEIVED": { + "direction": "output", + "bits": [ 57 ] + }, + "CFGMSGRECEIVEDASSERTINTA": { + "direction": "output", + "bits": [ 58 ] + }, + "CFGMSGRECEIVEDASSERTINTB": { + "direction": "output", + "bits": [ 59 ] + }, + "CFGMSGRECEIVEDASSERTINTC": { + "direction": "output", + "bits": [ 60 ] + }, + "CFGMSGRECEIVEDASSERTINTD": { + "direction": "output", + "bits": [ 61 ] + }, + "CFGMSGRECEIVEDDEASSERTINTA": { + "direction": "output", + "bits": [ 62 ] + }, + "CFGMSGRECEIVEDDEASSERTINTB": { + "direction": "output", + "bits": [ 63 ] + }, + "CFGMSGRECEIVEDDEASSERTINTC": { + "direction": "output", + "bits": [ 64 ] + }, + "CFGMSGRECEIVEDDEASSERTINTD": { + "direction": "output", + "bits": [ 65 ] + }, + "CFGMSGRECEIVEDERRCOR": { + "direction": "output", + "bits": [ 66 ] + }, + "CFGMSGRECEIVEDERRFATAL": { + "direction": "output", + "bits": [ 67 ] + }, + "CFGMSGRECEIVEDERRNONFATAL": { + "direction": "output", + "bits": [ 68 ] + }, + "CFGMSGRECEIVEDPMASNAK": { + "direction": "output", + "bits": [ 69 ] + }, + "CFGMSGRECEIVEDPMETO": { + "direction": "output", + "bits": [ 70 ] + }, + "CFGMSGRECEIVEDPMETOACK": { + "direction": "output", + "bits": [ 71 ] + }, + "CFGMSGRECEIVEDPMPME": { + "direction": "output", + "bits": [ 72 ] + }, + "CFGMSGRECEIVEDSETSLOTPOWERLIMIT": { + "direction": "output", + "bits": [ 73 ] + }, + "CFGMSGRECEIVEDUNLOCK": { + "direction": "output", + "bits": [ 74 ] + }, + "CFGPMCSRPMEEN": { + "direction": "output", + "bits": [ 75 ] + }, + "CFGPMCSRPMESTATUS": { + "direction": "output", + "bits": [ 76 ] + }, + "CFGPMRCVASREQL1N": { + "direction": "output", + "bits": [ 77 ] + }, + "CFGPMRCVENTERL1N": { + "direction": "output", + "bits": [ 78 ] + }, + "CFGPMRCVENTERL23N": { + "direction": "output", + "bits": [ 79 ] + }, + "CFGPMRCVREQACKN": { + "direction": "output", + "bits": [ 80 ] + }, + "CFGROOTCONTROLPMEINTEN": { + "direction": "output", + "bits": [ 81 ] + }, + "CFGROOTCONTROLSYSERRCORRERREN": { + "direction": "output", + "bits": [ 82 ] + }, + "CFGROOTCONTROLSYSERRFATALERREN": { + "direction": "output", + "bits": [ 83 ] + }, + "CFGROOTCONTROLSYSERRNONFATALERREN": { + "direction": "output", + "bits": [ 84 ] + }, + "CFGSLOTCONTROLELECTROMECHILCTLPULSE": { + "direction": "output", + "bits": [ 85 ] + }, + "CFGTRANSACTION": { + "direction": "output", + "bits": [ 86 ] + }, + "CFGTRANSACTIONTYPE": { + "direction": "output", + "bits": [ 87 ] + }, + "DBGSCLRA": { + "direction": "output", + "bits": [ 88 ] + }, + "DBGSCLRB": { + "direction": "output", + "bits": [ 89 ] + }, + "DBGSCLRC": { + "direction": "output", + "bits": [ 90 ] + }, + "DBGSCLRD": { + "direction": "output", + "bits": [ 91 ] + }, + "DBGSCLRE": { + "direction": "output", + "bits": [ 92 ] + }, + "DBGSCLRF": { + "direction": "output", + "bits": [ 93 ] + }, + "DBGSCLRG": { + "direction": "output", + "bits": [ 94 ] + }, + "DBGSCLRH": { + "direction": "output", + "bits": [ 95 ] + }, + "DBGSCLRI": { + "direction": "output", + "bits": [ 96 ] + }, + "DBGSCLRJ": { + "direction": "output", + "bits": [ 97 ] + }, + "DBGSCLRK": { + "direction": "output", + "bits": [ 98 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 99 ] + }, + "LL2BADDLLPERR": { + "direction": "output", + "bits": [ 100 ] + }, + "LL2BADTLPERR": { + "direction": "output", + "bits": [ 101 ] + }, + "LL2PROTOCOLERR": { + "direction": "output", + "bits": [ 102 ] + }, + "LL2RECEIVERERR": { + "direction": "output", + "bits": [ 103 ] + }, + "LL2REPLAYROERR": { + "direction": "output", + "bits": [ 104 ] + }, + "LL2REPLAYTOERR": { + "direction": "output", + "bits": [ 105 ] + }, + "LL2SUSPENDOK": { + "direction": "output", + "bits": [ 106 ] + }, + "LL2TFCINIT1SEQ": { + "direction": "output", + "bits": [ 107 ] + }, + "LL2TFCINIT2SEQ": { + "direction": "output", + "bits": [ 108 ] + }, + "LL2TXIDLE": { + "direction": "output", + "bits": [ 109 ] + }, + "LNKCLKEN": { + "direction": "output", + "bits": [ 110 ] + }, + "MIMRXREN": { + "direction": "output", + "bits": [ 111 ] + }, + "MIMRXWEN": { + "direction": "output", + "bits": [ 112 ] + }, + "MIMTXREN": { + "direction": "output", + "bits": [ 113 ] + }, + "MIMTXWEN": { + "direction": "output", + "bits": [ 114 ] + }, + "PIPERX0POLARITY": { + "direction": "output", + "bits": [ 115 ] + }, + "PIPERX1POLARITY": { + "direction": "output", + "bits": [ 116 ] + }, + "PIPERX2POLARITY": { + "direction": "output", + "bits": [ 117 ] + }, + "PIPERX3POLARITY": { + "direction": "output", + "bits": [ 118 ] + }, + "PIPERX4POLARITY": { + "direction": "output", + "bits": [ 119 ] + }, + "PIPERX5POLARITY": { + "direction": "output", + "bits": [ 120 ] + }, + "PIPERX6POLARITY": { + "direction": "output", + "bits": [ 121 ] + }, + "PIPERX7POLARITY": { + "direction": "output", + "bits": [ 122 ] + }, + "PIPETX0COMPLIANCE": { + "direction": "output", + "bits": [ 123 ] + }, + "PIPETX0ELECIDLE": { + "direction": "output", + "bits": [ 124 ] + }, + "PIPETX1COMPLIANCE": { + "direction": "output", + "bits": [ 125 ] + }, + "PIPETX1ELECIDLE": { + "direction": "output", + "bits": [ 126 ] + }, + "PIPETX2COMPLIANCE": { + "direction": "output", + "bits": [ 127 ] + }, + "PIPETX2ELECIDLE": { + "direction": "output", + "bits": [ 128 ] + }, + "PIPETX3COMPLIANCE": { + "direction": "output", + "bits": [ 129 ] + }, + "PIPETX3ELECIDLE": { + "direction": "output", + "bits": [ 130 ] + }, + "PIPETX4COMPLIANCE": { + "direction": "output", + "bits": [ 131 ] + }, + "PIPETX4ELECIDLE": { + "direction": "output", + "bits": [ 132 ] + }, + "PIPETX5COMPLIANCE": { + "direction": "output", + "bits": [ 133 ] + }, + "PIPETX5ELECIDLE": { + "direction": "output", + "bits": [ 134 ] + }, + "PIPETX6COMPLIANCE": { + "direction": "output", + "bits": [ 135 ] + }, + "PIPETX6ELECIDLE": { + "direction": "output", + "bits": [ 136 ] + }, + "PIPETX7COMPLIANCE": { + "direction": "output", + "bits": [ 137 ] + }, + "PIPETX7ELECIDLE": { + "direction": "output", + "bits": [ 138 ] + }, + "PIPETXDEEMPH": { + "direction": "output", + "bits": [ 139 ] + }, + "PIPETXRATE": { + "direction": "output", + "bits": [ 140 ] + }, + "PIPETXRCVRDET": { + "direction": "output", + "bits": [ 141 ] + }, + "PIPETXRESET": { + "direction": "output", + "bits": [ 142 ] + }, + "PL2L0REQ": { + "direction": "output", + "bits": [ 143 ] + }, + "PL2LINKUP": { + "direction": "output", + "bits": [ 144 ] + }, + "PL2RECEIVERERR": { + "direction": "output", + "bits": [ 145 ] + }, + "PL2RECOVERY": { + "direction": "output", + "bits": [ 146 ] + }, + "PL2RXELECIDLE": { + "direction": "output", + "bits": [ 147 ] + }, + "PL2SUSPENDOK": { + "direction": "output", + "bits": [ 148 ] + }, + "PLDIRECTEDCHANGEDONE": { + "direction": "output", + "bits": [ 149 ] + }, + "PLLINKGEN2CAP": { + "direction": "output", + "bits": [ 150 ] + }, + "PLLINKPARTNERGEN2SUPPORTED": { + "direction": "output", + "bits": [ 151 ] + }, + "PLLINKUPCFGCAP": { + "direction": "output", + "bits": [ 152 ] + }, + "PLPHYLNKUPN": { + "direction": "output", + "bits": [ 153 ] + }, + "PLRECEIVEDHOTRST": { + "direction": "output", + "bits": [ 154 ] + }, + "PLSELLNKRATE": { + "direction": "output", + "bits": [ 155 ] + }, + "RECEIVEDFUNCLVLRSTN": { + "direction": "output", + "bits": [ 156 ] + }, + "TL2ASPMSUSPENDCREDITCHECKOK": { + "direction": "output", + "bits": [ 157 ] + }, + "TL2ASPMSUSPENDREQ": { + "direction": "output", + "bits": [ 158 ] + }, + "TL2ERRFCPE": { + "direction": "output", + "bits": [ 159 ] + }, + "TL2ERRMALFORMED": { + "direction": "output", + "bits": [ 160 ] + }, + "TL2ERRRXOVERFLOW": { + "direction": "output", + "bits": [ 161 ] + }, + "TL2PPMSUSPENDOK": { + "direction": "output", + "bits": [ 162 ] + }, + "TRNLNKUP": { + "direction": "output", + "bits": [ 163 ] + }, + "TRNRECRCERR": { + "direction": "output", + "bits": [ 164 ] + }, + "TRNREOF": { + "direction": "output", + "bits": [ 165 ] + }, + "TRNRERRFWD": { + "direction": "output", + "bits": [ 166 ] + }, + "TRNRSOF": { + "direction": "output", + "bits": [ 167 ] + }, + "TRNRSRCDSC": { + "direction": "output", + "bits": [ 168 ] + }, + "TRNRSRCRDY": { + "direction": "output", + "bits": [ 169 ] + }, + "TRNTCFGREQ": { + "direction": "output", + "bits": [ 170 ] + }, + "TRNTDLLPDSTRDY": { + "direction": "output", + "bits": [ 171 ] + }, + "TRNTERRDROP": { + "direction": "output", + "bits": [ 172 ] + }, + "USERRSTN": { + "direction": "output", + "bits": [ 173 ] + }, + "DBGVECC": { + "direction": "output", + "bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ] + }, + "PLDBGVEC": { + "direction": "output", + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197 ] + }, + "TRNFCCPLD": { + "direction": "output", + "bits": [ 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209 ] + }, + "TRNFCNPD": { + "direction": "output", + "bits": [ 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221 ] + }, + "TRNFCPD": { + "direction": "output", + "bits": [ 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233 ] + }, + "TRNRD": { + "direction": "output", + "bits": [ 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361 ] + }, + "MIMRXRADDR": { + "direction": "output", + "bits": [ 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374 ] + }, + "MIMRXWADDR": { + "direction": "output", + "bits": [ 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387 ] + }, + "MIMTXRADDR": { + "direction": "output", + "bits": [ 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400 ] + }, + "MIMTXWADDR": { + "direction": "output", + "bits": [ 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413 ] + }, + "CFGMSGDATA": { + "direction": "output", + "bits": [ 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445 ] + }, + "PIPETX0DATA": { + "direction": "output", + "bits": [ 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461 ] + }, + "PIPETX1DATA": { + "direction": "output", + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477 ] + }, + "PIPETX2DATA": { + "direction": "output", + "bits": [ 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493 ] + }, + "PIPETX3DATA": { + "direction": "output", + "bits": [ 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509 ] + }, + "PIPETX4DATA": { + "direction": "output", + "bits": [ 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525 ] + }, + "PIPETX5DATA": { + "direction": "output", + "bits": [ 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541 ] + }, + "PIPETX6DATA": { + "direction": "output", + "bits": [ 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557 ] + }, + "PIPETX7DATA": { + "direction": "output", + "bits": [ 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573 ] + }, + "CFGLINKCONTROLASPMCONTROL": { + "direction": "output", + "bits": [ 574, 575 ] + }, + "CFGLINKSTATUSCURRENTSPEED": { + "direction": "output", + "bits": [ 576, 577 ] + }, + "CFGPMCSRPOWERSTATE": { + "direction": "output", + "bits": [ 578, 579 ] + }, + "PIPETX0CHARISK": { + "direction": "output", + "bits": [ 580, 581 ] + }, + "PIPETX0POWERDOWN": { + "direction": "output", + "bits": [ 582, 583 ] + }, + "PIPETX1CHARISK": { + "direction": "output", + "bits": [ 584, 585 ] + }, + "PIPETX1POWERDOWN": { + "direction": "output", + "bits": [ 586, 587 ] + }, + "PIPETX2CHARISK": { + "direction": "output", + "bits": [ 588, 589 ] + }, + "PIPETX2POWERDOWN": { + "direction": "output", + "bits": [ 590, 591 ] + }, + "PIPETX3CHARISK": { + "direction": "output", + "bits": [ 592, 593 ] + }, + "PIPETX3POWERDOWN": { + "direction": "output", + "bits": [ 594, 595 ] + }, + "PIPETX4CHARISK": { + "direction": "output", + "bits": [ 596, 597 ] + }, + "PIPETX4POWERDOWN": { + "direction": "output", + "bits": [ 598, 599 ] + }, + "PIPETX5CHARISK": { + "direction": "output", + "bits": [ 600, 601 ] + }, + "PIPETX5POWERDOWN": { + "direction": "output", + "bits": [ 602, 603 ] + }, + "PIPETX6CHARISK": { + "direction": "output", + "bits": [ 604, 605 ] + }, + "PIPETX6POWERDOWN": { + "direction": "output", + "bits": [ 606, 607 ] + }, + "PIPETX7CHARISK": { + "direction": "output", + "bits": [ 608, 609 ] + }, + "PIPETX7POWERDOWN": { + "direction": "output", + "bits": [ 610, 611 ] + }, + "PL2RXPMSTATE": { + "direction": "output", + "bits": [ 612, 613 ] + }, + "PLLANEREVERSALMODE": { + "direction": "output", + "bits": [ 614, 615 ] + }, + "PLRXPMSTATE": { + "direction": "output", + "bits": [ 616, 617 ] + }, + "PLSELLNKWIDTH": { + "direction": "output", + "bits": [ 618, 619 ] + }, + "TRNRDLLPSRCRDY": { + "direction": "output", + "bits": [ 620, 621 ] + }, + "TRNRREM": { + "direction": "output", + "bits": [ 622, 623 ] + }, + "CFGDEVCONTROLMAXPAYLOAD": { + "direction": "output", + "bits": [ 624, 625, 626 ] + }, + "CFGDEVCONTROLMAXREADREQ": { + "direction": "output", + "bits": [ 627, 628, 629 ] + }, + "CFGINTERRUPTMMENABLE": { + "direction": "output", + "bits": [ 630, 631, 632 ] + }, + "CFGPCIELINKSTATE": { + "direction": "output", + "bits": [ 633, 634, 635 ] + }, + "PIPETXMARGIN": { + "direction": "output", + "bits": [ 636, 637, 638 ] + }, + "PLINITIALLINKWIDTH": { + "direction": "output", + "bits": [ 639, 640, 641 ] + }, + "PLTXPMSTATE": { + "direction": "output", + "bits": [ 642, 643, 644 ] + }, + "CFGMGMTDO": { + "direction": "output", + "bits": [ 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676 ] + }, + "CFGDEVCONTROL2CPLTIMEOUTVAL": { + "direction": "output", + "bits": [ 677, 678, 679, 680 ] + }, + "CFGLINKSTATUSNEGOTIATEDWIDTH": { + "direction": "output", + "bits": [ 681, 682, 683, 684 ] + }, + "TRNTDSTRDY": { + "direction": "output", + "bits": [ 685, 686, 687, 688 ] + }, + "LL2LINKSTATUS": { + "direction": "output", + "bits": [ 689, 690, 691, 692, 693 ] + }, + "PLLTSSMSTATE": { + "direction": "output", + "bits": [ 694, 695, 696, 697, 698, 699 ] + }, + "TRNTBUFAV": { + "direction": "output", + "bits": [ 700, 701, 702, 703, 704, 705 ] + }, + "DBGVECA": { + "direction": "output", + "bits": [ 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769 ] + }, + "DBGVECB": { + "direction": "output", + "bits": [ 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833 ] + }, + "TL2ERRHDR": { + "direction": "output", + "bits": [ 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897 ] + }, + "TRNRDLLPDATA": { + "direction": "output", + "bits": [ 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961 ] + }, + "MIMRXWDATA": { + "direction": "output", + "bits": [ 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029 ] + }, + "MIMTXWDATA": { + "direction": "output", + "bits": [ 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098 ] + }, + "CFGTRANSACTIONADDR": { + "direction": "output", + "bits": [ 1099, 1100, 1101, 1102, 1103, 1104, 1105 ] + }, + "CFGVCTCVCMAP": { + "direction": "output", + "bits": [ 1106, 1107, 1108, 1109, 1110, 1111, 1112 ] + }, + "CFGINTERRUPTDO": { + "direction": "output", + "bits": [ 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120 ] + }, + "TRNFCCPLH": { + "direction": "output", + "bits": [ 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128 ] + }, + "TRNFCNPH": { + "direction": "output", + "bits": [ 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136 ] + }, + "TRNFCPH": { + "direction": "output", + "bits": [ 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144 ] + }, + "TRNRBARHIT": { + "direction": "output", + "bits": [ 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152 ] + }, + "CFGERRACSN": { + "direction": "input", + "bits": [ 1153 ] + }, + "CFGERRATOMICEGRESSBLOCKEDN": { + "direction": "input", + "bits": [ 1154 ] + }, + "CFGERRCORN": { + "direction": "input", + "bits": [ 1155 ] + }, + "CFGERRCPLABORTN": { + "direction": "input", + "bits": [ 1156 ] + }, + "CFGERRCPLTIMEOUTN": { + "direction": "input", + "bits": [ 1157 ] + }, + "CFGERRCPLUNEXPECTN": { + "direction": "input", + "bits": [ 1158 ] + }, + "CFGERRECRCN": { + "direction": "input", + "bits": [ 1159 ] + }, + "CFGERRINTERNALCORN": { + "direction": "input", + "bits": [ 1160 ] + }, + "CFGERRINTERNALUNCORN": { + "direction": "input", + "bits": [ 1161 ] + }, + "CFGERRLOCKEDN": { + "direction": "input", + "bits": [ 1162 ] + }, + "CFGERRMALFORMEDN": { + "direction": "input", + "bits": [ 1163 ] + }, + "CFGERRMCBLOCKEDN": { + "direction": "input", + "bits": [ 1164 ] + }, + "CFGERRNORECOVERYN": { + "direction": "input", + "bits": [ 1165 ] + }, + "CFGERRPOISONEDN": { + "direction": "input", + "bits": [ 1166 ] + }, + "CFGERRPOSTEDN": { + "direction": "input", + "bits": [ 1167 ] + }, + "CFGERRURN": { + "direction": "input", + "bits": [ 1168 ] + }, + "CFGFORCECOMMONCLOCKOFF": { + "direction": "input", + "bits": [ 1169 ] + }, + "CFGFORCEEXTENDEDSYNCON": { + "direction": "input", + "bits": [ 1170 ] + }, + "CFGINTERRUPTASSERTN": { + "direction": "input", + "bits": [ 1171 ] + }, + "CFGINTERRUPTN": { + "direction": "input", + "bits": [ 1172 ] + }, + "CFGINTERRUPTSTATN": { + "direction": "input", + "bits": [ 1173 ] + }, + "CFGMGMTRDENN": { + "direction": "input", + "bits": [ 1174 ] + }, + "CFGMGMTWRENN": { + "direction": "input", + "bits": [ 1175 ] + }, + "CFGMGMTWRREADONLYN": { + "direction": "input", + "bits": [ 1176 ] + }, + "CFGMGMTWRRW1CASRWN": { + "direction": "input", + "bits": [ 1177 ] + }, + "CFGPMFORCESTATEENN": { + "direction": "input", + "bits": [ 1178 ] + }, + "CFGPMHALTASPML0SN": { + "direction": "input", + "bits": [ 1179 ] + }, + "CFGPMHALTASPML1N": { + "direction": "input", + "bits": [ 1180 ] + }, + "CFGPMSENDPMETON": { + "direction": "input", + "bits": [ 1181 ] + }, + "CFGPMTURNOFFOKN": { + "direction": "input", + "bits": [ 1182 ] + }, + "CFGPMWAKEN": { + "direction": "input", + "bits": [ 1183 ] + }, + "CFGTRNPENDINGN": { + "direction": "input", + "bits": [ 1184 ] + }, + "CMRSTN": { + "direction": "input", + "bits": [ 1185 ] + }, + "CMSTICKYRSTN": { + "direction": "input", + "bits": [ 1186 ] + }, + "DBGSUBMODE": { + "direction": "input", + "bits": [ 1187 ] + }, + "DLRSTN": { + "direction": "input", + "bits": [ 1188 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 1189 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 1190 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 1191 ] + }, + "FUNCLVLRSTN": { + "direction": "input", + "bits": [ 1192 ] + }, + "LL2SENDASREQL1": { + "direction": "input", + "bits": [ 1193 ] + }, + "LL2SENDENTERL1": { + "direction": "input", + "bits": [ 1194 ] + }, + "LL2SENDENTERL23": { + "direction": "input", + "bits": [ 1195 ] + }, + "LL2SENDPMACK": { + "direction": "input", + "bits": [ 1196 ] + }, + "LL2SUSPENDNOW": { + "direction": "input", + "bits": [ 1197 ] + }, + "LL2TLPRCV": { + "direction": "input", + "bits": [ 1198 ] + }, + "PIPECLK": { + "direction": "input", + "bits": [ 1199 ] + }, + "PIPERX0CHANISALIGNED": { + "direction": "input", + "bits": [ 1200 ] + }, + "PIPERX0ELECIDLE": { + "direction": "input", + "bits": [ 1201 ] + }, + "PIPERX0PHYSTATUS": { + "direction": "input", + "bits": [ 1202 ] + }, + "PIPERX0VALID": { + "direction": "input", + "bits": [ 1203 ] + }, + "PIPERX1CHANISALIGNED": { + "direction": "input", + "bits": [ 1204 ] + }, + "PIPERX1ELECIDLE": { + "direction": "input", + "bits": [ 1205 ] + }, + "PIPERX1PHYSTATUS": { + "direction": "input", + "bits": [ 1206 ] + }, + "PIPERX1VALID": { + "direction": "input", + "bits": [ 1207 ] + }, + "PIPERX2CHANISALIGNED": { + "direction": "input", + "bits": [ 1208 ] + }, + "PIPERX2ELECIDLE": { + "direction": "input", + "bits": [ 1209 ] + }, + "PIPERX2PHYSTATUS": { + "direction": "input", + "bits": [ 1210 ] + }, + "PIPERX2VALID": { + "direction": "input", + "bits": [ 1211 ] + }, + "PIPERX3CHANISALIGNED": { + "direction": "input", + "bits": [ 1212 ] + }, + "PIPERX3ELECIDLE": { + "direction": "input", + "bits": [ 1213 ] + }, + "PIPERX3PHYSTATUS": { + "direction": "input", + "bits": [ 1214 ] + }, + "PIPERX3VALID": { + "direction": "input", + "bits": [ 1215 ] + }, + "PIPERX4CHANISALIGNED": { + "direction": "input", + "bits": [ 1216 ] + }, + "PIPERX4ELECIDLE": { + "direction": "input", + "bits": [ 1217 ] + }, + "PIPERX4PHYSTATUS": { + "direction": "input", + "bits": [ 1218 ] + }, + "PIPERX4VALID": { + "direction": "input", + "bits": [ 1219 ] + }, + "PIPERX5CHANISALIGNED": { + "direction": "input", + "bits": [ 1220 ] + }, + "PIPERX5ELECIDLE": { + "direction": "input", + "bits": [ 1221 ] + }, + "PIPERX5PHYSTATUS": { + "direction": "input", + "bits": [ 1222 ] + }, + "PIPERX5VALID": { + "direction": "input", + "bits": [ 1223 ] + }, + "PIPERX6CHANISALIGNED": { + "direction": "input", + "bits": [ 1224 ] + }, + "PIPERX6ELECIDLE": { + "direction": "input", + "bits": [ 1225 ] + }, + "PIPERX6PHYSTATUS": { + "direction": "input", + "bits": [ 1226 ] + }, + "PIPERX6VALID": { + "direction": "input", + "bits": [ 1227 ] + }, + "PIPERX7CHANISALIGNED": { + "direction": "input", + "bits": [ 1228 ] + }, + "PIPERX7ELECIDLE": { + "direction": "input", + "bits": [ 1229 ] + }, + "PIPERX7PHYSTATUS": { + "direction": "input", + "bits": [ 1230 ] + }, + "PIPERX7VALID": { + "direction": "input", + "bits": [ 1231 ] + }, + "PLDIRECTEDLINKAUTON": { + "direction": "input", + "bits": [ 1232 ] + }, + "PLDIRECTEDLINKSPEED": { + "direction": "input", + "bits": [ 1233 ] + }, + "PLDIRECTEDLTSSMNEWVLD": { + "direction": "input", + "bits": [ 1234 ] + }, + "PLDIRECTEDLTSSMSTALL": { + "direction": "input", + "bits": [ 1235 ] + }, + "PLDOWNSTREAMDEEMPHSOURCE": { + "direction": "input", + "bits": [ 1236 ] + }, + "PLRSTN": { + "direction": "input", + "bits": [ 1237 ] + }, + "PLTRANSMITHOTRST": { + "direction": "input", + "bits": [ 1238 ] + }, + "PLUPSTREAMPREFERDEEMPH": { + "direction": "input", + "bits": [ 1239 ] + }, + "SYSRSTN": { + "direction": "input", + "bits": [ 1240 ] + }, + "TL2ASPMSUSPENDCREDITCHECK": { + "direction": "input", + "bits": [ 1241 ] + }, + "TL2PPMSUSPENDREQ": { + "direction": "input", + "bits": [ 1242 ] + }, + "TLRSTN": { + "direction": "input", + "bits": [ 1243 ] + }, + "TRNRDSTRDY": { + "direction": "input", + "bits": [ 1244 ] + }, + "TRNRFCPRET": { + "direction": "input", + "bits": [ 1245 ] + }, + "TRNRNPOK": { + "direction": "input", + "bits": [ 1246 ] + }, + "TRNRNPREQ": { + "direction": "input", + "bits": [ 1247 ] + }, + "TRNTCFGGNT": { + "direction": "input", + "bits": [ 1248 ] + }, + "TRNTDLLPSRCRDY": { + "direction": "input", + "bits": [ 1249 ] + }, + "TRNTECRCGEN": { + "direction": "input", + "bits": [ 1250 ] + }, + "TRNTEOF": { + "direction": "input", + "bits": [ 1251 ] + }, + "TRNTERRFWD": { + "direction": "input", + "bits": [ 1252 ] + }, + "TRNTSOF": { + "direction": "input", + "bits": [ 1253 ] + }, + "TRNTSRCDSC": { + "direction": "input", + "bits": [ 1254 ] + }, + "TRNTSRCRDY": { + "direction": "input", + "bits": [ 1255 ] + }, + "TRNTSTR": { + "direction": "input", + "bits": [ 1256 ] + }, + "USERCLK2": { + "direction": "input", + "bits": [ 1257 ] + }, + "USERCLK": { + "direction": "input", + "bits": [ 1258 ] + }, + "CFGERRAERHEADERLOG": { + "direction": "input", + "bits": [ 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386 ] + }, + "TRNTD": { + "direction": "input", + "bits": [ 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514 ] + }, + "CFGDEVID": { + "direction": "input", + "bits": [ 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530 ] + }, + "CFGSUBSYSID": { + "direction": "input", + "bits": [ 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546 ] + }, + "CFGSUBSYSVENDID": { + "direction": "input", + "bits": [ 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562 ] + }, + "CFGVENDID": { + "direction": "input", + "bits": [ 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594 ] + }, + "PIPERX0DATA": { + "direction": "input", + "bits": [ 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610 ] + }, + "PIPERX1DATA": { + "direction": "input", + "bits": [ 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626 ] + }, + "PIPERX2DATA": { + "direction": "input", + "bits": [ 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642 ] + }, + "PIPERX3DATA": { + "direction": "input", + "bits": [ 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658 ] + }, + "PIPERX4DATA": { + "direction": "input", + "bits": [ 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674 ] + }, + "PIPERX5DATA": { + "direction": "input", + "bits": [ 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690 ] + }, + "PIPERX6DATA": { + "direction": "input", + "bits": [ 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706 ] + }, + "PIPERX7DATA": { + "direction": "input", + "bits": [ 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722 ] + }, + "CFGPMFORCESTATE": { + "direction": "input", + "bits": [ 1723, 1724 ] + }, + "DBGMODE": { + "direction": "input", + "bits": [ 1725, 1726 ] + }, + "PIPERX0CHARISK": { + "direction": "input", + "bits": [ 1727, 1728 ] + }, + "PIPERX1CHARISK": { + "direction": "input", + "bits": [ 1729, 1730 ] + }, + "PIPERX2CHARISK": { + "direction": "input", + "bits": [ 1731, 1732 ] + }, + "PIPERX3CHARISK": { + "direction": "input", + "bits": [ 1733, 1734 ] + }, + "PIPERX4CHARISK": { + "direction": "input", + "bits": [ 1735, 1736 ] + }, + "PIPERX5CHARISK": { + "direction": "input", + "bits": [ 1737, 1738 ] + }, + "PIPERX6CHARISK": { + "direction": "input", + "bits": [ 1739, 1740 ] + }, + "PIPERX7CHARISK": { + "direction": "input", + "bits": [ 1741, 1742 ] + }, + "PLDIRECTEDLINKCHANGE": { + "direction": "input", + "bits": [ 1743, 1744 ] + }, + "PLDIRECTEDLINKWIDTH": { + "direction": "input", + "bits": [ 1745, 1746 ] + }, + "TRNTREM": { + "direction": "input", + "bits": [ 1747, 1748 ] + }, + "CFGDSFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 1749, 1750, 1751 ] + }, + "CFGFORCEMPS": { + "direction": "input", + "bits": [ 1752, 1753, 1754 ] + }, + "PIPERX0STATUS": { + "direction": "input", + "bits": [ 1755, 1756, 1757 ] + }, + "PIPERX1STATUS": { + "direction": "input", + "bits": [ 1758, 1759, 1760 ] + }, + "PIPERX2STATUS": { + "direction": "input", + "bits": [ 1761, 1762, 1763 ] + }, + "PIPERX3STATUS": { + "direction": "input", + "bits": [ 1764, 1765, 1766 ] + }, + "PIPERX4STATUS": { + "direction": "input", + "bits": [ 1767, 1768, 1769 ] + }, + "PIPERX5STATUS": { + "direction": "input", + "bits": [ 1770, 1771, 1772 ] + }, + "PIPERX6STATUS": { + "direction": "input", + "bits": [ 1773, 1774, 1775 ] + }, + "PIPERX7STATUS": { + "direction": "input", + "bits": [ 1776, 1777, 1778 ] + }, + "PLDBGMODE": { + "direction": "input", + "bits": [ 1779, 1780, 1781 ] + }, + "TRNFCSEL": { + "direction": "input", + "bits": [ 1782, 1783, 1784 ] + }, + "CFGMGMTDI": { + "direction": "input", + "bits": [ 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816 ] + }, + "TRNTDLLPDATA": { + "direction": "input", + "bits": [ 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848 ] + }, + "CFGMGMTBYTEENN": { + "direction": "input", + "bits": [ 1849, 1850, 1851, 1852 ] + }, + "CFGERRTLPCPLHEADER": { + "direction": "input", + "bits": [ 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900 ] + }, + "CFGAERINTERRUPTMSGNUM": { + "direction": "input", + "bits": [ 1901, 1902, 1903, 1904, 1905 ] + }, + "CFGDSDEVICENUMBER": { + "direction": "input", + "bits": [ 1906, 1907, 1908, 1909, 1910 ] + }, + "CFGPCIECAPINTERRUPTMSGNUM": { + "direction": "input", + "bits": [ 1911, 1912, 1913, 1914, 1915 ] + }, + "PL2DIRECTEDLSTATE": { + "direction": "input", + "bits": [ 1916, 1917, 1918, 1919, 1920 ] + }, + "PLDIRECTEDLTSSMNEW": { + "direction": "input", + "bits": [ 1921, 1922, 1923, 1924, 1925, 1926 ] + }, + "CFGDSN": { + "direction": "input", + "bits": [ 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990 ] + }, + "MIMRXRDATA": { + "direction": "input", + "bits": [ 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058 ] + }, + "MIMTXRDATA": { + "direction": "input", + "bits": [ 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127 ] + }, + "CFGDSBUSNUMBER": { + "direction": "input", + "bits": [ 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135 ] + }, + "CFGINTERRUPTDI": { + "direction": "input", + "bits": [ 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143 ] + }, + "CFGPORTNUMBER": { + "direction": "input", + "bits": [ 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151 ] + }, + "CFGREVID": { + "direction": "input", + "bits": [ 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168 ] + }, + "CFGMGMTDWADDR": { + "direction": "input", + "bits": [ 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178 ] + } + }, + "cells": { + }, + "netnames": { + "CFGAERECRCCHECKEN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21418.12-21418.29" + } + }, + "CFGAERECRCGENEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21419.12-21419.27" + } + }, + "CFGAERINTERRUPTMSGNUM": { + "hide_name": 0, + "bits": [ 1901, 1902, 1903, 1904, 1905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21812.17-21812.38" + } + }, + "CFGAERROOTERRCORRERRRECEIVED": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21420.12-21420.40" + } + }, + "CFGAERROOTERRCORRERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21421.12-21421.43" + } + }, + "CFGAERROOTERRFATALERRRECEIVED": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21422.12-21422.41" + } + }, + "CFGAERROOTERRFATALERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21423.12-21423.44" + } + }, + "CFGAERROOTERRNONFATALERRRECEIVED": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21424.12-21424.44" + } + }, + "CFGAERROOTERRNONFATALERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21425.12-21425.47" + } + }, + "CFGBRIDGESERREN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21426.12-21426.27" + } + }, + "CFGCOMMANDBUSMASTERENABLE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21427.12-21427.37" + } + }, + "CFGCOMMANDINTERRUPTDISABLE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21428.12-21428.38" + } + }, + "CFGCOMMANDIOENABLE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21429.12-21429.30" + } + }, + "CFGCOMMANDMEMENABLE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21430.12-21430.31" + } + }, + "CFGCOMMANDSERREN": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21431.12-21431.28" + } + }, + "CFGDEVCONTROL2ARIFORWARDEN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21432.12-21432.38" + } + }, + "CFGDEVCONTROL2ATOMICEGRESSBLOCK": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21433.12-21433.43" + } + }, + "CFGDEVCONTROL2ATOMICREQUESTEREN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21434.12-21434.43" + } + }, + "CFGDEVCONTROL2CPLTIMEOUTDIS": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21435.12-21435.39" + } + }, + "CFGDEVCONTROL2CPLTIMEOUTVAL": { + "hide_name": 0, + "bits": [ 677, 678, 679, 680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21643.18-21643.45" + } + }, + "CFGDEVCONTROL2IDOCPLEN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21436.12-21436.34" + } + }, + "CFGDEVCONTROL2IDOREQEN": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21437.12-21437.34" + } + }, + "CFGDEVCONTROL2LTREN": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21438.12-21438.31" + } + }, + "CFGDEVCONTROL2TLPPREFIXBLOCK": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21439.12-21439.40" + } + }, + "CFGDEVCONTROLAUXPOWEREN": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21440.12-21440.35" + } + }, + "CFGDEVCONTROLCORRERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21441.12-21441.43" + } + }, + "CFGDEVCONTROLENABLERO": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21442.12-21442.33" + } + }, + "CFGDEVCONTROLEXTTAGEN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21443.12-21443.33" + } + }, + "CFGDEVCONTROLFATALERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21444.12-21444.44" + } + }, + "CFGDEVCONTROLMAXPAYLOAD": { + "hide_name": 0, + "bits": [ 624, 625, 626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21635.18-21635.41" + } + }, + "CFGDEVCONTROLMAXREADREQ": { + "hide_name": 0, + "bits": [ 627, 628, 629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21636.18-21636.41" + } + }, + "CFGDEVCONTROLNONFATALREPORTINGEN": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21445.12-21445.44" + } + }, + "CFGDEVCONTROLNOSNOOPEN": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21446.12-21446.34" + } + }, + "CFGDEVCONTROLPHANTOMEN": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21447.12-21447.34" + } + }, + "CFGDEVCONTROLURERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21448.12-21448.41" + } + }, + "CFGDEVID": { + "hide_name": 0, + "bits": [ 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21770.18-21770.26" + } + }, + "CFGDEVSTATUSCORRERRDETECTED": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21449.12-21449.39" + } + }, + "CFGDEVSTATUSFATALERRDETECTED": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21450.12-21450.40" + } + }, + "CFGDEVSTATUSNONFATALERRDETECTED": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21451.12-21451.43" + } + }, + "CFGDEVSTATUSURDETECTED": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21452.12-21452.34" + } + }, + "CFGDSBUSNUMBER": { + "hide_name": 0, + "bits": [ 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21820.17-21820.31" + } + }, + "CFGDSDEVICENUMBER": { + "hide_name": 0, + "bits": [ 1906, 1907, 1908, 1909, 1910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21813.17-21813.34" + } + }, + "CFGDSFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 1749, 1750, 1751 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21796.17-21796.36" + } + }, + "CFGDSN": { + "hide_name": 0, + "bits": [ 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21817.18-21817.24" + } + }, + "CFGERRACSN": { + "hide_name": 0, + "bits": [ 1153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21662.11-21662.21" + } + }, + "CFGERRAERHEADERLOG": { + "hide_name": 0, + "bits": [ 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21768.19-21768.37" + } + }, + "CFGERRAERHEADERLOGSETN": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21453.12-21453.34" + } + }, + "CFGERRATOMICEGRESSBLOCKEDN": { + "hide_name": 0, + "bits": [ 1154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21663.11-21663.37" + } + }, + "CFGERRCORN": { + "hide_name": 0, + "bits": [ 1155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21664.11-21664.21" + } + }, + "CFGERRCPLABORTN": { + "hide_name": 0, + "bits": [ 1156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21665.11-21665.26" + } + }, + "CFGERRCPLRDYN": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21454.12-21454.25" + } + }, + "CFGERRCPLTIMEOUTN": { + "hide_name": 0, + "bits": [ 1157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21666.11-21666.28" + } + }, + "CFGERRCPLUNEXPECTN": { + "hide_name": 0, + "bits": [ 1158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21667.11-21667.29" + } + }, + "CFGERRECRCN": { + "hide_name": 0, + "bits": [ 1159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21668.11-21668.22" + } + }, + "CFGERRINTERNALCORN": { + "hide_name": 0, + "bits": [ 1160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21669.11-21669.29" + } + }, + "CFGERRINTERNALUNCORN": { + "hide_name": 0, + "bits": [ 1161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21670.11-21670.31" + } + }, + "CFGERRLOCKEDN": { + "hide_name": 0, + "bits": [ 1162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21671.11-21671.24" + } + }, + "CFGERRMALFORMEDN": { + "hide_name": 0, + "bits": [ 1163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21672.11-21672.27" + } + }, + "CFGERRMCBLOCKEDN": { + "hide_name": 0, + "bits": [ 1164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21673.11-21673.27" + } + }, + "CFGERRNORECOVERYN": { + "hide_name": 0, + "bits": [ 1165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21674.11-21674.28" + } + }, + "CFGERRPOISONEDN": { + "hide_name": 0, + "bits": [ 1166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21675.11-21675.26" + } + }, + "CFGERRPOSTEDN": { + "hide_name": 0, + "bits": [ 1167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21676.11-21676.24" + } + }, + "CFGERRTLPCPLHEADER": { + "hide_name": 0, + "bits": [ 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21811.18-21811.36" + } + }, + "CFGERRURN": { + "hide_name": 0, + "bits": [ 1168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21677.11-21677.20" + } + }, + "CFGFORCECOMMONCLOCKOFF": { + "hide_name": 0, + "bits": [ 1169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21678.11-21678.33" + } + }, + "CFGFORCEEXTENDEDSYNCON": { + "hide_name": 0, + "bits": [ 1170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21679.11-21679.33" + } + }, + "CFGFORCEMPS": { + "hide_name": 0, + "bits": [ 1752, 1753, 1754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21797.17-21797.28" + } + }, + "CFGINTERRUPTASSERTN": { + "hide_name": 0, + "bits": [ 1171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21680.11-21680.30" + } + }, + "CFGINTERRUPTDI": { + "hide_name": 0, + "bits": [ 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21821.17-21821.31" + } + }, + "CFGINTERRUPTDO": { + "hide_name": 0, + "bits": [ 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21657.18-21657.32" + } + }, + "CFGINTERRUPTMMENABLE": { + "hide_name": 0, + "bits": [ 630, 631, 632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21637.18-21637.38" + } + }, + "CFGINTERRUPTMSIENABLE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21455.12-21455.33" + } + }, + "CFGINTERRUPTMSIXENABLE": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21456.12-21456.34" + } + }, + "CFGINTERRUPTMSIXFM": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21457.12-21457.30" + } + }, + "CFGINTERRUPTN": { + "hide_name": 0, + "bits": [ 1172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21681.11-21681.24" + } + }, + "CFGINTERRUPTRDYN": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21458.12-21458.28" + } + }, + "CFGINTERRUPTSTATN": { + "hide_name": 0, + "bits": [ 1173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21682.11-21682.28" + } + }, + "CFGLINKCONTROLASPMCONTROL": { + "hide_name": 0, + "bits": [ 574, 575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21610.18-21610.43" + } + }, + "CFGLINKCONTROLAUTOBANDWIDTHINTEN": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21459.12-21459.44" + } + }, + "CFGLINKCONTROLBANDWIDTHINTEN": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21460.12-21460.40" + } + }, + "CFGLINKCONTROLCLOCKPMEN": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21461.12-21461.35" + } + }, + "CFGLINKCONTROLCOMMONCLOCK": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21462.12-21462.37" + } + }, + "CFGLINKCONTROLEXTENDEDSYNC": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21463.12-21463.38" + } + }, + "CFGLINKCONTROLHWAUTOWIDTHDIS": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21464.12-21464.40" + } + }, + "CFGLINKCONTROLLINKDISABLE": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21465.12-21465.37" + } + }, + "CFGLINKCONTROLRCB": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21466.12-21466.29" + } + }, + "CFGLINKCONTROLRETRAINLINK": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21467.12-21467.37" + } + }, + "CFGLINKSTATUSAUTOBANDWIDTHSTATUS": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21468.12-21468.44" + } + }, + "CFGLINKSTATUSBANDWIDTHSTATUS": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21469.12-21469.40" + } + }, + "CFGLINKSTATUSCURRENTSPEED": { + "hide_name": 0, + "bits": [ 576, 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21611.18-21611.43" + } + }, + "CFGLINKSTATUSDLLACTIVE": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21470.12-21470.34" + } + }, + "CFGLINKSTATUSLINKTRAINING": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21471.12-21471.37" + } + }, + "CFGLINKSTATUSNEGOTIATEDWIDTH": { + "hide_name": 0, + "bits": [ 681, 682, 683, 684 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21644.18-21644.46" + } + }, + "CFGMGMTBYTEENN": { + "hide_name": 0, + "bits": [ 1849, 1850, 1851, 1852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21810.17-21810.31" + } + }, + "CFGMGMTDI": { + "hide_name": 0, + "bits": [ 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21808.18-21808.27" + } + }, + "CFGMGMTDO": { + "hide_name": 0, + "bits": [ 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21642.19-21642.28" + } + }, + "CFGMGMTDWADDR": { + "hide_name": 0, + "bits": [ 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21825.17-21825.30" + } + }, + "CFGMGMTRDENN": { + "hide_name": 0, + "bits": [ 1174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21683.11-21683.23" + } + }, + "CFGMGMTRDWRDONEN": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21472.12-21472.28" + } + }, + "CFGMGMTWRENN": { + "hide_name": 0, + "bits": [ 1175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21684.11-21684.23" + } + }, + "CFGMGMTWRREADONLYN": { + "hide_name": 0, + "bits": [ 1176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21685.11-21685.29" + } + }, + "CFGMGMTWRRW1CASRWN": { + "hide_name": 0, + "bits": [ 1177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21686.11-21686.29" + } + }, + "CFGMSGDATA": { + "hide_name": 0, + "bits": [ 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21600.19-21600.29" + } + }, + "CFGMSGRECEIVED": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21473.12-21473.26" + } + }, + "CFGMSGRECEIVEDASSERTINTA": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21474.12-21474.36" + } + }, + "CFGMSGRECEIVEDASSERTINTB": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21475.12-21475.36" + } + }, + "CFGMSGRECEIVEDASSERTINTC": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21476.12-21476.36" + } + }, + "CFGMSGRECEIVEDASSERTINTD": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21477.12-21477.36" + } + }, + "CFGMSGRECEIVEDDEASSERTINTA": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21478.12-21478.38" + } + }, + "CFGMSGRECEIVEDDEASSERTINTB": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21479.12-21479.38" + } + }, + "CFGMSGRECEIVEDDEASSERTINTC": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21480.12-21480.38" + } + }, + "CFGMSGRECEIVEDDEASSERTINTD": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21481.12-21481.38" + } + }, + "CFGMSGRECEIVEDERRCOR": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21482.12-21482.32" + } + }, + "CFGMSGRECEIVEDERRFATAL": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21483.12-21483.34" + } + }, + "CFGMSGRECEIVEDERRNONFATAL": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21484.12-21484.37" + } + }, + "CFGMSGRECEIVEDPMASNAK": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21485.12-21485.33" + } + }, + "CFGMSGRECEIVEDPMETO": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21486.12-21486.31" + } + }, + "CFGMSGRECEIVEDPMETOACK": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21487.12-21487.34" + } + }, + "CFGMSGRECEIVEDPMPME": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21488.12-21488.31" + } + }, + "CFGMSGRECEIVEDSETSLOTPOWERLIMIT": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21489.12-21489.43" + } + }, + "CFGMSGRECEIVEDUNLOCK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21490.12-21490.32" + } + }, + "CFGPCIECAPINTERRUPTMSGNUM": { + "hide_name": 0, + "bits": [ 1911, 1912, 1913, 1914, 1915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21814.17-21814.42" + } + }, + "CFGPCIELINKSTATE": { + "hide_name": 0, + "bits": [ 633, 634, 635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21638.18-21638.34" + } + }, + "CFGPMCSRPMEEN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21491.12-21491.25" + } + }, + "CFGPMCSRPMESTATUS": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21492.12-21492.29" + } + }, + "CFGPMCSRPOWERSTATE": { + "hide_name": 0, + "bits": [ 578, 579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21612.18-21612.36" + } + }, + "CFGPMFORCESTATE": { + "hide_name": 0, + "bits": [ 1723, 1724 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21783.17-21783.32" + } + }, + "CFGPMFORCESTATEENN": { + "hide_name": 0, + "bits": [ 1178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21687.11-21687.29" + } + }, + "CFGPMHALTASPML0SN": { + "hide_name": 0, + "bits": [ 1179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21688.11-21688.28" + } + }, + "CFGPMHALTASPML1N": { + "hide_name": 0, + "bits": [ 1180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21689.11-21689.27" + } + }, + "CFGPMRCVASREQL1N": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21493.12-21493.28" + } + }, + "CFGPMRCVENTERL1N": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21494.12-21494.28" + } + }, + "CFGPMRCVENTERL23N": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21495.12-21495.29" + } + }, + "CFGPMRCVREQACKN": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21496.12-21496.27" + } + }, + "CFGPMSENDPMETON": { + "hide_name": 0, + "bits": [ 1181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21690.11-21690.26" + } + }, + "CFGPMTURNOFFOKN": { + "hide_name": 0, + "bits": [ 1182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21691.11-21691.26" + } + }, + "CFGPMWAKEN": { + "hide_name": 0, + "bits": [ 1183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21692.11-21692.21" + } + }, + "CFGPORTNUMBER": { + "hide_name": 0, + "bits": [ 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21822.17-21822.30" + } + }, + "CFGREVID": { + "hide_name": 0, + "bits": [ 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21823.17-21823.25" + } + }, + "CFGROOTCONTROLPMEINTEN": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21497.12-21497.34" + } + }, + "CFGROOTCONTROLSYSERRCORRERREN": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21498.12-21498.41" + } + }, + "CFGROOTCONTROLSYSERRFATALERREN": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21499.12-21499.42" + } + }, + "CFGROOTCONTROLSYSERRNONFATALERREN": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21500.12-21500.45" + } + }, + "CFGSLOTCONTROLELECTROMECHILCTLPULSE": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21501.12-21501.47" + } + }, + "CFGSUBSYSID": { + "hide_name": 0, + "bits": [ 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21771.18-21771.29" + } + }, + "CFGSUBSYSVENDID": { + "hide_name": 0, + "bits": [ 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21772.18-21772.33" + } + }, + "CFGTRANSACTION": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21502.12-21502.26" + } + }, + "CFGTRANSACTIONADDR": { + "hide_name": 0, + "bits": [ 1099, 1100, 1101, 1102, 1103, 1104, 1105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21655.18-21655.36" + } + }, + "CFGTRANSACTIONTYPE": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21503.12-21503.30" + } + }, + "CFGTRNPENDINGN": { + "hide_name": 0, + "bits": [ 1184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21693.11-21693.25" + } + }, + "CFGVCTCVCMAP": { + "hide_name": 0, + "bits": [ 1106, 1107, 1108, 1109, 1110, 1111, 1112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21656.18-21656.30" + } + }, + "CFGVENDID": { + "hide_name": 0, + "bits": [ 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21773.18-21773.27" + } + }, + "CMRSTN": { + "hide_name": 0, + "bits": [ 1185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21694.11-21694.17" + } + }, + "CMSTICKYRSTN": { + "hide_name": 0, + "bits": [ 1186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21695.11-21695.23" + } + }, + "DBGMODE": { + "hide_name": 0, + "bits": [ 1725, 1726 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21784.17-21784.24" + } + }, + "DBGSCLRA": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21504.12-21504.20" + } + }, + "DBGSCLRB": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21505.12-21505.20" + } + }, + "DBGSCLRC": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21506.12-21506.20" + } + }, + "DBGSCLRD": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21507.12-21507.20" + } + }, + "DBGSCLRE": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21508.12-21508.20" + } + }, + "DBGSCLRF": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21509.12-21509.20" + } + }, + "DBGSCLRG": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21510.12-21510.20" + } + }, + "DBGSCLRH": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21511.12-21511.20" + } + }, + "DBGSCLRI": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21512.12-21512.20" + } + }, + "DBGSCLRJ": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21513.12-21513.20" + } + }, + "DBGSCLRK": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21514.12-21514.20" + } + }, + "DBGSUBMODE": { + "hide_name": 0, + "bits": [ 1187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21696.11-21696.21" + } + }, + "DBGVECA": { + "hide_name": 0, + "bits": [ 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21649.19-21649.26" + } + }, + "DBGVECB": { + "hide_name": 0, + "bits": [ 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21650.19-21650.26" + } + }, + "DBGVECC": { + "hide_name": 0, + "bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21590.19-21590.26" + } + }, + "DLRSTN": { + "hide_name": 0, + "bits": [ 1188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21697.11-21697.17" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21824.17-21824.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 1189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21698.11-21698.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21774.18-21774.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21601.19-21601.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 1190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21699.11-21699.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21515.12-21515.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 1191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21700.11-21700.16" + } + }, + "FUNCLVLRSTN": { + "hide_name": 0, + "bits": [ 1192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21701.11-21701.22" + } + }, + "LL2BADDLLPERR": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21516.12-21516.25" + } + }, + "LL2BADTLPERR": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21517.12-21517.24" + } + }, + "LL2LINKSTATUS": { + "hide_name": 0, + "bits": [ 689, 690, 691, 692, 693 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21646.18-21646.31" + } + }, + "LL2PROTOCOLERR": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21518.12-21518.26" + } + }, + "LL2RECEIVERERR": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21519.12-21519.26" + } + }, + "LL2REPLAYROERR": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21520.12-21520.26" + } + }, + "LL2REPLAYTOERR": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21521.12-21521.26" + } + }, + "LL2SENDASREQL1": { + "hide_name": 0, + "bits": [ 1193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21702.11-21702.25" + } + }, + "LL2SENDENTERL1": { + "hide_name": 0, + "bits": [ 1194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21703.11-21703.25" + } + }, + "LL2SENDENTERL23": { + "hide_name": 0, + "bits": [ 1195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21704.11-21704.26" + } + }, + "LL2SENDPMACK": { + "hide_name": 0, + "bits": [ 1196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21705.11-21705.23" + } + }, + "LL2SUSPENDNOW": { + "hide_name": 0, + "bits": [ 1197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21706.11-21706.24" + } + }, + "LL2SUSPENDOK": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21522.12-21522.24" + } + }, + "LL2TFCINIT1SEQ": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21523.12-21523.26" + } + }, + "LL2TFCINIT2SEQ": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21524.12-21524.26" + } + }, + "LL2TLPRCV": { + "hide_name": 0, + "bits": [ 1198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21707.11-21707.20" + } + }, + "LL2TXIDLE": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21525.12-21525.21" + } + }, + "LNKCLKEN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21526.12-21526.20" + } + }, + "MIMRXRADDR": { + "hide_name": 0, + "bits": [ 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21596.19-21596.29" + } + }, + "MIMRXRDATA": { + "hide_name": 0, + "bits": [ 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21818.18-21818.28" + } + }, + "MIMRXREN": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21527.12-21527.20" + } + }, + "MIMRXWADDR": { + "hide_name": 0, + "bits": [ 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21597.19-21597.29" + } + }, + "MIMRXWDATA": { + "hide_name": 0, + "bits": [ 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21653.19-21653.29" + } + }, + "MIMRXWEN": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21528.12-21528.20" + } + }, + "MIMTXRADDR": { + "hide_name": 0, + "bits": [ 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21598.19-21598.29" + } + }, + "MIMTXRDATA": { + "hide_name": 0, + "bits": [ 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21819.18-21819.28" + } + }, + "MIMTXREN": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21529.12-21529.20" + } + }, + "MIMTXWADDR": { + "hide_name": 0, + "bits": [ 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21599.19-21599.29" + } + }, + "MIMTXWDATA": { + "hide_name": 0, + "bits": [ 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21654.19-21654.29" + } + }, + "MIMTXWEN": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21530.12-21530.20" + } + }, + "PIPECLK": { + "hide_name": 0, + "bits": [ 1199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21708.11-21708.18" + } + }, + "PIPERX0CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21709.11-21709.31" + } + }, + "PIPERX0CHARISK": { + "hide_name": 0, + "bits": [ 1727, 1728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21785.17-21785.31" + } + }, + "PIPERX0DATA": { + "hide_name": 0, + "bits": [ 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21775.18-21775.29" + } + }, + "PIPERX0ELECIDLE": { + "hide_name": 0, + "bits": [ 1201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21710.11-21710.26" + } + }, + "PIPERX0PHYSTATUS": { + "hide_name": 0, + "bits": [ 1202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21711.11-21711.27" + } + }, + "PIPERX0POLARITY": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21531.12-21531.27" + } + }, + "PIPERX0STATUS": { + "hide_name": 0, + "bits": [ 1755, 1756, 1757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21798.17-21798.30" + } + }, + "PIPERX0VALID": { + "hide_name": 0, + "bits": [ 1203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21712.11-21712.23" + } + }, + "PIPERX1CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21713.11-21713.31" + } + }, + "PIPERX1CHARISK": { + "hide_name": 0, + "bits": [ 1729, 1730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21786.17-21786.31" + } + }, + "PIPERX1DATA": { + "hide_name": 0, + "bits": [ 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21776.18-21776.29" + } + }, + "PIPERX1ELECIDLE": { + "hide_name": 0, + "bits": [ 1205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21714.11-21714.26" + } + }, + "PIPERX1PHYSTATUS": { + "hide_name": 0, + "bits": [ 1206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21715.11-21715.27" + } + }, + "PIPERX1POLARITY": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21532.12-21532.27" + } + }, + "PIPERX1STATUS": { + "hide_name": 0, + "bits": [ 1758, 1759, 1760 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21799.17-21799.30" + } + }, + "PIPERX1VALID": { + "hide_name": 0, + "bits": [ 1207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21716.11-21716.23" + } + }, + "PIPERX2CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21717.11-21717.31" + } + }, + "PIPERX2CHARISK": { + "hide_name": 0, + "bits": [ 1731, 1732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21787.17-21787.31" + } + }, + "PIPERX2DATA": { + "hide_name": 0, + "bits": [ 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21777.18-21777.29" + } + }, + "PIPERX2ELECIDLE": { + "hide_name": 0, + "bits": [ 1209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21718.11-21718.26" + } + }, + "PIPERX2PHYSTATUS": { + "hide_name": 0, + "bits": [ 1210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21719.11-21719.27" + } + }, + "PIPERX2POLARITY": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21533.12-21533.27" + } + }, + "PIPERX2STATUS": { + "hide_name": 0, + "bits": [ 1761, 1762, 1763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21800.17-21800.30" + } + }, + "PIPERX2VALID": { + "hide_name": 0, + "bits": [ 1211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21720.11-21720.23" + } + }, + "PIPERX3CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21721.11-21721.31" + } + }, + "PIPERX3CHARISK": { + "hide_name": 0, + "bits": [ 1733, 1734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21788.17-21788.31" + } + }, + "PIPERX3DATA": { + "hide_name": 0, + "bits": [ 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21778.18-21778.29" + } + }, + "PIPERX3ELECIDLE": { + "hide_name": 0, + "bits": [ 1213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21722.11-21722.26" + } + }, + "PIPERX3PHYSTATUS": { + "hide_name": 0, + "bits": [ 1214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21723.11-21723.27" + } + }, + "PIPERX3POLARITY": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21534.12-21534.27" + } + }, + "PIPERX3STATUS": { + "hide_name": 0, + "bits": [ 1764, 1765, 1766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21801.17-21801.30" + } + }, + "PIPERX3VALID": { + "hide_name": 0, + "bits": [ 1215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21724.11-21724.23" + } + }, + "PIPERX4CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21725.11-21725.31" + } + }, + "PIPERX4CHARISK": { + "hide_name": 0, + "bits": [ 1735, 1736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21789.17-21789.31" + } + }, + "PIPERX4DATA": { + "hide_name": 0, + "bits": [ 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21779.18-21779.29" + } + }, + "PIPERX4ELECIDLE": { + "hide_name": 0, + "bits": [ 1217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21726.11-21726.26" + } + }, + "PIPERX4PHYSTATUS": { + "hide_name": 0, + "bits": [ 1218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21727.11-21727.27" + } + }, + "PIPERX4POLARITY": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21535.12-21535.27" + } + }, + "PIPERX4STATUS": { + "hide_name": 0, + "bits": [ 1767, 1768, 1769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21802.17-21802.30" + } + }, + "PIPERX4VALID": { + "hide_name": 0, + "bits": [ 1219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21728.11-21728.23" + } + }, + "PIPERX5CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21729.11-21729.31" + } + }, + "PIPERX5CHARISK": { + "hide_name": 0, + "bits": [ 1737, 1738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21790.17-21790.31" + } + }, + "PIPERX5DATA": { + "hide_name": 0, + "bits": [ 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21780.18-21780.29" + } + }, + "PIPERX5ELECIDLE": { + "hide_name": 0, + "bits": [ 1221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21730.11-21730.26" + } + }, + "PIPERX5PHYSTATUS": { + "hide_name": 0, + "bits": [ 1222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21731.11-21731.27" + } + }, + "PIPERX5POLARITY": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21536.12-21536.27" + } + }, + "PIPERX5STATUS": { + "hide_name": 0, + "bits": [ 1770, 1771, 1772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21803.17-21803.30" + } + }, + "PIPERX5VALID": { + "hide_name": 0, + "bits": [ 1223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21732.11-21732.23" + } + }, + "PIPERX6CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21733.11-21733.31" + } + }, + "PIPERX6CHARISK": { + "hide_name": 0, + "bits": [ 1739, 1740 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21791.17-21791.31" + } + }, + "PIPERX6DATA": { + "hide_name": 0, + "bits": [ 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21781.18-21781.29" + } + }, + "PIPERX6ELECIDLE": { + "hide_name": 0, + "bits": [ 1225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21734.11-21734.26" + } + }, + "PIPERX6PHYSTATUS": { + "hide_name": 0, + "bits": [ 1226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21735.11-21735.27" + } + }, + "PIPERX6POLARITY": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21537.12-21537.27" + } + }, + "PIPERX6STATUS": { + "hide_name": 0, + "bits": [ 1773, 1774, 1775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21804.17-21804.30" + } + }, + "PIPERX6VALID": { + "hide_name": 0, + "bits": [ 1227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21736.11-21736.23" + } + }, + "PIPERX7CHANISALIGNED": { + "hide_name": 0, + "bits": [ 1228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21737.11-21737.31" + } + }, + "PIPERX7CHARISK": { + "hide_name": 0, + "bits": [ 1741, 1742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21792.17-21792.31" + } + }, + "PIPERX7DATA": { + "hide_name": 0, + "bits": [ 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21782.18-21782.29" + } + }, + "PIPERX7ELECIDLE": { + "hide_name": 0, + "bits": [ 1229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21738.11-21738.26" + } + }, + "PIPERX7PHYSTATUS": { + "hide_name": 0, + "bits": [ 1230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21739.11-21739.27" + } + }, + "PIPERX7POLARITY": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21538.12-21538.27" + } + }, + "PIPERX7STATUS": { + "hide_name": 0, + "bits": [ 1776, 1777, 1778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21805.17-21805.30" + } + }, + "PIPERX7VALID": { + "hide_name": 0, + "bits": [ 1231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21740.11-21740.23" + } + }, + "PIPETX0CHARISK": { + "hide_name": 0, + "bits": [ 580, 581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21613.18-21613.32" + } + }, + "PIPETX0COMPLIANCE": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21539.12-21539.29" + } + }, + "PIPETX0DATA": { + "hide_name": 0, + "bits": [ 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21602.19-21602.30" + } + }, + "PIPETX0ELECIDLE": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21540.12-21540.27" + } + }, + "PIPETX0POWERDOWN": { + "hide_name": 0, + "bits": [ 582, 583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21614.18-21614.34" + } + }, + "PIPETX1CHARISK": { + "hide_name": 0, + "bits": [ 584, 585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21615.18-21615.32" + } + }, + "PIPETX1COMPLIANCE": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21541.12-21541.29" + } + }, + "PIPETX1DATA": { + "hide_name": 0, + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21603.19-21603.30" + } + }, + "PIPETX1ELECIDLE": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21542.12-21542.27" + } + }, + "PIPETX1POWERDOWN": { + "hide_name": 0, + "bits": [ 586, 587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21616.18-21616.34" + } + }, + "PIPETX2CHARISK": { + "hide_name": 0, + "bits": [ 588, 589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21617.18-21617.32" + } + }, + "PIPETX2COMPLIANCE": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21543.12-21543.29" + } + }, + "PIPETX2DATA": { + "hide_name": 0, + "bits": [ 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21604.19-21604.30" + } + }, + "PIPETX2ELECIDLE": { + "hide_name": 0, + "bits": [ 128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21544.12-21544.27" + } + }, + "PIPETX2POWERDOWN": { + "hide_name": 0, + "bits": [ 590, 591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21618.18-21618.34" + } + }, + "PIPETX3CHARISK": { + "hide_name": 0, + "bits": [ 592, 593 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21619.18-21619.32" + } + }, + "PIPETX3COMPLIANCE": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21545.12-21545.29" + } + }, + "PIPETX3DATA": { + "hide_name": 0, + "bits": [ 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21605.19-21605.30" + } + }, + "PIPETX3ELECIDLE": { + "hide_name": 0, + "bits": [ 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21546.12-21546.27" + } + }, + "PIPETX3POWERDOWN": { + "hide_name": 0, + "bits": [ 594, 595 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21620.18-21620.34" + } + }, + "PIPETX4CHARISK": { + "hide_name": 0, + "bits": [ 596, 597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21621.18-21621.32" + } + }, + "PIPETX4COMPLIANCE": { + "hide_name": 0, + "bits": [ 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21547.12-21547.29" + } + }, + "PIPETX4DATA": { + "hide_name": 0, + "bits": [ 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21606.19-21606.30" + } + }, + "PIPETX4ELECIDLE": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21548.12-21548.27" + } + }, + "PIPETX4POWERDOWN": { + "hide_name": 0, + "bits": [ 598, 599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21622.18-21622.34" + } + }, + "PIPETX5CHARISK": { + "hide_name": 0, + "bits": [ 600, 601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21623.18-21623.32" + } + }, + "PIPETX5COMPLIANCE": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21549.12-21549.29" + } + }, + "PIPETX5DATA": { + "hide_name": 0, + "bits": [ 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21607.19-21607.30" + } + }, + "PIPETX5ELECIDLE": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21550.12-21550.27" + } + }, + "PIPETX5POWERDOWN": { + "hide_name": 0, + "bits": [ 602, 603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21624.18-21624.34" + } + }, + "PIPETX6CHARISK": { + "hide_name": 0, + "bits": [ 604, 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21625.18-21625.32" + } + }, + "PIPETX6COMPLIANCE": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21551.12-21551.29" + } + }, + "PIPETX6DATA": { + "hide_name": 0, + "bits": [ 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21608.19-21608.30" + } + }, + "PIPETX6ELECIDLE": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21552.12-21552.27" + } + }, + "PIPETX6POWERDOWN": { + "hide_name": 0, + "bits": [ 606, 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21626.18-21626.34" + } + }, + "PIPETX7CHARISK": { + "hide_name": 0, + "bits": [ 608, 609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21627.18-21627.32" + } + }, + "PIPETX7COMPLIANCE": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21553.12-21553.29" + } + }, + "PIPETX7DATA": { + "hide_name": 0, + "bits": [ 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21609.19-21609.30" + } + }, + "PIPETX7ELECIDLE": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21554.12-21554.27" + } + }, + "PIPETX7POWERDOWN": { + "hide_name": 0, + "bits": [ 610, 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21628.18-21628.34" + } + }, + "PIPETXDEEMPH": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21555.12-21555.24" + } + }, + "PIPETXMARGIN": { + "hide_name": 0, + "bits": [ 636, 637, 638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21639.18-21639.30" + } + }, + "PIPETXRATE": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21556.12-21556.22" + } + }, + "PIPETXRCVRDET": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21557.12-21557.25" + } + }, + "PIPETXRESET": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21558.12-21558.23" + } + }, + "PL2DIRECTEDLSTATE": { + "hide_name": 0, + "bits": [ 1916, 1917, 1918, 1919, 1920 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21815.17-21815.34" + } + }, + "PL2L0REQ": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21559.12-21559.20" + } + }, + "PL2LINKUP": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21560.12-21560.21" + } + }, + "PL2RECEIVERERR": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21561.12-21561.26" + } + }, + "PL2RECOVERY": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21562.12-21562.23" + } + }, + "PL2RXELECIDLE": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21563.12-21563.25" + } + }, + "PL2RXPMSTATE": { + "hide_name": 0, + "bits": [ 612, 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21629.18-21629.30" + } + }, + "PL2SUSPENDOK": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21564.12-21564.24" + } + }, + "PLDBGMODE": { + "hide_name": 0, + "bits": [ 1779, 1780, 1781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21806.17-21806.26" + } + }, + "PLDBGVEC": { + "hide_name": 0, + "bits": [ 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21591.19-21591.27" + } + }, + "PLDIRECTEDCHANGEDONE": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21565.12-21565.32" + } + }, + "PLDIRECTEDLINKAUTON": { + "hide_name": 0, + "bits": [ 1232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21741.11-21741.30" + } + }, + "PLDIRECTEDLINKCHANGE": { + "hide_name": 0, + "bits": [ 1743, 1744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21793.17-21793.37" + } + }, + "PLDIRECTEDLINKSPEED": { + "hide_name": 0, + "bits": [ 1233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21742.11-21742.30" + } + }, + "PLDIRECTEDLINKWIDTH": { + "hide_name": 0, + "bits": [ 1745, 1746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21794.17-21794.36" + } + }, + "PLDIRECTEDLTSSMNEW": { + "hide_name": 0, + "bits": [ 1921, 1922, 1923, 1924, 1925, 1926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21816.17-21816.35" + } + }, + "PLDIRECTEDLTSSMNEWVLD": { + "hide_name": 0, + "bits": [ 1234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21743.11-21743.32" + } + }, + "PLDIRECTEDLTSSMSTALL": { + "hide_name": 0, + "bits": [ 1235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21744.11-21744.31" + } + }, + "PLDOWNSTREAMDEEMPHSOURCE": { + "hide_name": 0, + "bits": [ 1236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21745.11-21745.35" + } + }, + "PLINITIALLINKWIDTH": { + "hide_name": 0, + "bits": [ 639, 640, 641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21640.18-21640.36" + } + }, + "PLLANEREVERSALMODE": { + "hide_name": 0, + "bits": [ 614, 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21630.18-21630.36" + } + }, + "PLLINKGEN2CAP": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21566.12-21566.25" + } + }, + "PLLINKPARTNERGEN2SUPPORTED": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21567.12-21567.38" + } + }, + "PLLINKUPCFGCAP": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21568.12-21568.26" + } + }, + "PLLTSSMSTATE": { + "hide_name": 0, + "bits": [ 694, 695, 696, 697, 698, 699 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21647.18-21647.30" + } + }, + "PLPHYLNKUPN": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21569.12-21569.23" + } + }, + "PLRECEIVEDHOTRST": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21570.12-21570.28" + } + }, + "PLRSTN": { + "hide_name": 0, + "bits": [ 1237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21746.11-21746.17" + } + }, + "PLRXPMSTATE": { + "hide_name": 0, + "bits": [ 616, 617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21631.18-21631.29" + } + }, + "PLSELLNKRATE": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21571.12-21571.24" + } + }, + "PLSELLNKWIDTH": { + "hide_name": 0, + "bits": [ 618, 619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21632.18-21632.31" + } + }, + "PLTRANSMITHOTRST": { + "hide_name": 0, + "bits": [ 1238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21747.11-21747.27" + } + }, + "PLTXPMSTATE": { + "hide_name": 0, + "bits": [ 642, 643, 644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21641.18-21641.29" + } + }, + "PLUPSTREAMPREFERDEEMPH": { + "hide_name": 0, + "bits": [ 1239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21748.11-21748.33" + } + }, + "RECEIVEDFUNCLVLRSTN": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21572.12-21572.31" + } + }, + "SYSRSTN": { + "hide_name": 0, + "bits": [ 1240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21749.11-21749.18" + } + }, + "TL2ASPMSUSPENDCREDITCHECK": { + "hide_name": 0, + "bits": [ 1241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21750.11-21750.36" + } + }, + "TL2ASPMSUSPENDCREDITCHECKOK": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21573.12-21573.39" + } + }, + "TL2ASPMSUSPENDREQ": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21574.12-21574.29" + } + }, + "TL2ERRFCPE": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21575.12-21575.22" + } + }, + "TL2ERRHDR": { + "hide_name": 0, + "bits": [ 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21651.19-21651.28" + } + }, + "TL2ERRMALFORMED": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21576.12-21576.27" + } + }, + "TL2ERRRXOVERFLOW": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21577.12-21577.28" + } + }, + "TL2PPMSUSPENDOK": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21578.12-21578.27" + } + }, + "TL2PPMSUSPENDREQ": { + "hide_name": 0, + "bits": [ 1242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21751.11-21751.27" + } + }, + "TLRSTN": { + "hide_name": 0, + "bits": [ 1243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21752.11-21752.17" + } + }, + "TRNFCCPLD": { + "hide_name": 0, + "bits": [ 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21592.19-21592.28" + } + }, + "TRNFCCPLH": { + "hide_name": 0, + "bits": [ 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21658.18-21658.27" + } + }, + "TRNFCNPD": { + "hide_name": 0, + "bits": [ 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21593.19-21593.27" + } + }, + "TRNFCNPH": { + "hide_name": 0, + "bits": [ 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21659.18-21659.26" + } + }, + "TRNFCPD": { + "hide_name": 0, + "bits": [ 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21594.19-21594.26" + } + }, + "TRNFCPH": { + "hide_name": 0, + "bits": [ 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21660.18-21660.25" + } + }, + "TRNFCSEL": { + "hide_name": 0, + "bits": [ 1782, 1783, 1784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21807.17-21807.25" + } + }, + "TRNLNKUP": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21579.12-21579.20" + } + }, + "TRNRBARHIT": { + "hide_name": 0, + "bits": [ 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21661.18-21661.28" + } + }, + "TRNRD": { + "hide_name": 0, + "bits": [ 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21595.20-21595.25" + } + }, + "TRNRDLLPDATA": { + "hide_name": 0, + "bits": [ 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21652.19-21652.31" + } + }, + "TRNRDLLPSRCRDY": { + "hide_name": 0, + "bits": [ 620, 621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21633.18-21633.32" + } + }, + "TRNRDSTRDY": { + "hide_name": 0, + "bits": [ 1244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21753.11-21753.21" + } + }, + "TRNRECRCERR": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21580.12-21580.23" + } + }, + "TRNREOF": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21581.12-21581.19" + } + }, + "TRNRERRFWD": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21582.12-21582.22" + } + }, + "TRNRFCPRET": { + "hide_name": 0, + "bits": [ 1245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21754.11-21754.21" + } + }, + "TRNRNPOK": { + "hide_name": 0, + "bits": [ 1246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21755.11-21755.19" + } + }, + "TRNRNPREQ": { + "hide_name": 0, + "bits": [ 1247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21756.11-21756.20" + } + }, + "TRNRREM": { + "hide_name": 0, + "bits": [ 622, 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21634.18-21634.25" + } + }, + "TRNRSOF": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21583.12-21583.19" + } + }, + "TRNRSRCDSC": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21584.12-21584.22" + } + }, + "TRNRSRCRDY": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21585.12-21585.22" + } + }, + "TRNTBUFAV": { + "hide_name": 0, + "bits": [ 700, 701, 702, 703, 704, 705 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21648.18-21648.27" + } + }, + "TRNTCFGGNT": { + "hide_name": 0, + "bits": [ 1248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21757.11-21757.21" + } + }, + "TRNTCFGREQ": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21586.12-21586.22" + } + }, + "TRNTD": { + "hide_name": 0, + "bits": [ 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21769.19-21769.24" + } + }, + "TRNTDLLPDATA": { + "hide_name": 0, + "bits": [ 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21809.18-21809.30" + } + }, + "TRNTDLLPDSTRDY": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21587.12-21587.26" + } + }, + "TRNTDLLPSRCRDY": { + "hide_name": 0, + "bits": [ 1249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21758.11-21758.25" + } + }, + "TRNTDSTRDY": { + "hide_name": 0, + "bits": [ 685, 686, 687, 688 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21645.18-21645.28" + } + }, + "TRNTECRCGEN": { + "hide_name": 0, + "bits": [ 1250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21759.11-21759.22" + } + }, + "TRNTEOF": { + "hide_name": 0, + "bits": [ 1251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21760.11-21760.18" + } + }, + "TRNTERRDROP": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21588.12-21588.23" + } + }, + "TRNTERRFWD": { + "hide_name": 0, + "bits": [ 1252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21761.11-21761.21" + } + }, + "TRNTREM": { + "hide_name": 0, + "bits": [ 1747, 1748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21795.17-21795.24" + } + }, + "TRNTSOF": { + "hide_name": 0, + "bits": [ 1253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21762.11-21762.18" + } + }, + "TRNTSRCDSC": { + "hide_name": 0, + "bits": [ 1254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21763.11-21763.21" + } + }, + "TRNTSRCRDY": { + "hide_name": 0, + "bits": [ 1255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21764.11-21764.21" + } + }, + "TRNTSTR": { + "hide_name": 0, + "bits": [ 1256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21765.11-21765.18" + } + }, + "USERCLK": { + "hide_name": 0, + "bits": [ 1258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21767.11-21767.18" + } + }, + "USERCLK2": { + "hide_name": 0, + "bits": [ 1257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21766.11-21766.19" + } + }, + "USERRSTN": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21589.12-21589.20" + } + } + } + }, + "PCIE_3_0": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21828.1-22732.10" + }, + "parameter_default_values": { + "ARI_CAP_ENABLE": "FALSE", + "AXISTEN_IF_CC_ALIGNMENT_MODE": "FALSE", + "AXISTEN_IF_CC_PARITY_CHK": "TRUE", + "AXISTEN_IF_CQ_ALIGNMENT_MODE": "FALSE", + "AXISTEN_IF_ENABLE_CLIENT_TAG": "FALSE", + "AXISTEN_IF_ENABLE_MSG_ROUTE": "000000000000000000", + "AXISTEN_IF_ENABLE_RX_MSG_INTFC": "FALSE", + "AXISTEN_IF_RC_ALIGNMENT_MODE": "FALSE", + "AXISTEN_IF_RC_STRADDLE": "FALSE", + "AXISTEN_IF_RQ_ALIGNMENT_MODE": "FALSE", + "AXISTEN_IF_RQ_PARITY_CHK": "TRUE", + "AXISTEN_IF_WIDTH": "10", + "CRM_CORE_CLK_FREQ_500": "TRUE", + "CRM_USER_CLK_FREQ": "10", + "DNSTREAM_LINK_NUM": "00000000", + "GEN3_PCS_AUTO_REALIGN": "01", + "GEN3_PCS_RX_ELECIDLE_INTERNAL": "TRUE", + "LL_ACK_TIMEOUT": "000000000", + "LL_ACK_TIMEOUT_EN": "FALSE", + "LL_ACK_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LL_CPL_FC_UPDATE_TIMER": "0000000000000000", + "LL_CPL_FC_UPDATE_TIMER_OVERRIDE": "FALSE", + "LL_FC_UPDATE_TIMER": "0000000000000000", + "LL_FC_UPDATE_TIMER_OVERRIDE": "FALSE", + "LL_NP_FC_UPDATE_TIMER": "0000000000000000", + "LL_NP_FC_UPDATE_TIMER_OVERRIDE": "FALSE", + "LL_P_FC_UPDATE_TIMER": "0000000000000000", + "LL_P_FC_UPDATE_TIMER_OVERRIDE": "FALSE", + "LL_REPLAY_TIMEOUT": "000000000", + "LL_REPLAY_TIMEOUT_EN": "FALSE", + "LL_REPLAY_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LTR_TX_MESSAGE_MINIMUM_INTERVAL": "0011111010", + "LTR_TX_MESSAGE_ON_FUNC_POWER_STATE_CHANGE": "FALSE", + "LTR_TX_MESSAGE_ON_LTR_ENABLE": "FALSE", + "PF0_AER_CAP_ECRC_CHECK_CAPABLE": "FALSE", + "PF0_AER_CAP_ECRC_GEN_CAPABLE": "FALSE", + "PF0_AER_CAP_NEXTPTR": "000000000000", + "PF0_ARI_CAP_NEXTPTR": "000000000000", + "PF0_ARI_CAP_NEXT_FUNC": "00000000", + "PF0_ARI_CAP_VER": "0001", + "PF0_BAR0_APERTURE_SIZE": "00011", + "PF0_BAR0_CONTROL": "100", + "PF0_BAR1_APERTURE_SIZE": "00000", + "PF0_BAR1_CONTROL": "000", + "PF0_BAR2_APERTURE_SIZE": "00011", + "PF0_BAR2_CONTROL": "100", + "PF0_BAR3_APERTURE_SIZE": "00011", + "PF0_BAR3_CONTROL": "000", + "PF0_BAR4_APERTURE_SIZE": "00011", + "PF0_BAR4_CONTROL": "100", + "PF0_BAR5_APERTURE_SIZE": "00011", + "PF0_BAR5_CONTROL": "000", + "PF0_BIST_REGISTER": "00000000", + "PF0_CAPABILITY_POINTER": "01010000", + "PF0_CLASS_CODE": "000000000000000000000000", + "PF0_DEVICE_ID": "0000000000000000", + "PF0_DEV_CAP2_128B_CAS_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_32B_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_64B_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_CPL_TIMEOUT_DISABLE": "TRUE", + "PF0_DEV_CAP2_LTR_SUPPORT": "TRUE", + "PF0_DEV_CAP2_OBFF_SUPPORT": "00", + "PF0_DEV_CAP2_TPH_COMPLETER_SUPPORT": "FALSE", + "PF0_DEV_CAP_ENDPOINT_L0S_LATENCY": "00000000000000000000000000000000", + "PF0_DEV_CAP_ENDPOINT_L1_LATENCY": "00000000000000000000000000000000", + "PF0_DEV_CAP_EXT_TAG_SUPPORTED": "TRUE", + "PF0_DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE": "TRUE", + "PF0_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF0_DPA_CAP_NEXTPTR": "000000000000", + "PF0_DPA_CAP_SUB_STATE_CONTROL": "00000", + "PF0_DPA_CAP_SUB_STATE_CONTROL_EN": "TRUE", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION0": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION1": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION2": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION3": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION4": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION5": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION6": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION7": "00000000", + "PF0_DPA_CAP_VER": "0001", + "PF0_DSN_CAP_NEXTPTR": "000100001100", + "PF0_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF0_EXPANSION_ROM_ENABLE": "FALSE", + "PF0_INTERRUPT_LINE": "00000000", + "PF0_INTERRUPT_PIN": "001", + "PF0_LINK_CAP_ASPM_SUPPORT": "00000000000000000000000000000000", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN3": "00000000000000000000000000000111", + "PF0_LINK_STATUS_SLOT_CLOCK_CONFIG": "TRUE", + "PF0_LTR_CAP_MAX_NOSNOOP_LAT": "0000000000", + "PF0_LTR_CAP_MAX_SNOOP_LAT": "0000000000", + "PF0_LTR_CAP_NEXTPTR": "000000000000", + "PF0_LTR_CAP_VER": "0001", + "PF0_MSIX_CAP_NEXTPTR": "00000000", + "PF0_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF0_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF0_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF0_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF0_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF0_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF0_MSI_CAP_NEXTPTR": "00000000", + "PF0_PB_CAP_NEXTPTR": "000000000000", + "PF0_PB_CAP_SYSTEM_ALLOCATED": "FALSE", + "PF0_PB_CAP_VER": "0001", + "PF0_PM_CAP_ID": "00000001", + "PF0_PM_CAP_NEXTPTR": "00000000", + "PF0_PM_CAP_PMESUPPORT_D0": "TRUE", + "PF0_PM_CAP_PMESUPPORT_D1": "TRUE", + "PF0_PM_CAP_PMESUPPORT_D3HOT": "TRUE", + "PF0_PM_CAP_SUPP_D1_STATE": "TRUE", + "PF0_PM_CAP_VER_ID": "011", + "PF0_PM_CSR_NOSOFTRESET": "TRUE", + "PF0_RBAR_CAP_ENABLE": "FALSE", + "PF0_RBAR_CAP_INDEX0": "000", + "PF0_RBAR_CAP_INDEX1": "000", + "PF0_RBAR_CAP_INDEX2": "000", + "PF0_RBAR_CAP_NEXTPTR": "000000000000", + "PF0_RBAR_CAP_SIZE0": "00000000000000000000", + "PF0_RBAR_CAP_SIZE1": "00000000000000000000", + "PF0_RBAR_CAP_SIZE2": "00000000000000000000", + "PF0_RBAR_CAP_VER": "0001", + "PF0_RBAR_NUM": "001", + "PF0_REVISION_ID": "00000000", + "PF0_SRIOV_BAR0_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR0_CONTROL": "100", + "PF0_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF0_SRIOV_BAR1_CONTROL": "000", + "PF0_SRIOV_BAR2_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR2_CONTROL": "100", + "PF0_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR3_CONTROL": "000", + "PF0_SRIOV_BAR4_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR4_CONTROL": "100", + "PF0_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR5_CONTROL": "000", + "PF0_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF0_SRIOV_CAP_NEXTPTR": "000000000000", + "PF0_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF0_SRIOV_CAP_VER": "0001", + "PF0_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF0_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF0_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF0_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF0_SUBSYSTEM_ID": "0000000000000000", + "PF0_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "PF0_TPHR_CAP_ENABLE": "FALSE", + "PF0_TPHR_CAP_INT_VEC_MODE": "TRUE", + "PF0_TPHR_CAP_NEXTPTR": "000000000000", + "PF0_TPHR_CAP_ST_MODE_SEL": "000", + "PF0_TPHR_CAP_ST_TABLE_LOC": "00", + "PF0_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "PF0_TPHR_CAP_VER": "0001", + "PF0_VC_CAP_NEXTPTR": "000000000000", + "PF0_VC_CAP_VER": "0001", + "PF1_AER_CAP_ECRC_CHECK_CAPABLE": "FALSE", + "PF1_AER_CAP_ECRC_GEN_CAPABLE": "FALSE", + "PF1_AER_CAP_NEXTPTR": "000000000000", + "PF1_ARI_CAP_NEXTPTR": "000000000000", + "PF1_ARI_CAP_NEXT_FUNC": "00000000", + "PF1_BAR0_APERTURE_SIZE": "00011", + "PF1_BAR0_CONTROL": "100", + "PF1_BAR1_APERTURE_SIZE": "00000", + "PF1_BAR1_CONTROL": "000", + "PF1_BAR2_APERTURE_SIZE": "00011", + "PF1_BAR2_CONTROL": "100", + "PF1_BAR3_APERTURE_SIZE": "00011", + "PF1_BAR3_CONTROL": "000", + "PF1_BAR4_APERTURE_SIZE": "00011", + "PF1_BAR4_CONTROL": "100", + "PF1_BAR5_APERTURE_SIZE": "00011", + "PF1_BAR5_CONTROL": "000", + "PF1_BIST_REGISTER": "00000000", + "PF1_CAPABILITY_POINTER": "01010000", + "PF1_CLASS_CODE": "000000000000000000000000", + "PF1_DEVICE_ID": "0000000000000000", + "PF1_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF1_DPA_CAP_NEXTPTR": "000000000000", + "PF1_DPA_CAP_SUB_STATE_CONTROL": "00000", + "PF1_DPA_CAP_SUB_STATE_CONTROL_EN": "TRUE", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION0": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION1": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION2": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION3": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION4": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION5": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION6": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION7": "00000000", + "PF1_DPA_CAP_VER": "0001", + "PF1_DSN_CAP_NEXTPTR": "000100001100", + "PF1_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF1_EXPANSION_ROM_ENABLE": "FALSE", + "PF1_INTERRUPT_LINE": "00000000", + "PF1_INTERRUPT_PIN": "001", + "PF1_MSIX_CAP_NEXTPTR": "00000000", + "PF1_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF1_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF1_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF1_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF1_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF1_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF1_MSI_CAP_NEXTPTR": "00000000", + "PF1_PB_CAP_NEXTPTR": "000000000000", + "PF1_PB_CAP_SYSTEM_ALLOCATED": "FALSE", + "PF1_PB_CAP_VER": "0001", + "PF1_PM_CAP_ID": "00000001", + "PF1_PM_CAP_NEXTPTR": "00000000", + "PF1_PM_CAP_VER_ID": "011", + "PF1_RBAR_CAP_ENABLE": "FALSE", + "PF1_RBAR_CAP_INDEX0": "000", + "PF1_RBAR_CAP_INDEX1": "000", + "PF1_RBAR_CAP_INDEX2": "000", + "PF1_RBAR_CAP_NEXTPTR": "000000000000", + "PF1_RBAR_CAP_SIZE0": "00000000000000000000", + "PF1_RBAR_CAP_SIZE1": "00000000000000000000", + "PF1_RBAR_CAP_SIZE2": "00000000000000000000", + "PF1_RBAR_CAP_VER": "0001", + "PF1_RBAR_NUM": "001", + "PF1_REVISION_ID": "00000000", + "PF1_SRIOV_BAR0_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR0_CONTROL": "100", + "PF1_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF1_SRIOV_BAR1_CONTROL": "000", + "PF1_SRIOV_BAR2_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR2_CONTROL": "100", + "PF1_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR3_CONTROL": "000", + "PF1_SRIOV_BAR4_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR4_CONTROL": "100", + "PF1_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR5_CONTROL": "000", + "PF1_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF1_SRIOV_CAP_NEXTPTR": "000000000000", + "PF1_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF1_SRIOV_CAP_VER": "0001", + "PF1_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF1_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF1_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF1_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF1_SUBSYSTEM_ID": "0000000000000000", + "PF1_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "PF1_TPHR_CAP_ENABLE": "FALSE", + "PF1_TPHR_CAP_INT_VEC_MODE": "TRUE", + "PF1_TPHR_CAP_NEXTPTR": "000000000000", + "PF1_TPHR_CAP_ST_MODE_SEL": "000", + "PF1_TPHR_CAP_ST_TABLE_LOC": "00", + "PF1_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "PF1_TPHR_CAP_VER": "0001", + "PL_DISABLE_EI_INFER_IN_L0": "FALSE", + "PL_DISABLE_GEN3_DC_BALANCE": "FALSE", + "PL_DISABLE_SCRAMBLING": "FALSE", + "PL_DISABLE_UPCONFIG_CAPABLE": "FALSE", + "PL_EQ_ADAPT_DISABLE_COEFF_CHECK": "FALSE", + "PL_EQ_ADAPT_DISABLE_PRESET_CHECK": "FALSE", + "PL_EQ_ADAPT_ITER_COUNT": "00010", + "PL_EQ_ADAPT_REJECT_RETRY_COUNT": "01", + "PL_EQ_BYPASS_PHASE23": "FALSE", + "PL_EQ_SHORT_ADAPT_PHASE": "FALSE", + "PL_LANE0_EQ_CONTROL": "0011111100000000", + "PL_LANE1_EQ_CONTROL": "0011111100000000", + "PL_LANE2_EQ_CONTROL": "0011111100000000", + "PL_LANE3_EQ_CONTROL": "0011111100000000", + "PL_LANE4_EQ_CONTROL": "0011111100000000", + "PL_LANE5_EQ_CONTROL": "0011111100000000", + "PL_LANE6_EQ_CONTROL": "0011111100000000", + "PL_LANE7_EQ_CONTROL": "0011111100000000", + "PL_LINK_CAP_MAX_LINK_SPEED": "100", + "PL_LINK_CAP_MAX_LINK_WIDTH": "1000", + "PL_N_FTS_COMCLK_GEN1": "00000000000000000000000011111111", + "PL_N_FTS_COMCLK_GEN2": "00000000000000000000000011111111", + "PL_N_FTS_COMCLK_GEN3": "00000000000000000000000011111111", + "PL_N_FTS_GEN1": "00000000000000000000000011111111", + "PL_N_FTS_GEN2": "00000000000000000000000011111111", + "PL_N_FTS_GEN3": "00000000000000000000000011111111", + "PL_SIM_FAST_LINK_TRAINING": "FALSE", + "PL_UPSTREAM_FACING": "TRUE", + "PM_ASPML0S_TIMEOUT": "0000010111011100", + "PM_ASPML1_ENTRY_DELAY": "00000000000000000000", + "PM_ENABLE_SLOT_POWER_CAPTURE": "TRUE", + "PM_L1_REENTRY_DELAY": "00000000000000000000000000000000", + "PM_PME_SERVICE_TIMEOUT_DELAY": "00011000011010100000", + "PM_PME_TURNOFF_ACK_DELAY": "0000000001100100", + "SIM_VERSION": "1.0", + "SPARE_BIT0": "00000000000000000000000000000000", + "SPARE_BIT1": "00000000000000000000000000000000", + "SPARE_BIT2": "00000000000000000000000000000000", + "SPARE_BIT3": "00000000000000000000000000000000", + "SPARE_BIT4": "00000000000000000000000000000000", + "SPARE_BIT5": "00000000000000000000000000000000", + "SPARE_BIT6": "00000000000000000000000000000000", + "SPARE_BIT7": "00000000000000000000000000000000", + "SPARE_BIT8": "00000000000000000000000000000000", + "SPARE_BYTE0": "00000000", + "SPARE_BYTE1": "00000000", + "SPARE_BYTE2": "00000000", + "SPARE_BYTE3": "00000000", + "SPARE_WORD0": "00000000000000000000000000000000", + "SPARE_WORD1": "00000000000000000000000000000000", + "SPARE_WORD2": "00000000000000000000000000000000", + "SPARE_WORD3": "00000000000000000000000000000000", + "SRIOV_CAP_ENABLE": "FALSE", + "TL_COMPL_TIMEOUT_REG0": "101111101011110000100000", + "TL_COMPL_TIMEOUT_REG1": "0000000000000000000000000000", + "TL_CREDITS_CD": "001111100000", + "TL_CREDITS_CH": "00100000", + "TL_CREDITS_NPD": "000000101000", + "TL_CREDITS_NPH": "00100000", + "TL_CREDITS_PD": "000110011000", + "TL_CREDITS_PH": "00100000", + "TL_ENABLE_MESSAGE_RID_CHECK_ENABLE": "TRUE", + "TL_EXTENDED_CFG_EXTEND_INTERFACE_ENABLE": "FALSE", + "TL_LEGACY_CFG_EXTEND_INTERFACE_ENABLE": "FALSE", + "TL_LEGACY_MODE_ENABLE": "FALSE", + "TL_PF_ENABLE_REG": "FALSE", + "TL_TAG_MGMT_ENABLE": "TRUE", + "VF0_ARI_CAP_NEXTPTR": "000000000000", + "VF0_CAPABILITY_POINTER": "01010000", + "VF0_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF0_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF0_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF0_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF0_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF0_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF0_PM_CAP_ID": "00000001", + "VF0_PM_CAP_NEXTPTR": "00000000", + "VF0_PM_CAP_VER_ID": "011", + "VF0_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF0_TPHR_CAP_ENABLE": "FALSE", + "VF0_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF0_TPHR_CAP_NEXTPTR": "000000000000", + "VF0_TPHR_CAP_ST_MODE_SEL": "000", + "VF0_TPHR_CAP_ST_TABLE_LOC": "00", + "VF0_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF0_TPHR_CAP_VER": "0001", + "VF1_ARI_CAP_NEXTPTR": "000000000000", + "VF1_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF1_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF1_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF1_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF1_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF1_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF1_PM_CAP_ID": "00000001", + "VF1_PM_CAP_NEXTPTR": "00000000", + "VF1_PM_CAP_VER_ID": "011", + "VF1_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF1_TPHR_CAP_ENABLE": "FALSE", + "VF1_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF1_TPHR_CAP_NEXTPTR": "000000000000", + "VF1_TPHR_CAP_ST_MODE_SEL": "000", + "VF1_TPHR_CAP_ST_TABLE_LOC": "00", + "VF1_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF1_TPHR_CAP_VER": "0001", + "VF2_ARI_CAP_NEXTPTR": "000000000000", + "VF2_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF2_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF2_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF2_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF2_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF2_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF2_PM_CAP_ID": "00000001", + "VF2_PM_CAP_NEXTPTR": "00000000", + "VF2_PM_CAP_VER_ID": "011", + "VF2_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF2_TPHR_CAP_ENABLE": "FALSE", + "VF2_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF2_TPHR_CAP_NEXTPTR": "000000000000", + "VF2_TPHR_CAP_ST_MODE_SEL": "000", + "VF2_TPHR_CAP_ST_TABLE_LOC": "00", + "VF2_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF2_TPHR_CAP_VER": "0001", + "VF3_ARI_CAP_NEXTPTR": "000000000000", + "VF3_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF3_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF3_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF3_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF3_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF3_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF3_PM_CAP_ID": "00000001", + "VF3_PM_CAP_NEXTPTR": "00000000", + "VF3_PM_CAP_VER_ID": "011", + "VF3_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF3_TPHR_CAP_ENABLE": "FALSE", + "VF3_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF3_TPHR_CAP_NEXTPTR": "000000000000", + "VF3_TPHR_CAP_ST_MODE_SEL": "000", + "VF3_TPHR_CAP_ST_TABLE_LOC": "00", + "VF3_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF3_TPHR_CAP_VER": "0001", + "VF4_ARI_CAP_NEXTPTR": "000000000000", + "VF4_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF4_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF4_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF4_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF4_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF4_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF4_PM_CAP_ID": "00000001", + "VF4_PM_CAP_NEXTPTR": "00000000", + "VF4_PM_CAP_VER_ID": "011", + "VF4_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF4_TPHR_CAP_ENABLE": "FALSE", + "VF4_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF4_TPHR_CAP_NEXTPTR": "000000000000", + "VF4_TPHR_CAP_ST_MODE_SEL": "000", + "VF4_TPHR_CAP_ST_TABLE_LOC": "00", + "VF4_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF4_TPHR_CAP_VER": "0001", + "VF5_ARI_CAP_NEXTPTR": "000000000000", + "VF5_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF5_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF5_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF5_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF5_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF5_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF5_PM_CAP_ID": "00000001", + "VF5_PM_CAP_NEXTPTR": "00000000", + "VF5_PM_CAP_VER_ID": "011", + "VF5_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF5_TPHR_CAP_ENABLE": "FALSE", + "VF5_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF5_TPHR_CAP_NEXTPTR": "000000000000", + "VF5_TPHR_CAP_ST_MODE_SEL": "000", + "VF5_TPHR_CAP_ST_TABLE_LOC": "00", + "VF5_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF5_TPHR_CAP_VER": "0001" + }, + "ports": { + "CFGERRCOROUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGERRFATALOUT": { + "direction": "output", + "bits": [ 3 ] + }, + "CFGERRNONFATALOUT": { + "direction": "output", + "bits": [ 4 ] + }, + "CFGEXTREADRECEIVED": { + "direction": "output", + "bits": [ 5 ] + }, + "CFGEXTWRITERECEIVED": { + "direction": "output", + "bits": [ 6 ] + }, + "CFGHOTRESETOUT": { + "direction": "output", + "bits": [ 7 ] + }, + "CFGINPUTUPDATEDONE": { + "direction": "output", + "bits": [ 8 ] + }, + "CFGINTERRUPTAOUTPUT": { + "direction": "output", + "bits": [ 9 ] + }, + "CFGINTERRUPTBOUTPUT": { + "direction": "output", + "bits": [ 10 ] + }, + "CFGINTERRUPTCOUTPUT": { + "direction": "output", + "bits": [ 11 ] + }, + "CFGINTERRUPTDOUTPUT": { + "direction": "output", + "bits": [ 12 ] + }, + "CFGINTERRUPTMSIFAIL": { + "direction": "output", + "bits": [ 13 ] + }, + "CFGINTERRUPTMSIMASKUPDATE": { + "direction": "output", + "bits": [ 14 ] + }, + "CFGINTERRUPTMSISENT": { + "direction": "output", + "bits": [ 15 ] + }, + "CFGINTERRUPTMSIXFAIL": { + "direction": "output", + "bits": [ 16 ] + }, + "CFGINTERRUPTMSIXSENT": { + "direction": "output", + "bits": [ 17 ] + }, + "CFGINTERRUPTSENT": { + "direction": "output", + "bits": [ 18 ] + }, + "CFGLOCALERROR": { + "direction": "output", + "bits": [ 19 ] + }, + "CFGLTRENABLE": { + "direction": "output", + "bits": [ 20 ] + }, + "CFGMCUPDATEDONE": { + "direction": "output", + "bits": [ 21 ] + }, + "CFGMGMTREADWRITEDONE": { + "direction": "output", + "bits": [ 22 ] + }, + "CFGMSGRECEIVED": { + "direction": "output", + "bits": [ 23 ] + }, + "CFGMSGTRANSMITDONE": { + "direction": "output", + "bits": [ 24 ] + }, + "CFGPERFUNCTIONUPDATEDONE": { + "direction": "output", + "bits": [ 25 ] + }, + "CFGPHYLINKDOWN": { + "direction": "output", + "bits": [ 26 ] + }, + "CFGPLSTATUSCHANGE": { + "direction": "output", + "bits": [ 27 ] + }, + "CFGPOWERSTATECHANGEINTERRUPT": { + "direction": "output", + "bits": [ 28 ] + }, + "CFGTPHSTTREADENABLE": { + "direction": "output", + "bits": [ 29 ] + }, + "CFGTPHSTTWRITEENABLE": { + "direction": "output", + "bits": [ 30 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 31 ] + }, + "MAXISCQTLAST": { + "direction": "output", + "bits": [ 32 ] + }, + "MAXISCQTVALID": { + "direction": "output", + "bits": [ 33 ] + }, + "MAXISRCTLAST": { + "direction": "output", + "bits": [ 34 ] + }, + "MAXISRCTVALID": { + "direction": "output", + "bits": [ 35 ] + }, + "PCIERQSEQNUMVLD": { + "direction": "output", + "bits": [ 36 ] + }, + "PCIERQTAGVLD": { + "direction": "output", + "bits": [ 37 ] + }, + "PIPERX0POLARITY": { + "direction": "output", + "bits": [ 38 ] + }, + "PIPERX1POLARITY": { + "direction": "output", + "bits": [ 39 ] + }, + "PIPERX2POLARITY": { + "direction": "output", + "bits": [ 40 ] + }, + "PIPERX3POLARITY": { + "direction": "output", + "bits": [ 41 ] + }, + "PIPERX4POLARITY": { + "direction": "output", + "bits": [ 42 ] + }, + "PIPERX5POLARITY": { + "direction": "output", + "bits": [ 43 ] + }, + "PIPERX6POLARITY": { + "direction": "output", + "bits": [ 44 ] + }, + "PIPERX7POLARITY": { + "direction": "output", + "bits": [ 45 ] + }, + "PIPETX0COMPLIANCE": { + "direction": "output", + "bits": [ 46 ] + }, + "PIPETX0DATAVALID": { + "direction": "output", + "bits": [ 47 ] + }, + "PIPETX0ELECIDLE": { + "direction": "output", + "bits": [ 48 ] + }, + "PIPETX0STARTBLOCK": { + "direction": "output", + "bits": [ 49 ] + }, + "PIPETX1COMPLIANCE": { + "direction": "output", + "bits": [ 50 ] + }, + "PIPETX1DATAVALID": { + "direction": "output", + "bits": [ 51 ] + }, + "PIPETX1ELECIDLE": { + "direction": "output", + "bits": [ 52 ] + }, + "PIPETX1STARTBLOCK": { + "direction": "output", + "bits": [ 53 ] + }, + "PIPETX2COMPLIANCE": { + "direction": "output", + "bits": [ 54 ] + }, + "PIPETX2DATAVALID": { + "direction": "output", + "bits": [ 55 ] + }, + "PIPETX2ELECIDLE": { + "direction": "output", + "bits": [ 56 ] + }, + "PIPETX2STARTBLOCK": { + "direction": "output", + "bits": [ 57 ] + }, + "PIPETX3COMPLIANCE": { + "direction": "output", + "bits": [ 58 ] + }, + "PIPETX3DATAVALID": { + "direction": "output", + "bits": [ 59 ] + }, + "PIPETX3ELECIDLE": { + "direction": "output", + "bits": [ 60 ] + }, + "PIPETX3STARTBLOCK": { + "direction": "output", + "bits": [ 61 ] + }, + "PIPETX4COMPLIANCE": { + "direction": "output", + "bits": [ 62 ] + }, + "PIPETX4DATAVALID": { + "direction": "output", + "bits": [ 63 ] + }, + "PIPETX4ELECIDLE": { + "direction": "output", + "bits": [ 64 ] + }, + "PIPETX4STARTBLOCK": { + "direction": "output", + "bits": [ 65 ] + }, + "PIPETX5COMPLIANCE": { + "direction": "output", + "bits": [ 66 ] + }, + "PIPETX5DATAVALID": { + "direction": "output", + "bits": [ 67 ] + }, + "PIPETX5ELECIDLE": { + "direction": "output", + "bits": [ 68 ] + }, + "PIPETX5STARTBLOCK": { + "direction": "output", + "bits": [ 69 ] + }, + "PIPETX6COMPLIANCE": { + "direction": "output", + "bits": [ 70 ] + }, + "PIPETX6DATAVALID": { + "direction": "output", + "bits": [ 71 ] + }, + "PIPETX6ELECIDLE": { + "direction": "output", + "bits": [ 72 ] + }, + "PIPETX6STARTBLOCK": { + "direction": "output", + "bits": [ 73 ] + }, + "PIPETX7COMPLIANCE": { + "direction": "output", + "bits": [ 74 ] + }, + "PIPETX7DATAVALID": { + "direction": "output", + "bits": [ 75 ] + }, + "PIPETX7ELECIDLE": { + "direction": "output", + "bits": [ 76 ] + }, + "PIPETX7STARTBLOCK": { + "direction": "output", + "bits": [ 77 ] + }, + "PIPETXDEEMPH": { + "direction": "output", + "bits": [ 78 ] + }, + "PIPETXRCVRDET": { + "direction": "output", + "bits": [ 79 ] + }, + "PIPETXRESET": { + "direction": "output", + "bits": [ 80 ] + }, + "PIPETXSWING": { + "direction": "output", + "bits": [ 81 ] + }, + "PLEQINPROGRESS": { + "direction": "output", + "bits": [ 82 ] + }, + "CFGFCCPLD": { + "direction": "output", + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94 ] + }, + "CFGFCNPD": { + "direction": "output", + "bits": [ 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106 ] + }, + "CFGFCPD": { + "direction": "output", + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ] + }, + "CFGVFSTATUS": { + "direction": "output", + "bits": [ 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130 ] + }, + "MIREPLAYRAMWRITEDATA": { + "direction": "output", + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ] + }, + "MIREQUESTRAMWRITEDATA": { + "direction": "output", + "bits": [ 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418 ] + }, + "CFGPERFUNCSTATUSDATA": { + "direction": "output", + "bits": [ 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434 ] + }, + "DBGDATAOUT": { + "direction": "output", + "bits": [ 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466 ] + }, + "CFGVFPOWERSTATE": { + "direction": "output", + "bits": [ 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484 ] + }, + "CFGVFTPHSTMODE": { + "direction": "output", + "bits": [ 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502 ] + }, + "CFGDPASUBSTATECHANGE": { + "direction": "output", + "bits": [ 503, 504 ] + }, + "CFGFLRINPROCESS": { + "direction": "output", + "bits": [ 505, 506 ] + }, + "CFGINTERRUPTMSIENABLE": { + "direction": "output", + "bits": [ 507, 508 ] + }, + "CFGINTERRUPTMSIXENABLE": { + "direction": "output", + "bits": [ 509, 510 ] + }, + "CFGINTERRUPTMSIXMASK": { + "direction": "output", + "bits": [ 511, 512 ] + }, + "CFGLINKPOWERSTATE": { + "direction": "output", + "bits": [ 513, 514 ] + }, + "CFGOBFFENABLE": { + "direction": "output", + "bits": [ 515, 516 ] + }, + "CFGPHYLINKSTATUS": { + "direction": "output", + "bits": [ 517, 518 ] + }, + "CFGRCBSTATUS": { + "direction": "output", + "bits": [ 519, 520 ] + }, + "CFGTPHREQUESTERENABLE": { + "direction": "output", + "bits": [ 521, 522 ] + }, + "MIREPLAYRAMREADENABLE": { + "direction": "output", + "bits": [ 523, 524 ] + }, + "MIREPLAYRAMWRITEENABLE": { + "direction": "output", + "bits": [ 525, 526 ] + }, + "PCIERQTAGAV": { + "direction": "output", + "bits": [ 527, 528 ] + }, + "PCIETFCNPDAV": { + "direction": "output", + "bits": [ 529, 530 ] + }, + "PCIETFCNPHAV": { + "direction": "output", + "bits": [ 531, 532 ] + }, + "PIPERX0EQCONTROL": { + "direction": "output", + "bits": [ 533, 534 ] + }, + "PIPERX1EQCONTROL": { + "direction": "output", + "bits": [ 535, 536 ] + }, + "PIPERX2EQCONTROL": { + "direction": "output", + "bits": [ 537, 538 ] + }, + "PIPERX3EQCONTROL": { + "direction": "output", + "bits": [ 539, 540 ] + }, + "PIPERX4EQCONTROL": { + "direction": "output", + "bits": [ 541, 542 ] + }, + "PIPERX5EQCONTROL": { + "direction": "output", + "bits": [ 543, 544 ] + }, + "PIPERX6EQCONTROL": { + "direction": "output", + "bits": [ 545, 546 ] + }, + "PIPERX7EQCONTROL": { + "direction": "output", + "bits": [ 547, 548 ] + }, + "PIPETX0CHARISK": { + "direction": "output", + "bits": [ 549, 550 ] + }, + "PIPETX0EQCONTROL": { + "direction": "output", + "bits": [ 551, 552 ] + }, + "PIPETX0POWERDOWN": { + "direction": "output", + "bits": [ 553, 554 ] + }, + "PIPETX0SYNCHEADER": { + "direction": "output", + "bits": [ 555, 556 ] + }, + "PIPETX1CHARISK": { + "direction": "output", + "bits": [ 557, 558 ] + }, + "PIPETX1EQCONTROL": { + "direction": "output", + "bits": [ 559, 560 ] + }, + "PIPETX1POWERDOWN": { + "direction": "output", + "bits": [ 561, 562 ] + }, + "PIPETX1SYNCHEADER": { + "direction": "output", + "bits": [ 563, 564 ] + }, + "PIPETX2CHARISK": { + "direction": "output", + "bits": [ 565, 566 ] + }, + "PIPETX2EQCONTROL": { + "direction": "output", + "bits": [ 567, 568 ] + }, + "PIPETX2POWERDOWN": { + "direction": "output", + "bits": [ 569, 570 ] + }, + "PIPETX2SYNCHEADER": { + "direction": "output", + "bits": [ 571, 572 ] + }, + "PIPETX3CHARISK": { + "direction": "output", + "bits": [ 573, 574 ] + }, + "PIPETX3EQCONTROL": { + "direction": "output", + "bits": [ 575, 576 ] + }, + "PIPETX3POWERDOWN": { + "direction": "output", + "bits": [ 577, 578 ] + }, + "PIPETX3SYNCHEADER": { + "direction": "output", + "bits": [ 579, 580 ] + }, + "PIPETX4CHARISK": { + "direction": "output", + "bits": [ 581, 582 ] + }, + "PIPETX4EQCONTROL": { + "direction": "output", + "bits": [ 583, 584 ] + }, + "PIPETX4POWERDOWN": { + "direction": "output", + "bits": [ 585, 586 ] + }, + "PIPETX4SYNCHEADER": { + "direction": "output", + "bits": [ 587, 588 ] + }, + "PIPETX5CHARISK": { + "direction": "output", + "bits": [ 589, 590 ] + }, + "PIPETX5EQCONTROL": { + "direction": "output", + "bits": [ 591, 592 ] + }, + "PIPETX5POWERDOWN": { + "direction": "output", + "bits": [ 593, 594 ] + }, + "PIPETX5SYNCHEADER": { + "direction": "output", + "bits": [ 595, 596 ] + }, + "PIPETX6CHARISK": { + "direction": "output", + "bits": [ 597, 598 ] + }, + "PIPETX6EQCONTROL": { + "direction": "output", + "bits": [ 599, 600 ] + }, + "PIPETX6POWERDOWN": { + "direction": "output", + "bits": [ 601, 602 ] + }, + "PIPETX6SYNCHEADER": { + "direction": "output", + "bits": [ 603, 604 ] + }, + "PIPETX7CHARISK": { + "direction": "output", + "bits": [ 605, 606 ] + }, + "PIPETX7EQCONTROL": { + "direction": "output", + "bits": [ 607, 608 ] + }, + "PIPETX7POWERDOWN": { + "direction": "output", + "bits": [ 609, 610 ] + }, + "PIPETX7SYNCHEADER": { + "direction": "output", + "bits": [ 611, 612 ] + }, + "PIPETXRATE": { + "direction": "output", + "bits": [ 613, 614 ] + }, + "PLEQPHASE": { + "direction": "output", + "bits": [ 615, 616 ] + }, + "MAXISCQTDATA": { + "direction": "output", + "bits": [ 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872 ] + }, + "MAXISRCTDATA": { + "direction": "output", + "bits": [ 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128 ] + }, + "CFGCURRENTSPEED": { + "direction": "output", + "bits": [ 1129, 1130, 1131 ] + }, + "CFGMAXPAYLOAD": { + "direction": "output", + "bits": [ 1132, 1133, 1134 ] + }, + "CFGMAXREADREQ": { + "direction": "output", + "bits": [ 1135, 1136, 1137 ] + }, + "CFGTPHFUNCTIONNUM": { + "direction": "output", + "bits": [ 1138, 1139, 1140 ] + }, + "PIPERX0EQPRESET": { + "direction": "output", + "bits": [ 1141, 1142, 1143 ] + }, + "PIPERX1EQPRESET": { + "direction": "output", + "bits": [ 1144, 1145, 1146 ] + }, + "PIPERX2EQPRESET": { + "direction": "output", + "bits": [ 1147, 1148, 1149 ] + }, + "PIPERX3EQPRESET": { + "direction": "output", + "bits": [ 1150, 1151, 1152 ] + }, + "PIPERX4EQPRESET": { + "direction": "output", + "bits": [ 1153, 1154, 1155 ] + }, + "PIPERX5EQPRESET": { + "direction": "output", + "bits": [ 1156, 1157, 1158 ] + }, + "PIPERX6EQPRESET": { + "direction": "output", + "bits": [ 1159, 1160, 1161 ] + }, + "PIPERX7EQPRESET": { + "direction": "output", + "bits": [ 1162, 1163, 1164 ] + }, + "PIPETXMARGIN": { + "direction": "output", + "bits": [ 1165, 1166, 1167 ] + }, + "CFGEXTWRITEDATA": { + "direction": "output", + "bits": [ 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199 ] + }, + "CFGINTERRUPTMSIDATA": { + "direction": "output", + "bits": [ 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231 ] + }, + "CFGMGMTREADDATA": { + "direction": "output", + "bits": [ 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263 ] + }, + "CFGTPHSTTWRITEDATA": { + "direction": "output", + "bits": [ 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295 ] + }, + "PIPETX0DATA": { + "direction": "output", + "bits": [ 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327 ] + }, + "PIPETX1DATA": { + "direction": "output", + "bits": [ 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359 ] + }, + "PIPETX2DATA": { + "direction": "output", + "bits": [ 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391 ] + }, + "PIPETX3DATA": { + "direction": "output", + "bits": [ 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423 ] + }, + "PIPETX4DATA": { + "direction": "output", + "bits": [ 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455 ] + }, + "PIPETX5DATA": { + "direction": "output", + "bits": [ 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487 ] + }, + "PIPETX6DATA": { + "direction": "output", + "bits": [ 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519 ] + }, + "PIPETX7DATA": { + "direction": "output", + "bits": [ 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551 ] + }, + "CFGEXTWRITEBYTEENABLE": { + "direction": "output", + "bits": [ 1552, 1553, 1554, 1555 ] + }, + "CFGNEGOTIATEDWIDTH": { + "direction": "output", + "bits": [ 1556, 1557, 1558, 1559 ] + }, + "CFGTPHSTTWRITEBYTEVALID": { + "direction": "output", + "bits": [ 1560, 1561, 1562, 1563 ] + }, + "MICOMPLETIONRAMREADENABLEL": { + "direction": "output", + "bits": [ 1564, 1565, 1566, 1567 ] + }, + "MICOMPLETIONRAMREADENABLEU": { + "direction": "output", + "bits": [ 1568, 1569, 1570, 1571 ] + }, + "MICOMPLETIONRAMWRITEENABLEL": { + "direction": "output", + "bits": [ 1572, 1573, 1574, 1575 ] + }, + "MICOMPLETIONRAMWRITEENABLEU": { + "direction": "output", + "bits": [ 1576, 1577, 1578, 1579 ] + }, + "MIREQUESTRAMREADENABLE": { + "direction": "output", + "bits": [ 1580, 1581, 1582, 1583 ] + }, + "MIREQUESTRAMWRITEENABLE": { + "direction": "output", + "bits": [ 1584, 1585, 1586, 1587 ] + }, + "PCIERQSEQNUM": { + "direction": "output", + "bits": [ 1588, 1589, 1590, 1591 ] + }, + "PIPERX0EQLPTXPRESET": { + "direction": "output", + "bits": [ 1592, 1593, 1594, 1595 ] + }, + "PIPERX1EQLPTXPRESET": { + "direction": "output", + "bits": [ 1596, 1597, 1598, 1599 ] + }, + "PIPERX2EQLPTXPRESET": { + "direction": "output", + "bits": [ 1600, 1601, 1602, 1603 ] + }, + "PIPERX3EQLPTXPRESET": { + "direction": "output", + "bits": [ 1604, 1605, 1606, 1607 ] + }, + "PIPERX4EQLPTXPRESET": { + "direction": "output", + "bits": [ 1608, 1609, 1610, 1611 ] + }, + "PIPERX5EQLPTXPRESET": { + "direction": "output", + "bits": [ 1612, 1613, 1614, 1615 ] + }, + "PIPERX6EQLPTXPRESET": { + "direction": "output", + "bits": [ 1616, 1617, 1618, 1619 ] + }, + "PIPERX7EQLPTXPRESET": { + "direction": "output", + "bits": [ 1620, 1621, 1622, 1623 ] + }, + "PIPETX0EQPRESET": { + "direction": "output", + "bits": [ 1624, 1625, 1626, 1627 ] + }, + "PIPETX1EQPRESET": { + "direction": "output", + "bits": [ 1628, 1629, 1630, 1631 ] + }, + "PIPETX2EQPRESET": { + "direction": "output", + "bits": [ 1632, 1633, 1634, 1635 ] + }, + "PIPETX3EQPRESET": { + "direction": "output", + "bits": [ 1636, 1637, 1638, 1639 ] + }, + "PIPETX4EQPRESET": { + "direction": "output", + "bits": [ 1640, 1641, 1642, 1643 ] + }, + "PIPETX5EQPRESET": { + "direction": "output", + "bits": [ 1644, 1645, 1646, 1647 ] + }, + "PIPETX6EQPRESET": { + "direction": "output", + "bits": [ 1648, 1649, 1650, 1651 ] + }, + "PIPETX7EQPRESET": { + "direction": "output", + "bits": [ 1652, 1653, 1654, 1655 ] + }, + "SAXISCCTREADY": { + "direction": "output", + "bits": [ 1656, 1657, 1658, 1659 ] + }, + "SAXISRQTREADY": { + "direction": "output", + "bits": [ 1660, 1661, 1662, 1663 ] + }, + "CFGMSGRECEIVEDTYPE": { + "direction": "output", + "bits": [ 1664, 1665, 1666, 1667, 1668 ] + }, + "CFGTPHSTTADDRESS": { + "direction": "output", + "bits": [ 1669, 1670, 1671, 1672, 1673 ] + }, + "CFGFUNCTIONPOWERSTATE": { + "direction": "output", + "bits": [ 1674, 1675, 1676, 1677, 1678, 1679 ] + }, + "CFGINTERRUPTMSIMMENABLE": { + "direction": "output", + "bits": [ 1680, 1681, 1682, 1683, 1684, 1685 ] + }, + "CFGINTERRUPTMSIVFENABLE": { + "direction": "output", + "bits": [ 1686, 1687, 1688, 1689, 1690, 1691 ] + }, + "CFGINTERRUPTMSIXVFENABLE": { + "direction": "output", + "bits": [ 1692, 1693, 1694, 1695, 1696, 1697 ] + }, + "CFGINTERRUPTMSIXVFMASK": { + "direction": "output", + "bits": [ 1698, 1699, 1700, 1701, 1702, 1703 ] + }, + "CFGLTSSMSTATE": { + "direction": "output", + "bits": [ 1704, 1705, 1706, 1707, 1708, 1709 ] + }, + "CFGTPHSTMODE": { + "direction": "output", + "bits": [ 1710, 1711, 1712, 1713, 1714, 1715 ] + }, + "CFGVFFLRINPROCESS": { + "direction": "output", + "bits": [ 1716, 1717, 1718, 1719, 1720, 1721 ] + }, + "CFGVFTPHREQUESTERENABLE": { + "direction": "output", + "bits": [ 1722, 1723, 1724, 1725, 1726, 1727 ] + }, + "PCIECQNPREQCOUNT": { + "direction": "output", + "bits": [ 1728, 1729, 1730, 1731, 1732, 1733 ] + }, + "PCIERQTAG": { + "direction": "output", + "bits": [ 1734, 1735, 1736, 1737, 1738, 1739 ] + }, + "PIPERX0EQLPLFFS": { + "direction": "output", + "bits": [ 1740, 1741, 1742, 1743, 1744, 1745 ] + }, + "PIPERX1EQLPLFFS": { + "direction": "output", + "bits": [ 1746, 1747, 1748, 1749, 1750, 1751 ] + }, + "PIPERX2EQLPLFFS": { + "direction": "output", + "bits": [ 1752, 1753, 1754, 1755, 1756, 1757 ] + }, + "PIPERX3EQLPLFFS": { + "direction": "output", + "bits": [ 1758, 1759, 1760, 1761, 1762, 1763 ] + }, + "PIPERX4EQLPLFFS": { + "direction": "output", + "bits": [ 1764, 1765, 1766, 1767, 1768, 1769 ] + }, + "PIPERX5EQLPLFFS": { + "direction": "output", + "bits": [ 1770, 1771, 1772, 1773, 1774, 1775 ] + }, + "PIPERX6EQLPLFFS": { + "direction": "output", + "bits": [ 1776, 1777, 1778, 1779, 1780, 1781 ] + }, + "PIPERX7EQLPLFFS": { + "direction": "output", + "bits": [ 1782, 1783, 1784, 1785, 1786, 1787 ] + }, + "PIPETX0EQDEEMPH": { + "direction": "output", + "bits": [ 1788, 1789, 1790, 1791, 1792, 1793 ] + }, + "PIPETX1EQDEEMPH": { + "direction": "output", + "bits": [ 1794, 1795, 1796, 1797, 1798, 1799 ] + }, + "PIPETX2EQDEEMPH": { + "direction": "output", + "bits": [ 1800, 1801, 1802, 1803, 1804, 1805 ] + }, + "PIPETX3EQDEEMPH": { + "direction": "output", + "bits": [ 1806, 1807, 1808, 1809, 1810, 1811 ] + }, + "PIPETX4EQDEEMPH": { + "direction": "output", + "bits": [ 1812, 1813, 1814, 1815, 1816, 1817 ] + }, + "PIPETX5EQDEEMPH": { + "direction": "output", + "bits": [ 1818, 1819, 1820, 1821, 1822, 1823 ] + }, + "PIPETX6EQDEEMPH": { + "direction": "output", + "bits": [ 1824, 1825, 1826, 1827, 1828, 1829 ] + }, + "PIPETX7EQDEEMPH": { + "direction": "output", + "bits": [ 1830, 1831, 1832, 1833, 1834, 1835 ] + }, + "MICOMPLETIONRAMWRITEDATAL": { + "direction": "output", + "bits": [ 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907 ] + }, + "MICOMPLETIONRAMWRITEDATAU": { + "direction": "output", + "bits": [ 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979 ] + }, + "MAXISRCTUSER": { + "direction": "output", + "bits": [ 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054 ] + }, + "CFGEXTFUNCTIONNUMBER": { + "direction": "output", + "bits": [ 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062 ] + }, + "CFGFCCPLH": { + "direction": "output", + "bits": [ 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070 ] + }, + "CFGFCNPH": { + "direction": "output", + "bits": [ 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078 ] + }, + "CFGFCPH": { + "direction": "output", + "bits": [ 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086 ] + }, + "CFGFUNCTIONSTATUS": { + "direction": "output", + "bits": [ 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094 ] + }, + "CFGMSGRECEIVEDDATA": { + "direction": "output", + "bits": [ 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102 ] + }, + "MAXISCQTKEEP": { + "direction": "output", + "bits": [ 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ] + }, + "MAXISRCTKEEP": { + "direction": "output", + "bits": [ 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118 ] + }, + "PLGEN3PCSRXSLIDE": { + "direction": "output", + "bits": [ 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ] + }, + "MAXISCQTUSER": { + "direction": "output", + "bits": [ 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211 ] + }, + "MIREPLAYRAMADDRESS": { + "direction": "output", + "bits": [ 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220 ] + }, + "MIREQUESTRAMREADADDRESSA": { + "direction": "output", + "bits": [ 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229 ] + }, + "MIREQUESTRAMREADADDRESSB": { + "direction": "output", + "bits": [ 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238 ] + }, + "MIREQUESTRAMWRITEADDRESSA": { + "direction": "output", + "bits": [ 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247 ] + }, + "MIREQUESTRAMWRITEADDRESSB": { + "direction": "output", + "bits": [ 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256 ] + }, + "CFGEXTREGISTERNUMBER": { + "direction": "output", + "bits": [ 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266 ] + }, + "MICOMPLETIONRAMREADADDRESSAL": { + "direction": "output", + "bits": [ 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276 ] + }, + "MICOMPLETIONRAMREADADDRESSAU": { + "direction": "output", + "bits": [ 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286 ] + }, + "MICOMPLETIONRAMREADADDRESSBL": { + "direction": "output", + "bits": [ 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296 ] + }, + "MICOMPLETIONRAMREADADDRESSBU": { + "direction": "output", + "bits": [ 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306 ] + }, + "MICOMPLETIONRAMWRITEADDRESSAL": { + "direction": "output", + "bits": [ 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316 ] + }, + "MICOMPLETIONRAMWRITEADDRESSAU": { + "direction": "output", + "bits": [ 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326 ] + }, + "MICOMPLETIONRAMWRITEADDRESSBL": { + "direction": "output", + "bits": [ 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336 ] + }, + "MICOMPLETIONRAMWRITEADDRESSBU": { + "direction": "output", + "bits": [ 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346 ] + }, + "CFGCONFIGSPACEENABLE": { + "direction": "input", + "bits": [ 2347 ] + }, + "CFGERRCORIN": { + "direction": "input", + "bits": [ 2348 ] + }, + "CFGERRUNCORIN": { + "direction": "input", + "bits": [ 2349 ] + }, + "CFGEXTREADDATAVALID": { + "direction": "input", + "bits": [ 2350 ] + }, + "CFGHOTRESETIN": { + "direction": "input", + "bits": [ 2351 ] + }, + "CFGINPUTUPDATEREQUEST": { + "direction": "input", + "bits": [ 2352 ] + }, + "CFGINTERRUPTMSITPHPRESENT": { + "direction": "input", + "bits": [ 2353 ] + }, + "CFGINTERRUPTMSIXINT": { + "direction": "input", + "bits": [ 2354 ] + }, + "CFGLINKTRAININGENABLE": { + "direction": "input", + "bits": [ 2355 ] + }, + "CFGMCUPDATEREQUEST": { + "direction": "input", + "bits": [ 2356 ] + }, + "CFGMGMTREAD": { + "direction": "input", + "bits": [ 2357 ] + }, + "CFGMGMTTYPE1CFGREGACCESS": { + "direction": "input", + "bits": [ 2358 ] + }, + "CFGMGMTWRITE": { + "direction": "input", + "bits": [ 2359 ] + }, + "CFGMSGTRANSMIT": { + "direction": "input", + "bits": [ 2360 ] + }, + "CFGPERFUNCTIONOUTPUTREQUEST": { + "direction": "input", + "bits": [ 2361 ] + }, + "CFGPOWERSTATECHANGEACK": { + "direction": "input", + "bits": [ 2362 ] + }, + "CFGREQPMTRANSITIONL23READY": { + "direction": "input", + "bits": [ 2363 ] + }, + "CFGTPHSTTREADDATAVALID": { + "direction": "input", + "bits": [ 2364 ] + }, + "CORECLK": { + "direction": "input", + "bits": [ 2365 ] + }, + "CORECLKMICOMPLETIONRAML": { + "direction": "input", + "bits": [ 2366 ] + }, + "CORECLKMICOMPLETIONRAMU": { + "direction": "input", + "bits": [ 2367 ] + }, + "CORECLKMIREPLAYRAM": { + "direction": "input", + "bits": [ 2368 ] + }, + "CORECLKMIREQUESTRAM": { + "direction": "input", + "bits": [ 2369 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 2370 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 2371 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 2372 ] + }, + "MGMTRESETN": { + "direction": "input", + "bits": [ 2373 ] + }, + "MGMTSTICKYRESETN": { + "direction": "input", + "bits": [ 2374 ] + }, + "PCIECQNPREQ": { + "direction": "input", + "bits": [ 2375 ] + }, + "PIPECLK": { + "direction": "input", + "bits": [ 2376 ] + }, + "PIPERESETN": { + "direction": "input", + "bits": [ 2377 ] + }, + "PIPERX0DATAVALID": { + "direction": "input", + "bits": [ 2378 ] + }, + "PIPERX0ELECIDLE": { + "direction": "input", + "bits": [ 2379 ] + }, + "PIPERX0EQDONE": { + "direction": "input", + "bits": [ 2380 ] + }, + "PIPERX0EQLPADAPTDONE": { + "direction": "input", + "bits": [ 2381 ] + }, + "PIPERX0EQLPLFFSSEL": { + "direction": "input", + "bits": [ 2382 ] + }, + "PIPERX0PHYSTATUS": { + "direction": "input", + "bits": [ 2383 ] + }, + "PIPERX0STARTBLOCK": { + "direction": "input", + "bits": [ 2384 ] + }, + "PIPERX0VALID": { + "direction": "input", + "bits": [ 2385 ] + }, + "PIPERX1DATAVALID": { + "direction": "input", + "bits": [ 2386 ] + }, + "PIPERX1ELECIDLE": { + "direction": "input", + "bits": [ 2387 ] + }, + "PIPERX1EQDONE": { + "direction": "input", + "bits": [ 2388 ] + }, + "PIPERX1EQLPADAPTDONE": { + "direction": "input", + "bits": [ 2389 ] + }, + "PIPERX1EQLPLFFSSEL": { + "direction": "input", + "bits": [ 2390 ] + }, + "PIPERX1PHYSTATUS": { + "direction": "input", + "bits": [ 2391 ] + }, + "PIPERX1STARTBLOCK": { + "direction": "input", + "bits": [ 2392 ] + }, + "PIPERX1VALID": { + "direction": "input", + "bits": [ 2393 ] + }, + "PIPERX2DATAVALID": { + "direction": "input", + "bits": [ 2394 ] + }, + "PIPERX2ELECIDLE": { + "direction": "input", + "bits": [ 2395 ] + }, + "PIPERX2EQDONE": { + "direction": "input", + "bits": [ 2396 ] + }, + "PIPERX2EQLPADAPTDONE": { + "direction": "input", + "bits": [ 2397 ] + }, + "PIPERX2EQLPLFFSSEL": { + "direction": "input", + "bits": [ 2398 ] + }, + "PIPERX2PHYSTATUS": { + "direction": "input", + "bits": [ 2399 ] + }, + "PIPERX2STARTBLOCK": { + "direction": "input", + "bits": [ 2400 ] + }, + "PIPERX2VALID": { + "direction": "input", + "bits": [ 2401 ] + }, + "PIPERX3DATAVALID": { + "direction": "input", + "bits": [ 2402 ] + }, + "PIPERX3ELECIDLE": { + "direction": "input", + "bits": [ 2403 ] + }, + "PIPERX3EQDONE": { + "direction": "input", + "bits": [ 2404 ] + }, + "PIPERX3EQLPADAPTDONE": { + "direction": "input", + "bits": [ 2405 ] + }, + "PIPERX3EQLPLFFSSEL": { + "direction": "input", + "bits": [ 2406 ] + }, + "PIPERX3PHYSTATUS": { + "direction": "input", + "bits": [ 2407 ] + }, + "PIPERX3STARTBLOCK": { + "direction": "input", + "bits": [ 2408 ] + }, + "PIPERX3VALID": { + "direction": "input", + "bits": [ 2409 ] + }, + "PIPERX4DATAVALID": { + "direction": "input", + "bits": [ 2410 ] + }, + "PIPERX4ELECIDLE": { + "direction": "input", + "bits": [ 2411 ] + }, + "PIPERX4EQDONE": { + "direction": "input", + "bits": [ 2412 ] + }, + "PIPERX4EQLPADAPTDONE": { + "direction": "input", + "bits": [ 2413 ] + }, + "PIPERX4EQLPLFFSSEL": { + "direction": "input", + "bits": [ 2414 ] + }, + "PIPERX4PHYSTATUS": { + "direction": "input", + "bits": [ 2415 ] + }, + "PIPERX4STARTBLOCK": { + "direction": "input", + "bits": [ 2416 ] + }, + "PIPERX4VALID": { + "direction": "input", + "bits": [ 2417 ] + }, + "PIPERX5DATAVALID": { + "direction": "input", + "bits": [ 2418 ] + }, + "PIPERX5ELECIDLE": { + "direction": "input", + "bits": [ 2419 ] + }, + "PIPERX5EQDONE": { + "direction": "input", + "bits": [ 2420 ] + }, + "PIPERX5EQLPADAPTDONE": { + "direction": "input", + "bits": [ 2421 ] + }, + "PIPERX5EQLPLFFSSEL": { + "direction": "input", + "bits": [ 2422 ] + }, + "PIPERX5PHYSTATUS": { + "direction": "input", + "bits": [ 2423 ] + }, + "PIPERX5STARTBLOCK": { + "direction": "input", + "bits": [ 2424 ] + }, + "PIPERX5VALID": { + "direction": "input", + "bits": [ 2425 ] + }, + "PIPERX6DATAVALID": { + "direction": "input", + "bits": [ 2426 ] + }, + "PIPERX6ELECIDLE": { + "direction": "input", + "bits": [ 2427 ] + }, + "PIPERX6EQDONE": { + "direction": "input", + "bits": [ 2428 ] + }, + "PIPERX6EQLPADAPTDONE": { + "direction": "input", + "bits": [ 2429 ] + }, + "PIPERX6EQLPLFFSSEL": { + "direction": "input", + "bits": [ 2430 ] + }, + "PIPERX6PHYSTATUS": { + "direction": "input", + "bits": [ 2431 ] + }, + "PIPERX6STARTBLOCK": { + "direction": "input", + "bits": [ 2432 ] + }, + "PIPERX6VALID": { + "direction": "input", + "bits": [ 2433 ] + }, + "PIPERX7DATAVALID": { + "direction": "input", + "bits": [ 2434 ] + }, + "PIPERX7ELECIDLE": { + "direction": "input", + "bits": [ 2435 ] + }, + "PIPERX7EQDONE": { + "direction": "input", + "bits": [ 2436 ] + }, + "PIPERX7EQLPADAPTDONE": { + "direction": "input", + "bits": [ 2437 ] + }, + "PIPERX7EQLPLFFSSEL": { + "direction": "input", + "bits": [ 2438 ] + }, + "PIPERX7PHYSTATUS": { + "direction": "input", + "bits": [ 2439 ] + }, + "PIPERX7STARTBLOCK": { + "direction": "input", + "bits": [ 2440 ] + }, + "PIPERX7VALID": { + "direction": "input", + "bits": [ 2441 ] + }, + "PIPETX0EQDONE": { + "direction": "input", + "bits": [ 2442 ] + }, + "PIPETX1EQDONE": { + "direction": "input", + "bits": [ 2443 ] + }, + "PIPETX2EQDONE": { + "direction": "input", + "bits": [ 2444 ] + }, + "PIPETX3EQDONE": { + "direction": "input", + "bits": [ 2445 ] + }, + "PIPETX4EQDONE": { + "direction": "input", + "bits": [ 2446 ] + }, + "PIPETX5EQDONE": { + "direction": "input", + "bits": [ 2447 ] + }, + "PIPETX6EQDONE": { + "direction": "input", + "bits": [ 2448 ] + }, + "PIPETX7EQDONE": { + "direction": "input", + "bits": [ 2449 ] + }, + "PLDISABLESCRAMBLER": { + "direction": "input", + "bits": [ 2450 ] + }, + "PLEQRESETEIEOSCOUNT": { + "direction": "input", + "bits": [ 2451 ] + }, + "PLGEN3PCSDISABLE": { + "direction": "input", + "bits": [ 2452 ] + }, + "RECCLK": { + "direction": "input", + "bits": [ 2453 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 2454 ] + }, + "SAXISCCTLAST": { + "direction": "input", + "bits": [ 2455 ] + }, + "SAXISCCTVALID": { + "direction": "input", + "bits": [ 2456 ] + }, + "SAXISRQTLAST": { + "direction": "input", + "bits": [ 2457 ] + }, + "SAXISRQTVALID": { + "direction": "input", + "bits": [ 2458 ] + }, + "USERCLK": { + "direction": "input", + "bits": [ 2459 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470 ] + }, + "MICOMPLETIONRAMREADDATA": { + "direction": "input", + "bits": [ 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614 ] + }, + "MIREPLAYRAMREADDATA": { + "direction": "input", + "bits": [ 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758 ] + }, + "MIREQUESTRAMREADDATA": { + "direction": "input", + "bits": [ 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902 ] + }, + "CFGDEVID": { + "direction": "input", + "bits": [ 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918 ] + }, + "CFGSUBSYSID": { + "direction": "input", + "bits": [ 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934 ] + }, + "CFGSUBSYSVENDID": { + "direction": "input", + "bits": [ 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950 ] + }, + "CFGVENDID": { + "direction": "input", + "bits": [ 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982 ] + }, + "PIPERX0EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000 ] + }, + "PIPERX1EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018 ] + }, + "PIPERX2EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036 ] + }, + "PIPERX3EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054 ] + }, + "PIPERX4EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072 ] + }, + "PIPERX5EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090 ] + }, + "PIPERX6EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108 ] + }, + "PIPERX7EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126 ] + }, + "PIPETX0EQCOEFF": { + "direction": "input", + "bits": [ 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144 ] + }, + "PIPETX1EQCOEFF": { + "direction": "input", + "bits": [ 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162 ] + }, + "PIPETX2EQCOEFF": { + "direction": "input", + "bits": [ 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180 ] + }, + "PIPETX3EQCOEFF": { + "direction": "input", + "bits": [ 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198 ] + }, + "PIPETX4EQCOEFF": { + "direction": "input", + "bits": [ 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216 ] + }, + "PIPETX5EQCOEFF": { + "direction": "input", + "bits": [ 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234 ] + }, + "PIPETX6EQCOEFF": { + "direction": "input", + "bits": [ 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252 ] + }, + "PIPETX7EQCOEFF": { + "direction": "input", + "bits": [ 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270 ] + }, + "CFGMGMTADDR": { + "direction": "input", + "bits": [ 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289 ] + }, + "CFGFLRDONE": { + "direction": "input", + "bits": [ 3290, 3291 ] + }, + "CFGINTERRUPTMSITPHTYPE": { + "direction": "input", + "bits": [ 3292, 3293 ] + }, + "CFGINTERRUPTPENDING": { + "direction": "input", + "bits": [ 3294, 3295 ] + }, + "PIPERX0CHARISK": { + "direction": "input", + "bits": [ 3296, 3297 ] + }, + "PIPERX0SYNCHEADER": { + "direction": "input", + "bits": [ 3298, 3299 ] + }, + "PIPERX1CHARISK": { + "direction": "input", + "bits": [ 3300, 3301 ] + }, + "PIPERX1SYNCHEADER": { + "direction": "input", + "bits": [ 3302, 3303 ] + }, + "PIPERX2CHARISK": { + "direction": "input", + "bits": [ 3304, 3305 ] + }, + "PIPERX2SYNCHEADER": { + "direction": "input", + "bits": [ 3306, 3307 ] + }, + "PIPERX3CHARISK": { + "direction": "input", + "bits": [ 3308, 3309 ] + }, + "PIPERX3SYNCHEADER": { + "direction": "input", + "bits": [ 3310, 3311 ] + }, + "PIPERX4CHARISK": { + "direction": "input", + "bits": [ 3312, 3313 ] + }, + "PIPERX4SYNCHEADER": { + "direction": "input", + "bits": [ 3314, 3315 ] + }, + "PIPERX5CHARISK": { + "direction": "input", + "bits": [ 3316, 3317 ] + }, + "PIPERX5SYNCHEADER": { + "direction": "input", + "bits": [ 3318, 3319 ] + }, + "PIPERX6CHARISK": { + "direction": "input", + "bits": [ 3320, 3321 ] + }, + "PIPERX6SYNCHEADER": { + "direction": "input", + "bits": [ 3322, 3323 ] + }, + "PIPERX7CHARISK": { + "direction": "input", + "bits": [ 3324, 3325 ] + }, + "PIPERX7SYNCHEADER": { + "direction": "input", + "bits": [ 3326, 3327 ] + }, + "MAXISCQTREADY": { + "direction": "input", + "bits": [ 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349 ] + }, + "MAXISRCTREADY": { + "direction": "input", + "bits": [ 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371 ] + }, + "SAXISCCTDATA": { + "direction": "input", + "bits": [ 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627 ] + }, + "SAXISRQTDATA": { + "direction": "input", + "bits": [ 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883 ] + }, + "CFGDSFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 3884, 3885, 3886 ] + }, + "CFGFCSEL": { + "direction": "input", + "bits": [ 3887, 3888, 3889 ] + }, + "CFGINTERRUPTMSIATTR": { + "direction": "input", + "bits": [ 3890, 3891, 3892 ] + }, + "CFGINTERRUPTMSIFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 3893, 3894, 3895 ] + }, + "CFGMSGTRANSMITTYPE": { + "direction": "input", + "bits": [ 3896, 3897, 3898 ] + }, + "CFGPERFUNCSTATUSCONTROL": { + "direction": "input", + "bits": [ 3899, 3900, 3901 ] + }, + "CFGPERFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 3902, 3903, 3904 ] + }, + "PIPERX0STATUS": { + "direction": "input", + "bits": [ 3905, 3906, 3907 ] + }, + "PIPERX1STATUS": { + "direction": "input", + "bits": [ 3908, 3909, 3910 ] + }, + "PIPERX2STATUS": { + "direction": "input", + "bits": [ 3911, 3912, 3913 ] + }, + "PIPERX3STATUS": { + "direction": "input", + "bits": [ 3914, 3915, 3916 ] + }, + "PIPERX4STATUS": { + "direction": "input", + "bits": [ 3917, 3918, 3919 ] + }, + "PIPERX5STATUS": { + "direction": "input", + "bits": [ 3920, 3921, 3922 ] + }, + "PIPERX6STATUS": { + "direction": "input", + "bits": [ 3923, 3924, 3925 ] + }, + "PIPERX7STATUS": { + "direction": "input", + "bits": [ 3926, 3927, 3928 ] + }, + "CFGEXTREADDATA": { + "direction": "input", + "bits": [ 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960 ] + }, + "CFGINTERRUPTMSIINT": { + "direction": "input", + "bits": [ 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992 ] + }, + "CFGINTERRUPTMSIXDATA": { + "direction": "input", + "bits": [ 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024 ] + }, + "CFGMGMTWRITEDATA": { + "direction": "input", + "bits": [ 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056 ] + }, + "CFGMSGTRANSMITDATA": { + "direction": "input", + "bits": [ 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088 ] + }, + "CFGTPHSTTREADDATA": { + "direction": "input", + "bits": [ 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120 ] + }, + "PIPERX0DATA": { + "direction": "input", + "bits": [ 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152 ] + }, + "PIPERX1DATA": { + "direction": "input", + "bits": [ 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184 ] + }, + "PIPERX2DATA": { + "direction": "input", + "bits": [ 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216 ] + }, + "PIPERX3DATA": { + "direction": "input", + "bits": [ 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248 ] + }, + "PIPERX4DATA": { + "direction": "input", + "bits": [ 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280 ] + }, + "PIPERX5DATA": { + "direction": "input", + "bits": [ 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312 ] + }, + "PIPERX6DATA": { + "direction": "input", + "bits": [ 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344 ] + }, + "PIPERX7DATA": { + "direction": "input", + "bits": [ 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376 ] + }, + "SAXISCCTUSER": { + "direction": "input", + "bits": [ 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409 ] + }, + "CFGINTERRUPTINT": { + "direction": "input", + "bits": [ 4410, 4411, 4412, 4413 ] + }, + "CFGINTERRUPTMSISELECT": { + "direction": "input", + "bits": [ 4414, 4415, 4416, 4417 ] + }, + "CFGMGMTBYTEENABLE": { + "direction": "input", + "bits": [ 4418, 4419, 4420, 4421 ] + }, + "CFGDSDEVICENUMBER": { + "direction": "input", + "bits": [ 4422, 4423, 4424, 4425, 4426 ] + }, + "SAXISRQTUSER": { + "direction": "input", + "bits": [ 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486 ] + }, + "CFGVFFLRDONE": { + "direction": "input", + "bits": [ 4487, 4488, 4489, 4490, 4491, 4492 ] + }, + "PIPEEQFS": { + "direction": "input", + "bits": [ 4493, 4494, 4495, 4496, 4497, 4498 ] + }, + "PIPEEQLF": { + "direction": "input", + "bits": [ 4499, 4500, 4501, 4502, 4503, 4504 ] + }, + "CFGDSN": { + "direction": "input", + "bits": [ 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUS": { + "direction": "input", + "bits": [ 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632 ] + }, + "CFGINTERRUPTMSIXADDRESS": { + "direction": "input", + "bits": [ 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696 ] + }, + "CFGDSBUSNUMBER": { + "direction": "input", + "bits": [ 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704 ] + }, + "CFGDSPORTNUMBER": { + "direction": "input", + "bits": [ 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712 ] + }, + "CFGREVID": { + "direction": "input", + "bits": [ 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720 ] + }, + "PLGEN3PCSRXSYNCDONE": { + "direction": "input", + "bits": [ 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728 ] + }, + "SAXISCCTKEEP": { + "direction": "input", + "bits": [ 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736 ] + }, + "SAXISRQTKEEP": { + "direction": "input", + "bits": [ 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744 ] + }, + "CFGINTERRUPTMSITPHSTTAG": { + "direction": "input", + "bits": [ 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCONFIGSPACEENABLE": { + "hide_name": 0, + "bits": [ 2347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22522.11-22522.31" + } + }, + "CFGCURRENTSPEED": { + "hide_name": 0, + "bits": [ 1129, 1130, 1131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22413.18-22413.33" + } + }, + "CFGDEVID": { + "hide_name": 0, + "bits": [ 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22639.18-22639.26" + } + }, + "CFGDPASUBSTATECHANGE": { + "hide_name": 0, + "bits": [ 503, 504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22354.18-22354.38" + } + }, + "CFGDSBUSNUMBER": { + "hide_name": 0, + "bits": [ 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22725.17-22725.31" + } + }, + "CFGDSDEVICENUMBER": { + "hide_name": 0, + "bits": [ 4422, 4423, 4424, 4425, 4426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22717.17-22717.34" + } + }, + "CFGDSFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 3884, 3885, 3886 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22684.17-22684.36" + } + }, + "CFGDSN": { + "hide_name": 0, + "bits": [ 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22722.18-22722.24" + } + }, + "CFGDSPORTNUMBER": { + "hide_name": 0, + "bits": [ 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22726.17-22726.32" + } + }, + "CFGERRCORIN": { + "hide_name": 0, + "bits": [ 2348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22523.11-22523.22" + } + }, + "CFGERRCOROUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22262.12-22262.24" + } + }, + "CFGERRFATALOUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22263.12-22263.26" + } + }, + "CFGERRNONFATALOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22264.12-22264.29" + } + }, + "CFGERRUNCORIN": { + "hide_name": 0, + "bits": [ 2349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22524.11-22524.24" + } + }, + "CFGEXTFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22498.18-22498.38" + } + }, + "CFGEXTREADDATA": { + "hide_name": 0, + "bits": [ 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22699.18-22699.32" + } + }, + "CFGEXTREADDATAVALID": { + "hide_name": 0, + "bits": [ 2350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22525.11-22525.30" + } + }, + "CFGEXTREADRECEIVED": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22265.12-22265.30" + } + }, + "CFGEXTREGISTERNUMBER": { + "hide_name": 0, + "bits": [ 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22513.18-22513.38" + } + }, + "CFGEXTWRITEBYTEENABLE": { + "hide_name": 0, + "bits": [ 1552, 1553, 1554, 1555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22438.18-22438.39" + } + }, + "CFGEXTWRITEDATA": { + "hide_name": 0, + "bits": [ 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22426.19-22426.34" + } + }, + "CFGEXTWRITERECEIVED": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22266.12-22266.31" + } + }, + "CFGFCCPLD": { + "hide_name": 0, + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22343.19-22343.28" + } + }, + "CFGFCCPLH": { + "hide_name": 0, + "bits": [ 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22499.18-22499.27" + } + }, + "CFGFCNPD": { + "hide_name": 0, + "bits": [ 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22344.19-22344.27" + } + }, + "CFGFCNPH": { + "hide_name": 0, + "bits": [ 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22500.18-22500.26" + } + }, + "CFGFCPD": { + "hide_name": 0, + "bits": [ 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22345.19-22345.26" + } + }, + "CFGFCPH": { + "hide_name": 0, + "bits": [ 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22501.18-22501.25" + } + }, + "CFGFCSEL": { + "hide_name": 0, + "bits": [ 3887, 3888, 3889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22685.17-22685.25" + } + }, + "CFGFLRDONE": { + "hide_name": 0, + "bits": [ 3290, 3291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22661.17-22661.27" + } + }, + "CFGFLRINPROCESS": { + "hide_name": 0, + "bits": [ 505, 506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22355.18-22355.33" + } + }, + "CFGFUNCTIONPOWERSTATE": { + "hide_name": 0, + "bits": [ 1674, 1675, 1676, 1677, 1678, 1679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22468.18-22468.39" + } + }, + "CFGFUNCTIONSTATUS": { + "hide_name": 0, + "bits": [ 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22502.18-22502.35" + } + }, + "CFGHOTRESETIN": { + "hide_name": 0, + "bits": [ 2351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22526.11-22526.24" + } + }, + "CFGHOTRESETOUT": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22267.12-22267.26" + } + }, + "CFGINPUTUPDATEDONE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22268.12-22268.30" + } + }, + "CFGINPUTUPDATEREQUEST": { + "hide_name": 0, + "bits": [ 2352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22527.11-22527.32" + } + }, + "CFGINTERRUPTAOUTPUT": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22269.12-22269.31" + } + }, + "CFGINTERRUPTBOUTPUT": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22270.12-22270.31" + } + }, + "CFGINTERRUPTCOUTPUT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22271.12-22271.31" + } + }, + "CFGINTERRUPTDOUTPUT": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22272.12-22272.31" + } + }, + "CFGINTERRUPTINT": { + "hide_name": 0, + "bits": [ 4410, 4411, 4412, 4413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22714.17-22714.32" + } + }, + "CFGINTERRUPTMSIATTR": { + "hide_name": 0, + "bits": [ 3890, 3891, 3892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22686.17-22686.36" + } + }, + "CFGINTERRUPTMSIDATA": { + "hide_name": 0, + "bits": [ 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22427.19-22427.38" + } + }, + "CFGINTERRUPTMSIENABLE": { + "hide_name": 0, + "bits": [ 507, 508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22356.18-22356.39" + } + }, + "CFGINTERRUPTMSIFAIL": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22273.12-22273.31" + } + }, + "CFGINTERRUPTMSIFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 3893, 3894, 3895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22687.17-22687.46" + } + }, + "CFGINTERRUPTMSIINT": { + "hide_name": 0, + "bits": [ 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22700.18-22700.36" + } + }, + "CFGINTERRUPTMSIMASKUPDATE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22274.12-22274.37" + } + }, + "CFGINTERRUPTMSIMMENABLE": { + "hide_name": 0, + "bits": [ 1680, 1681, 1682, 1683, 1684, 1685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22469.18-22469.41" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUS": { + "hide_name": 0, + "bits": [ 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22723.18-22723.46" + } + }, + "CFGINTERRUPTMSISELECT": { + "hide_name": 0, + "bits": [ 4414, 4415, 4416, 4417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22715.17-22715.38" + } + }, + "CFGINTERRUPTMSISENT": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22275.12-22275.31" + } + }, + "CFGINTERRUPTMSITPHPRESENT": { + "hide_name": 0, + "bits": [ 2353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22528.11-22528.36" + } + }, + "CFGINTERRUPTMSITPHSTTAG": { + "hide_name": 0, + "bits": [ 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22731.17-22731.40" + } + }, + "CFGINTERRUPTMSITPHTYPE": { + "hide_name": 0, + "bits": [ 3292, 3293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22662.17-22662.39" + } + }, + "CFGINTERRUPTMSIVFENABLE": { + "hide_name": 0, + "bits": [ 1686, 1687, 1688, 1689, 1690, 1691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22470.18-22470.41" + } + }, + "CFGINTERRUPTMSIXADDRESS": { + "hide_name": 0, + "bits": [ 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22724.18-22724.41" + } + }, + "CFGINTERRUPTMSIXDATA": { + "hide_name": 0, + "bits": [ 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22701.18-22701.38" + } + }, + "CFGINTERRUPTMSIXENABLE": { + "hide_name": 0, + "bits": [ 509, 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22357.18-22357.40" + } + }, + "CFGINTERRUPTMSIXFAIL": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22276.12-22276.32" + } + }, + "CFGINTERRUPTMSIXINT": { + "hide_name": 0, + "bits": [ 2354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22529.11-22529.30" + } + }, + "CFGINTERRUPTMSIXMASK": { + "hide_name": 0, + "bits": [ 511, 512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22358.18-22358.38" + } + }, + "CFGINTERRUPTMSIXSENT": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22277.12-22277.32" + } + }, + "CFGINTERRUPTMSIXVFENABLE": { + "hide_name": 0, + "bits": [ 1692, 1693, 1694, 1695, 1696, 1697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22471.18-22471.42" + } + }, + "CFGINTERRUPTMSIXVFMASK": { + "hide_name": 0, + "bits": [ 1698, 1699, 1700, 1701, 1702, 1703 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22472.18-22472.40" + } + }, + "CFGINTERRUPTPENDING": { + "hide_name": 0, + "bits": [ 3294, 3295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22663.17-22663.36" + } + }, + "CFGINTERRUPTSENT": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22278.12-22278.28" + } + }, + "CFGLINKPOWERSTATE": { + "hide_name": 0, + "bits": [ 513, 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22359.18-22359.35" + } + }, + "CFGLINKTRAININGENABLE": { + "hide_name": 0, + "bits": [ 2355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22530.11-22530.32" + } + }, + "CFGLOCALERROR": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22279.12-22279.25" + } + }, + "CFGLTRENABLE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22280.12-22280.24" + } + }, + "CFGLTSSMSTATE": { + "hide_name": 0, + "bits": [ 1704, 1705, 1706, 1707, 1708, 1709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22473.18-22473.31" + } + }, + "CFGMAXPAYLOAD": { + "hide_name": 0, + "bits": [ 1132, 1133, 1134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22414.18-22414.31" + } + }, + "CFGMAXREADREQ": { + "hide_name": 0, + "bits": [ 1135, 1136, 1137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22415.18-22415.31" + } + }, + "CFGMCUPDATEDONE": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22281.12-22281.27" + } + }, + "CFGMCUPDATEREQUEST": { + "hide_name": 0, + "bits": [ 2356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22531.11-22531.29" + } + }, + "CFGMGMTADDR": { + "hide_name": 0, + "bits": [ 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22660.18-22660.29" + } + }, + "CFGMGMTBYTEENABLE": { + "hide_name": 0, + "bits": [ 4418, 4419, 4420, 4421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22716.17-22716.34" + } + }, + "CFGMGMTREAD": { + "hide_name": 0, + "bits": [ 2357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22532.11-22532.22" + } + }, + "CFGMGMTREADDATA": { + "hide_name": 0, + "bits": [ 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22428.19-22428.34" + } + }, + "CFGMGMTREADWRITEDONE": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22282.12-22282.32" + } + }, + "CFGMGMTTYPE1CFGREGACCESS": { + "hide_name": 0, + "bits": [ 2358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22533.11-22533.35" + } + }, + "CFGMGMTWRITE": { + "hide_name": 0, + "bits": [ 2359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22534.11-22534.23" + } + }, + "CFGMGMTWRITEDATA": { + "hide_name": 0, + "bits": [ 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22702.18-22702.34" + } + }, + "CFGMSGRECEIVED": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22283.12-22283.26" + } + }, + "CFGMSGRECEIVEDDATA": { + "hide_name": 0, + "bits": [ 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22503.18-22503.36" + } + }, + "CFGMSGRECEIVEDTYPE": { + "hide_name": 0, + "bits": [ 1664, 1665, 1666, 1667, 1668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22466.18-22466.36" + } + }, + "CFGMSGTRANSMIT": { + "hide_name": 0, + "bits": [ 2360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22535.11-22535.25" + } + }, + "CFGMSGTRANSMITDATA": { + "hide_name": 0, + "bits": [ 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22703.18-22703.36" + } + }, + "CFGMSGTRANSMITDONE": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22284.12-22284.30" + } + }, + "CFGMSGTRANSMITTYPE": { + "hide_name": 0, + "bits": [ 3896, 3897, 3898 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22688.17-22688.35" + } + }, + "CFGNEGOTIATEDWIDTH": { + "hide_name": 0, + "bits": [ 1556, 1557, 1558, 1559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22439.18-22439.36" + } + }, + "CFGOBFFENABLE": { + "hide_name": 0, + "bits": [ 515, 516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22360.18-22360.31" + } + }, + "CFGPERFUNCSTATUSCONTROL": { + "hide_name": 0, + "bits": [ 3899, 3900, 3901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22689.17-22689.40" + } + }, + "CFGPERFUNCSTATUSDATA": { + "hide_name": 0, + "bits": [ 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22349.19-22349.39" + } + }, + "CFGPERFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 3902, 3903, 3904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22690.17-22690.37" + } + }, + "CFGPERFUNCTIONOUTPUTREQUEST": { + "hide_name": 0, + "bits": [ 2361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22536.11-22536.38" + } + }, + "CFGPERFUNCTIONUPDATEDONE": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22285.12-22285.36" + } + }, + "CFGPHYLINKDOWN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22286.12-22286.26" + } + }, + "CFGPHYLINKSTATUS": { + "hide_name": 0, + "bits": [ 517, 518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22361.18-22361.34" + } + }, + "CFGPLSTATUSCHANGE": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22287.12-22287.29" + } + }, + "CFGPOWERSTATECHANGEACK": { + "hide_name": 0, + "bits": [ 2362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22537.11-22537.33" + } + }, + "CFGPOWERSTATECHANGEINTERRUPT": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22288.12-22288.40" + } + }, + "CFGRCBSTATUS": { + "hide_name": 0, + "bits": [ 519, 520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22362.18-22362.30" + } + }, + "CFGREQPMTRANSITIONL23READY": { + "hide_name": 0, + "bits": [ 2363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22538.11-22538.37" + } + }, + "CFGREVID": { + "hide_name": 0, + "bits": [ 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22727.17-22727.25" + } + }, + "CFGSUBSYSID": { + "hide_name": 0, + "bits": [ 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22640.18-22640.29" + } + }, + "CFGSUBSYSVENDID": { + "hide_name": 0, + "bits": [ 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22641.18-22641.33" + } + }, + "CFGTPHFUNCTIONNUM": { + "hide_name": 0, + "bits": [ 1138, 1139, 1140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22416.18-22416.35" + } + }, + "CFGTPHREQUESTERENABLE": { + "hide_name": 0, + "bits": [ 521, 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22363.18-22363.39" + } + }, + "CFGTPHSTMODE": { + "hide_name": 0, + "bits": [ 1710, 1711, 1712, 1713, 1714, 1715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22474.18-22474.30" + } + }, + "CFGTPHSTTADDRESS": { + "hide_name": 0, + "bits": [ 1669, 1670, 1671, 1672, 1673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22467.18-22467.34" + } + }, + "CFGTPHSTTREADDATA": { + "hide_name": 0, + "bits": [ 4089, 4090, 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22704.18-22704.35" + } + }, + "CFGTPHSTTREADDATAVALID": { + "hide_name": 0, + "bits": [ 2364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22539.11-22539.33" + } + }, + "CFGTPHSTTREADENABLE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22289.12-22289.31" + } + }, + "CFGTPHSTTWRITEBYTEVALID": { + "hide_name": 0, + "bits": [ 1560, 1561, 1562, 1563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22440.18-22440.41" + } + }, + "CFGTPHSTTWRITEDATA": { + "hide_name": 0, + "bits": [ 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22429.19-22429.37" + } + }, + "CFGTPHSTTWRITEENABLE": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22290.12-22290.32" + } + }, + "CFGVENDID": { + "hide_name": 0, + "bits": [ 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22642.18-22642.27" + } + }, + "CFGVFFLRDONE": { + "hide_name": 0, + "bits": [ 4487, 4488, 4489, 4490, 4491, 4492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22719.17-22719.29" + } + }, + "CFGVFFLRINPROCESS": { + "hide_name": 0, + "bits": [ 1716, 1717, 1718, 1719, 1720, 1721 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22475.18-22475.35" + } + }, + "CFGVFPOWERSTATE": { + "hide_name": 0, + "bits": [ 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22352.19-22352.34" + } + }, + "CFGVFSTATUS": { + "hide_name": 0, + "bits": [ 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22346.19-22346.30" + } + }, + "CFGVFTPHREQUESTERENABLE": { + "hide_name": 0, + "bits": [ 1722, 1723, 1724, 1725, 1726, 1727 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22476.18-22476.41" + } + }, + "CFGVFTPHSTMODE": { + "hide_name": 0, + "bits": [ 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22353.19-22353.33" + } + }, + "CORECLK": { + "hide_name": 0, + "bits": [ 2365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22540.11-22540.18" + } + }, + "CORECLKMICOMPLETIONRAML": { + "hide_name": 0, + "bits": [ 2366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22541.11-22541.34" + } + }, + "CORECLKMICOMPLETIONRAMU": { + "hide_name": 0, + "bits": [ 2367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22542.11-22542.34" + } + }, + "CORECLKMIREPLAYRAM": { + "hide_name": 0, + "bits": [ 2368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22543.11-22543.29" + } + }, + "CORECLKMIREQUESTRAM": { + "hide_name": 0, + "bits": [ 2369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22544.11-22544.30" + } + }, + "DBGDATAOUT": { + "hide_name": 0, + "bits": [ 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22350.19-22350.29" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22635.18-22635.25" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 2370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22545.11-22545.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22643.18-22643.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22351.19-22351.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 2371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22546.11-22546.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22291.12-22291.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 2372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22547.11-22547.16" + } + }, + "MAXISCQTDATA": { + "hide_name": 0, + "bits": [ 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22411.20-22411.32" + } + }, + "MAXISCQTKEEP": { + "hide_name": 0, + "bits": [ 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22504.18-22504.30" + } + }, + "MAXISCQTLAST": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22292.12-22292.24" + } + }, + "MAXISCQTREADY": { + "hide_name": 0, + "bits": [ 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22680.18-22680.31" + } + }, + "MAXISCQTUSER": { + "hide_name": 0, + "bits": [ 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22507.19-22507.31" + } + }, + "MAXISCQTVALID": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22293.12-22293.25" + } + }, + "MAXISRCTDATA": { + "hide_name": 0, + "bits": [ 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22412.20-22412.32" + } + }, + "MAXISRCTKEEP": { + "hide_name": 0, + "bits": [ 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22505.18-22505.30" + } + }, + "MAXISRCTLAST": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22294.12-22294.24" + } + }, + "MAXISRCTREADY": { + "hide_name": 0, + "bits": [ 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22681.18-22681.31" + } + }, + "MAXISRCTUSER": { + "hide_name": 0, + "bits": [ 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22497.19-22497.31" + } + }, + "MAXISRCTVALID": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22295.12-22295.25" + } + }, + "MGMTRESETN": { + "hide_name": 0, + "bits": [ 2373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22548.11-22548.21" + } + }, + "MGMTSTICKYRESETN": { + "hide_name": 0, + "bits": [ 2374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22549.11-22549.27" + } + }, + "MICOMPLETIONRAMREADADDRESSAL": { + "hide_name": 0, + "bits": [ 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22514.18-22514.46" + } + }, + "MICOMPLETIONRAMREADADDRESSAU": { + "hide_name": 0, + "bits": [ 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22515.18-22515.46" + } + }, + "MICOMPLETIONRAMREADADDRESSBL": { + "hide_name": 0, + "bits": [ 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22516.18-22516.46" + } + }, + "MICOMPLETIONRAMREADADDRESSBU": { + "hide_name": 0, + "bits": [ 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22517.18-22517.46" + } + }, + "MICOMPLETIONRAMREADDATA": { + "hide_name": 0, + "bits": [ 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22636.19-22636.42" + } + }, + "MICOMPLETIONRAMREADENABLEL": { + "hide_name": 0, + "bits": [ 1564, 1565, 1566, 1567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22441.18-22441.44" + } + }, + "MICOMPLETIONRAMREADENABLEU": { + "hide_name": 0, + "bits": [ 1568, 1569, 1570, 1571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22442.18-22442.44" + } + }, + "MICOMPLETIONRAMWRITEADDRESSAL": { + "hide_name": 0, + "bits": [ 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22518.18-22518.47" + } + }, + "MICOMPLETIONRAMWRITEADDRESSAU": { + "hide_name": 0, + "bits": [ 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22519.18-22519.47" + } + }, + "MICOMPLETIONRAMWRITEADDRESSBL": { + "hide_name": 0, + "bits": [ 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22520.18-22520.47" + } + }, + "MICOMPLETIONRAMWRITEADDRESSBU": { + "hide_name": 0, + "bits": [ 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22521.18-22521.47" + } + }, + "MICOMPLETIONRAMWRITEDATAL": { + "hide_name": 0, + "bits": [ 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22495.19-22495.44" + } + }, + "MICOMPLETIONRAMWRITEDATAU": { + "hide_name": 0, + "bits": [ 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22496.19-22496.44" + } + }, + "MICOMPLETIONRAMWRITEENABLEL": { + "hide_name": 0, + "bits": [ 1572, 1573, 1574, 1575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22443.18-22443.45" + } + }, + "MICOMPLETIONRAMWRITEENABLEU": { + "hide_name": 0, + "bits": [ 1576, 1577, 1578, 1579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22444.18-22444.45" + } + }, + "MIREPLAYRAMADDRESS": { + "hide_name": 0, + "bits": [ 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22508.18-22508.36" + } + }, + "MIREPLAYRAMREADDATA": { + "hide_name": 0, + "bits": [ 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623, 2624, 2625, 2626, 2627, 2628, 2629, 2630, 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638, 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22637.19-22637.38" + } + }, + "MIREPLAYRAMREADENABLE": { + "hide_name": 0, + "bits": [ 523, 524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22364.18-22364.39" + } + }, + "MIREPLAYRAMWRITEDATA": { + "hide_name": 0, + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22347.20-22347.40" + } + }, + "MIREPLAYRAMWRITEENABLE": { + "hide_name": 0, + "bits": [ 525, 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22365.18-22365.40" + } + }, + "MIREQUESTRAMREADADDRESSA": { + "hide_name": 0, + "bits": [ 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22509.18-22509.42" + } + }, + "MIREQUESTRAMREADADDRESSB": { + "hide_name": 0, + "bits": [ 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22510.18-22510.42" + } + }, + "MIREQUESTRAMREADDATA": { + "hide_name": 0, + "bits": [ 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2776, 2777, 2778, 2779, 2780, 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812, 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22638.19-22638.39" + } + }, + "MIREQUESTRAMREADENABLE": { + "hide_name": 0, + "bits": [ 1580, 1581, 1582, 1583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22445.18-22445.40" + } + }, + "MIREQUESTRAMWRITEADDRESSA": { + "hide_name": 0, + "bits": [ 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22511.18-22511.43" + } + }, + "MIREQUESTRAMWRITEADDRESSB": { + "hide_name": 0, + "bits": [ 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22512.18-22512.43" + } + }, + "MIREQUESTRAMWRITEDATA": { + "hide_name": 0, + "bits": [ 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22348.20-22348.41" + } + }, + "MIREQUESTRAMWRITEENABLE": { + "hide_name": 0, + "bits": [ 1584, 1585, 1586, 1587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22446.18-22446.41" + } + }, + "PCIECQNPREQ": { + "hide_name": 0, + "bits": [ 2375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22550.11-22550.22" + } + }, + "PCIECQNPREQCOUNT": { + "hide_name": 0, + "bits": [ 1728, 1729, 1730, 1731, 1732, 1733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22477.18-22477.34" + } + }, + "PCIERQSEQNUM": { + "hide_name": 0, + "bits": [ 1588, 1589, 1590, 1591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22447.18-22447.30" + } + }, + "PCIERQSEQNUMVLD": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22296.12-22296.27" + } + }, + "PCIERQTAG": { + "hide_name": 0, + "bits": [ 1734, 1735, 1736, 1737, 1738, 1739 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22478.18-22478.27" + } + }, + "PCIERQTAGAV": { + "hide_name": 0, + "bits": [ 527, 528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22366.18-22366.29" + } + }, + "PCIERQTAGVLD": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22297.12-22297.24" + } + }, + "PCIETFCNPDAV": { + "hide_name": 0, + "bits": [ 529, 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22367.18-22367.30" + } + }, + "PCIETFCNPHAV": { + "hide_name": 0, + "bits": [ 531, 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22368.18-22368.30" + } + }, + "PIPECLK": { + "hide_name": 0, + "bits": [ 2376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22551.11-22551.18" + } + }, + "PIPEEQFS": { + "hide_name": 0, + "bits": [ 4493, 4494, 4495, 4496, 4497, 4498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22720.17-22720.25" + } + }, + "PIPEEQLF": { + "hide_name": 0, + "bits": [ 4499, 4500, 4501, 4502, 4503, 4504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22721.17-22721.25" + } + }, + "PIPERESETN": { + "hide_name": 0, + "bits": [ 2377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22552.11-22552.21" + } + }, + "PIPERX0CHARISK": { + "hide_name": 0, + "bits": [ 3296, 3297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22664.17-22664.31" + } + }, + "PIPERX0DATA": { + "hide_name": 0, + "bits": [ 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22705.18-22705.29" + } + }, + "PIPERX0DATAVALID": { + "hide_name": 0, + "bits": [ 2378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22553.11-22553.27" + } + }, + "PIPERX0ELECIDLE": { + "hide_name": 0, + "bits": [ 2379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22554.11-22554.26" + } + }, + "PIPERX0EQCONTROL": { + "hide_name": 0, + "bits": [ 533, 534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22369.18-22369.34" + } + }, + "PIPERX0EQDONE": { + "hide_name": 0, + "bits": [ 2380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22555.11-22555.24" + } + }, + "PIPERX0EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 2381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22556.11-22556.31" + } + }, + "PIPERX0EQLPLFFS": { + "hide_name": 0, + "bits": [ 1740, 1741, 1742, 1743, 1744, 1745 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22479.18-22479.33" + } + }, + "PIPERX0EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 2382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22557.11-22557.29" + } + }, + "PIPERX0EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22644.18-22644.47" + } + }, + "PIPERX0EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 1592, 1593, 1594, 1595 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22448.18-22448.37" + } + }, + "PIPERX0EQPRESET": { + "hide_name": 0, + "bits": [ 1141, 1142, 1143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22417.18-22417.33" + } + }, + "PIPERX0PHYSTATUS": { + "hide_name": 0, + "bits": [ 2383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22558.11-22558.27" + } + }, + "PIPERX0POLARITY": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22298.12-22298.27" + } + }, + "PIPERX0STARTBLOCK": { + "hide_name": 0, + "bits": [ 2384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22559.11-22559.28" + } + }, + "PIPERX0STATUS": { + "hide_name": 0, + "bits": [ 3905, 3906, 3907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22691.17-22691.30" + } + }, + "PIPERX0SYNCHEADER": { + "hide_name": 0, + "bits": [ 3298, 3299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22665.17-22665.34" + } + }, + "PIPERX0VALID": { + "hide_name": 0, + "bits": [ 2385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22560.11-22560.23" + } + }, + "PIPERX1CHARISK": { + "hide_name": 0, + "bits": [ 3300, 3301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22666.17-22666.31" + } + }, + "PIPERX1DATA": { + "hide_name": 0, + "bits": [ 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22706.18-22706.29" + } + }, + "PIPERX1DATAVALID": { + "hide_name": 0, + "bits": [ 2386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22561.11-22561.27" + } + }, + "PIPERX1ELECIDLE": { + "hide_name": 0, + "bits": [ 2387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22562.11-22562.26" + } + }, + "PIPERX1EQCONTROL": { + "hide_name": 0, + "bits": [ 535, 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22370.18-22370.34" + } + }, + "PIPERX1EQDONE": { + "hide_name": 0, + "bits": [ 2388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22563.11-22563.24" + } + }, + "PIPERX1EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 2389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22564.11-22564.31" + } + }, + "PIPERX1EQLPLFFS": { + "hide_name": 0, + "bits": [ 1746, 1747, 1748, 1749, 1750, 1751 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22480.18-22480.33" + } + }, + "PIPERX1EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 2390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22565.11-22565.29" + } + }, + "PIPERX1EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22645.18-22645.47" + } + }, + "PIPERX1EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 1596, 1597, 1598, 1599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22449.18-22449.37" + } + }, + "PIPERX1EQPRESET": { + "hide_name": 0, + "bits": [ 1144, 1145, 1146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22418.18-22418.33" + } + }, + "PIPERX1PHYSTATUS": { + "hide_name": 0, + "bits": [ 2391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22566.11-22566.27" + } + }, + "PIPERX1POLARITY": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22299.12-22299.27" + } + }, + "PIPERX1STARTBLOCK": { + "hide_name": 0, + "bits": [ 2392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22567.11-22567.28" + } + }, + "PIPERX1STATUS": { + "hide_name": 0, + "bits": [ 3908, 3909, 3910 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22692.17-22692.30" + } + }, + "PIPERX1SYNCHEADER": { + "hide_name": 0, + "bits": [ 3302, 3303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22667.17-22667.34" + } + }, + "PIPERX1VALID": { + "hide_name": 0, + "bits": [ 2393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22568.11-22568.23" + } + }, + "PIPERX2CHARISK": { + "hide_name": 0, + "bits": [ 3304, 3305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22668.17-22668.31" + } + }, + "PIPERX2DATA": { + "hide_name": 0, + "bits": [ 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22707.18-22707.29" + } + }, + "PIPERX2DATAVALID": { + "hide_name": 0, + "bits": [ 2394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22569.11-22569.27" + } + }, + "PIPERX2ELECIDLE": { + "hide_name": 0, + "bits": [ 2395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22570.11-22570.26" + } + }, + "PIPERX2EQCONTROL": { + "hide_name": 0, + "bits": [ 537, 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22371.18-22371.34" + } + }, + "PIPERX2EQDONE": { + "hide_name": 0, + "bits": [ 2396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22571.11-22571.24" + } + }, + "PIPERX2EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 2397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22572.11-22572.31" + } + }, + "PIPERX2EQLPLFFS": { + "hide_name": 0, + "bits": [ 1752, 1753, 1754, 1755, 1756, 1757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22481.18-22481.33" + } + }, + "PIPERX2EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 2398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22573.11-22573.29" + } + }, + "PIPERX2EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22646.18-22646.47" + } + }, + "PIPERX2EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 1600, 1601, 1602, 1603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22450.18-22450.37" + } + }, + "PIPERX2EQPRESET": { + "hide_name": 0, + "bits": [ 1147, 1148, 1149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22419.18-22419.33" + } + }, + "PIPERX2PHYSTATUS": { + "hide_name": 0, + "bits": [ 2399 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22574.11-22574.27" + } + }, + "PIPERX2POLARITY": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22300.12-22300.27" + } + }, + "PIPERX2STARTBLOCK": { + "hide_name": 0, + "bits": [ 2400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22575.11-22575.28" + } + }, + "PIPERX2STATUS": { + "hide_name": 0, + "bits": [ 3911, 3912, 3913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22693.17-22693.30" + } + }, + "PIPERX2SYNCHEADER": { + "hide_name": 0, + "bits": [ 3306, 3307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22669.17-22669.34" + } + }, + "PIPERX2VALID": { + "hide_name": 0, + "bits": [ 2401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22576.11-22576.23" + } + }, + "PIPERX3CHARISK": { + "hide_name": 0, + "bits": [ 3308, 3309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22670.17-22670.31" + } + }, + "PIPERX3DATA": { + "hide_name": 0, + "bits": [ 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22708.18-22708.29" + } + }, + "PIPERX3DATAVALID": { + "hide_name": 0, + "bits": [ 2402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22577.11-22577.27" + } + }, + "PIPERX3ELECIDLE": { + "hide_name": 0, + "bits": [ 2403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22578.11-22578.26" + } + }, + "PIPERX3EQCONTROL": { + "hide_name": 0, + "bits": [ 539, 540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22372.18-22372.34" + } + }, + "PIPERX3EQDONE": { + "hide_name": 0, + "bits": [ 2404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22579.11-22579.24" + } + }, + "PIPERX3EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 2405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22580.11-22580.31" + } + }, + "PIPERX3EQLPLFFS": { + "hide_name": 0, + "bits": [ 1758, 1759, 1760, 1761, 1762, 1763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22482.18-22482.33" + } + }, + "PIPERX3EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 2406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22581.11-22581.29" + } + }, + "PIPERX3EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22647.18-22647.47" + } + }, + "PIPERX3EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 1604, 1605, 1606, 1607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22451.18-22451.37" + } + }, + "PIPERX3EQPRESET": { + "hide_name": 0, + "bits": [ 1150, 1151, 1152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22420.18-22420.33" + } + }, + "PIPERX3PHYSTATUS": { + "hide_name": 0, + "bits": [ 2407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22582.11-22582.27" + } + }, + "PIPERX3POLARITY": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22301.12-22301.27" + } + }, + "PIPERX3STARTBLOCK": { + "hide_name": 0, + "bits": [ 2408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22583.11-22583.28" + } + }, + "PIPERX3STATUS": { + "hide_name": 0, + "bits": [ 3914, 3915, 3916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22694.17-22694.30" + } + }, + "PIPERX3SYNCHEADER": { + "hide_name": 0, + "bits": [ 3310, 3311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22671.17-22671.34" + } + }, + "PIPERX3VALID": { + "hide_name": 0, + "bits": [ 2409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22584.11-22584.23" + } + }, + "PIPERX4CHARISK": { + "hide_name": 0, + "bits": [ 3312, 3313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22672.17-22672.31" + } + }, + "PIPERX4DATA": { + "hide_name": 0, + "bits": [ 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22709.18-22709.29" + } + }, + "PIPERX4DATAVALID": { + "hide_name": 0, + "bits": [ 2410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22585.11-22585.27" + } + }, + "PIPERX4ELECIDLE": { + "hide_name": 0, + "bits": [ 2411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22586.11-22586.26" + } + }, + "PIPERX4EQCONTROL": { + "hide_name": 0, + "bits": [ 541, 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22373.18-22373.34" + } + }, + "PIPERX4EQDONE": { + "hide_name": 0, + "bits": [ 2412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22587.11-22587.24" + } + }, + "PIPERX4EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 2413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22588.11-22588.31" + } + }, + "PIPERX4EQLPLFFS": { + "hide_name": 0, + "bits": [ 1764, 1765, 1766, 1767, 1768, 1769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22483.18-22483.33" + } + }, + "PIPERX4EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 2414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22589.11-22589.29" + } + }, + "PIPERX4EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22648.18-22648.47" + } + }, + "PIPERX4EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 1608, 1609, 1610, 1611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22452.18-22452.37" + } + }, + "PIPERX4EQPRESET": { + "hide_name": 0, + "bits": [ 1153, 1154, 1155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22421.18-22421.33" + } + }, + "PIPERX4PHYSTATUS": { + "hide_name": 0, + "bits": [ 2415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22590.11-22590.27" + } + }, + "PIPERX4POLARITY": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22302.12-22302.27" + } + }, + "PIPERX4STARTBLOCK": { + "hide_name": 0, + "bits": [ 2416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22591.11-22591.28" + } + }, + "PIPERX4STATUS": { + "hide_name": 0, + "bits": [ 3917, 3918, 3919 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22695.17-22695.30" + } + }, + "PIPERX4SYNCHEADER": { + "hide_name": 0, + "bits": [ 3314, 3315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22673.17-22673.34" + } + }, + "PIPERX4VALID": { + "hide_name": 0, + "bits": [ 2417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22592.11-22592.23" + } + }, + "PIPERX5CHARISK": { + "hide_name": 0, + "bits": [ 3316, 3317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22674.17-22674.31" + } + }, + "PIPERX5DATA": { + "hide_name": 0, + "bits": [ 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22710.18-22710.29" + } + }, + "PIPERX5DATAVALID": { + "hide_name": 0, + "bits": [ 2418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22593.11-22593.27" + } + }, + "PIPERX5ELECIDLE": { + "hide_name": 0, + "bits": [ 2419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22594.11-22594.26" + } + }, + "PIPERX5EQCONTROL": { + "hide_name": 0, + "bits": [ 543, 544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22374.18-22374.34" + } + }, + "PIPERX5EQDONE": { + "hide_name": 0, + "bits": [ 2420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22595.11-22595.24" + } + }, + "PIPERX5EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 2421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22596.11-22596.31" + } + }, + "PIPERX5EQLPLFFS": { + "hide_name": 0, + "bits": [ 1770, 1771, 1772, 1773, 1774, 1775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22484.18-22484.33" + } + }, + "PIPERX5EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 2422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22597.11-22597.29" + } + }, + "PIPERX5EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22649.18-22649.47" + } + }, + "PIPERX5EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 1612, 1613, 1614, 1615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22453.18-22453.37" + } + }, + "PIPERX5EQPRESET": { + "hide_name": 0, + "bits": [ 1156, 1157, 1158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22422.18-22422.33" + } + }, + "PIPERX5PHYSTATUS": { + "hide_name": 0, + "bits": [ 2423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22598.11-22598.27" + } + }, + "PIPERX5POLARITY": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22303.12-22303.27" + } + }, + "PIPERX5STARTBLOCK": { + "hide_name": 0, + "bits": [ 2424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22599.11-22599.28" + } + }, + "PIPERX5STATUS": { + "hide_name": 0, + "bits": [ 3920, 3921, 3922 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22696.17-22696.30" + } + }, + "PIPERX5SYNCHEADER": { + "hide_name": 0, + "bits": [ 3318, 3319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22675.17-22675.34" + } + }, + "PIPERX5VALID": { + "hide_name": 0, + "bits": [ 2425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22600.11-22600.23" + } + }, + "PIPERX6CHARISK": { + "hide_name": 0, + "bits": [ 3320, 3321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22676.17-22676.31" + } + }, + "PIPERX6DATA": { + "hide_name": 0, + "bits": [ 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22711.18-22711.29" + } + }, + "PIPERX6DATAVALID": { + "hide_name": 0, + "bits": [ 2426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22601.11-22601.27" + } + }, + "PIPERX6ELECIDLE": { + "hide_name": 0, + "bits": [ 2427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22602.11-22602.26" + } + }, + "PIPERX6EQCONTROL": { + "hide_name": 0, + "bits": [ 545, 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22375.18-22375.34" + } + }, + "PIPERX6EQDONE": { + "hide_name": 0, + "bits": [ 2428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22603.11-22603.24" + } + }, + "PIPERX6EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 2429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22604.11-22604.31" + } + }, + "PIPERX6EQLPLFFS": { + "hide_name": 0, + "bits": [ 1776, 1777, 1778, 1779, 1780, 1781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22485.18-22485.33" + } + }, + "PIPERX6EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 2430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22605.11-22605.29" + } + }, + "PIPERX6EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22650.18-22650.47" + } + }, + "PIPERX6EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 1616, 1617, 1618, 1619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22454.18-22454.37" + } + }, + "PIPERX6EQPRESET": { + "hide_name": 0, + "bits": [ 1159, 1160, 1161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22423.18-22423.33" + } + }, + "PIPERX6PHYSTATUS": { + "hide_name": 0, + "bits": [ 2431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22606.11-22606.27" + } + }, + "PIPERX6POLARITY": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22304.12-22304.27" + } + }, + "PIPERX6STARTBLOCK": { + "hide_name": 0, + "bits": [ 2432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22607.11-22607.28" + } + }, + "PIPERX6STATUS": { + "hide_name": 0, + "bits": [ 3923, 3924, 3925 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22697.17-22697.30" + } + }, + "PIPERX6SYNCHEADER": { + "hide_name": 0, + "bits": [ 3322, 3323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22677.17-22677.34" + } + }, + "PIPERX6VALID": { + "hide_name": 0, + "bits": [ 2433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22608.11-22608.23" + } + }, + "PIPERX7CHARISK": { + "hide_name": 0, + "bits": [ 3324, 3325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22678.17-22678.31" + } + }, + "PIPERX7DATA": { + "hide_name": 0, + "bits": [ 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22712.18-22712.29" + } + }, + "PIPERX7DATAVALID": { + "hide_name": 0, + "bits": [ 2434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22609.11-22609.27" + } + }, + "PIPERX7ELECIDLE": { + "hide_name": 0, + "bits": [ 2435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22610.11-22610.26" + } + }, + "PIPERX7EQCONTROL": { + "hide_name": 0, + "bits": [ 547, 548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22376.18-22376.34" + } + }, + "PIPERX7EQDONE": { + "hide_name": 0, + "bits": [ 2436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22611.11-22611.24" + } + }, + "PIPERX7EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 2437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22612.11-22612.31" + } + }, + "PIPERX7EQLPLFFS": { + "hide_name": 0, + "bits": [ 1782, 1783, 1784, 1785, 1786, 1787 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22486.18-22486.33" + } + }, + "PIPERX7EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 2438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22613.11-22613.29" + } + }, + "PIPERX7EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125, 3126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22651.18-22651.47" + } + }, + "PIPERX7EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 1620, 1621, 1622, 1623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22455.18-22455.37" + } + }, + "PIPERX7EQPRESET": { + "hide_name": 0, + "bits": [ 1162, 1163, 1164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22424.18-22424.33" + } + }, + "PIPERX7PHYSTATUS": { + "hide_name": 0, + "bits": [ 2439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22614.11-22614.27" + } + }, + "PIPERX7POLARITY": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22305.12-22305.27" + } + }, + "PIPERX7STARTBLOCK": { + "hide_name": 0, + "bits": [ 2440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22615.11-22615.28" + } + }, + "PIPERX7STATUS": { + "hide_name": 0, + "bits": [ 3926, 3927, 3928 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22698.17-22698.30" + } + }, + "PIPERX7SYNCHEADER": { + "hide_name": 0, + "bits": [ 3326, 3327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22679.17-22679.34" + } + }, + "PIPERX7VALID": { + "hide_name": 0, + "bits": [ 2441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22616.11-22616.23" + } + }, + "PIPETX0CHARISK": { + "hide_name": 0, + "bits": [ 549, 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22377.18-22377.32" + } + }, + "PIPETX0COMPLIANCE": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22306.12-22306.29" + } + }, + "PIPETX0DATA": { + "hide_name": 0, + "bits": [ 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22430.19-22430.30" + } + }, + "PIPETX0DATAVALID": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22307.12-22307.28" + } + }, + "PIPETX0ELECIDLE": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22308.12-22308.27" + } + }, + "PIPETX0EQCOEFF": { + "hide_name": 0, + "bits": [ 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22652.18-22652.32" + } + }, + "PIPETX0EQCONTROL": { + "hide_name": 0, + "bits": [ 551, 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22378.18-22378.34" + } + }, + "PIPETX0EQDEEMPH": { + "hide_name": 0, + "bits": [ 1788, 1789, 1790, 1791, 1792, 1793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22487.18-22487.33" + } + }, + "PIPETX0EQDONE": { + "hide_name": 0, + "bits": [ 2442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22617.11-22617.24" + } + }, + "PIPETX0EQPRESET": { + "hide_name": 0, + "bits": [ 1624, 1625, 1626, 1627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22456.18-22456.33" + } + }, + "PIPETX0POWERDOWN": { + "hide_name": 0, + "bits": [ 553, 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22379.18-22379.34" + } + }, + "PIPETX0STARTBLOCK": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22309.12-22309.29" + } + }, + "PIPETX0SYNCHEADER": { + "hide_name": 0, + "bits": [ 555, 556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22380.18-22380.35" + } + }, + "PIPETX1CHARISK": { + "hide_name": 0, + "bits": [ 557, 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22381.18-22381.32" + } + }, + "PIPETX1COMPLIANCE": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22310.12-22310.29" + } + }, + "PIPETX1DATA": { + "hide_name": 0, + "bits": [ 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22431.19-22431.30" + } + }, + "PIPETX1DATAVALID": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22311.12-22311.28" + } + }, + "PIPETX1ELECIDLE": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22312.12-22312.27" + } + }, + "PIPETX1EQCOEFF": { + "hide_name": 0, + "bits": [ 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22653.18-22653.32" + } + }, + "PIPETX1EQCONTROL": { + "hide_name": 0, + "bits": [ 559, 560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22382.18-22382.34" + } + }, + "PIPETX1EQDEEMPH": { + "hide_name": 0, + "bits": [ 1794, 1795, 1796, 1797, 1798, 1799 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22488.18-22488.33" + } + }, + "PIPETX1EQDONE": { + "hide_name": 0, + "bits": [ 2443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22618.11-22618.24" + } + }, + "PIPETX1EQPRESET": { + "hide_name": 0, + "bits": [ 1628, 1629, 1630, 1631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22457.18-22457.33" + } + }, + "PIPETX1POWERDOWN": { + "hide_name": 0, + "bits": [ 561, 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22383.18-22383.34" + } + }, + "PIPETX1STARTBLOCK": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22313.12-22313.29" + } + }, + "PIPETX1SYNCHEADER": { + "hide_name": 0, + "bits": [ 563, 564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22384.18-22384.35" + } + }, + "PIPETX2CHARISK": { + "hide_name": 0, + "bits": [ 565, 566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22385.18-22385.32" + } + }, + "PIPETX2COMPLIANCE": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22314.12-22314.29" + } + }, + "PIPETX2DATA": { + "hide_name": 0, + "bits": [ 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22432.19-22432.30" + } + }, + "PIPETX2DATAVALID": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22315.12-22315.28" + } + }, + "PIPETX2ELECIDLE": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22316.12-22316.27" + } + }, + "PIPETX2EQCOEFF": { + "hide_name": 0, + "bits": [ 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22654.18-22654.32" + } + }, + "PIPETX2EQCONTROL": { + "hide_name": 0, + "bits": [ 567, 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22386.18-22386.34" + } + }, + "PIPETX2EQDEEMPH": { + "hide_name": 0, + "bits": [ 1800, 1801, 1802, 1803, 1804, 1805 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22489.18-22489.33" + } + }, + "PIPETX2EQDONE": { + "hide_name": 0, + "bits": [ 2444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22619.11-22619.24" + } + }, + "PIPETX2EQPRESET": { + "hide_name": 0, + "bits": [ 1632, 1633, 1634, 1635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22458.18-22458.33" + } + }, + "PIPETX2POWERDOWN": { + "hide_name": 0, + "bits": [ 569, 570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22387.18-22387.34" + } + }, + "PIPETX2STARTBLOCK": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22317.12-22317.29" + } + }, + "PIPETX2SYNCHEADER": { + "hide_name": 0, + "bits": [ 571, 572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22388.18-22388.35" + } + }, + "PIPETX3CHARISK": { + "hide_name": 0, + "bits": [ 573, 574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22389.18-22389.32" + } + }, + "PIPETX3COMPLIANCE": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22318.12-22318.29" + } + }, + "PIPETX3DATA": { + "hide_name": 0, + "bits": [ 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22433.19-22433.30" + } + }, + "PIPETX3DATAVALID": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22319.12-22319.28" + } + }, + "PIPETX3ELECIDLE": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22320.12-22320.27" + } + }, + "PIPETX3EQCOEFF": { + "hide_name": 0, + "bits": [ 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22655.18-22655.32" + } + }, + "PIPETX3EQCONTROL": { + "hide_name": 0, + "bits": [ 575, 576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22390.18-22390.34" + } + }, + "PIPETX3EQDEEMPH": { + "hide_name": 0, + "bits": [ 1806, 1807, 1808, 1809, 1810, 1811 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22490.18-22490.33" + } + }, + "PIPETX3EQDONE": { + "hide_name": 0, + "bits": [ 2445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22620.11-22620.24" + } + }, + "PIPETX3EQPRESET": { + "hide_name": 0, + "bits": [ 1636, 1637, 1638, 1639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22459.18-22459.33" + } + }, + "PIPETX3POWERDOWN": { + "hide_name": 0, + "bits": [ 577, 578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22391.18-22391.34" + } + }, + "PIPETX3STARTBLOCK": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22321.12-22321.29" + } + }, + "PIPETX3SYNCHEADER": { + "hide_name": 0, + "bits": [ 579, 580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22392.18-22392.35" + } + }, + "PIPETX4CHARISK": { + "hide_name": 0, + "bits": [ 581, 582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22393.18-22393.32" + } + }, + "PIPETX4COMPLIANCE": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22322.12-22322.29" + } + }, + "PIPETX4DATA": { + "hide_name": 0, + "bits": [ 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22434.19-22434.30" + } + }, + "PIPETX4DATAVALID": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22323.12-22323.28" + } + }, + "PIPETX4ELECIDLE": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22324.12-22324.27" + } + }, + "PIPETX4EQCOEFF": { + "hide_name": 0, + "bits": [ 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22656.18-22656.32" + } + }, + "PIPETX4EQCONTROL": { + "hide_name": 0, + "bits": [ 583, 584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22394.18-22394.34" + } + }, + "PIPETX4EQDEEMPH": { + "hide_name": 0, + "bits": [ 1812, 1813, 1814, 1815, 1816, 1817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22491.18-22491.33" + } + }, + "PIPETX4EQDONE": { + "hide_name": 0, + "bits": [ 2446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22621.11-22621.24" + } + }, + "PIPETX4EQPRESET": { + "hide_name": 0, + "bits": [ 1640, 1641, 1642, 1643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22460.18-22460.33" + } + }, + "PIPETX4POWERDOWN": { + "hide_name": 0, + "bits": [ 585, 586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22395.18-22395.34" + } + }, + "PIPETX4STARTBLOCK": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22325.12-22325.29" + } + }, + "PIPETX4SYNCHEADER": { + "hide_name": 0, + "bits": [ 587, 588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22396.18-22396.35" + } + }, + "PIPETX5CHARISK": { + "hide_name": 0, + "bits": [ 589, 590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22397.18-22397.32" + } + }, + "PIPETX5COMPLIANCE": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22326.12-22326.29" + } + }, + "PIPETX5DATA": { + "hide_name": 0, + "bits": [ 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22435.19-22435.30" + } + }, + "PIPETX5DATAVALID": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22327.12-22327.28" + } + }, + "PIPETX5ELECIDLE": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22328.12-22328.27" + } + }, + "PIPETX5EQCOEFF": { + "hide_name": 0, + "bits": [ 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22657.18-22657.32" + } + }, + "PIPETX5EQCONTROL": { + "hide_name": 0, + "bits": [ 591, 592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22398.18-22398.34" + } + }, + "PIPETX5EQDEEMPH": { + "hide_name": 0, + "bits": [ 1818, 1819, 1820, 1821, 1822, 1823 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22492.18-22492.33" + } + }, + "PIPETX5EQDONE": { + "hide_name": 0, + "bits": [ 2447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22622.11-22622.24" + } + }, + "PIPETX5EQPRESET": { + "hide_name": 0, + "bits": [ 1644, 1645, 1646, 1647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22461.18-22461.33" + } + }, + "PIPETX5POWERDOWN": { + "hide_name": 0, + "bits": [ 593, 594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22399.18-22399.34" + } + }, + "PIPETX5STARTBLOCK": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22329.12-22329.29" + } + }, + "PIPETX5SYNCHEADER": { + "hide_name": 0, + "bits": [ 595, 596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22400.18-22400.35" + } + }, + "PIPETX6CHARISK": { + "hide_name": 0, + "bits": [ 597, 598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22401.18-22401.32" + } + }, + "PIPETX6COMPLIANCE": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22330.12-22330.29" + } + }, + "PIPETX6DATA": { + "hide_name": 0, + "bits": [ 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22436.19-22436.30" + } + }, + "PIPETX6DATAVALID": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22331.12-22331.28" + } + }, + "PIPETX6ELECIDLE": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22332.12-22332.27" + } + }, + "PIPETX6EQCOEFF": { + "hide_name": 0, + "bits": [ 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22658.18-22658.32" + } + }, + "PIPETX6EQCONTROL": { + "hide_name": 0, + "bits": [ 599, 600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22402.18-22402.34" + } + }, + "PIPETX6EQDEEMPH": { + "hide_name": 0, + "bits": [ 1824, 1825, 1826, 1827, 1828, 1829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22493.18-22493.33" + } + }, + "PIPETX6EQDONE": { + "hide_name": 0, + "bits": [ 2448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22623.11-22623.24" + } + }, + "PIPETX6EQPRESET": { + "hide_name": 0, + "bits": [ 1648, 1649, 1650, 1651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22462.18-22462.33" + } + }, + "PIPETX6POWERDOWN": { + "hide_name": 0, + "bits": [ 601, 602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22403.18-22403.34" + } + }, + "PIPETX6STARTBLOCK": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22333.12-22333.29" + } + }, + "PIPETX6SYNCHEADER": { + "hide_name": 0, + "bits": [ 603, 604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22404.18-22404.35" + } + }, + "PIPETX7CHARISK": { + "hide_name": 0, + "bits": [ 605, 606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22405.18-22405.32" + } + }, + "PIPETX7COMPLIANCE": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22334.12-22334.29" + } + }, + "PIPETX7DATA": { + "hide_name": 0, + "bits": [ 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22437.19-22437.30" + } + }, + "PIPETX7DATAVALID": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22335.12-22335.28" + } + }, + "PIPETX7ELECIDLE": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22336.12-22336.27" + } + }, + "PIPETX7EQCOEFF": { + "hide_name": 0, + "bits": [ 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22659.18-22659.32" + } + }, + "PIPETX7EQCONTROL": { + "hide_name": 0, + "bits": [ 607, 608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22406.18-22406.34" + } + }, + "PIPETX7EQDEEMPH": { + "hide_name": 0, + "bits": [ 1830, 1831, 1832, 1833, 1834, 1835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22494.18-22494.33" + } + }, + "PIPETX7EQDONE": { + "hide_name": 0, + "bits": [ 2449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22624.11-22624.24" + } + }, + "PIPETX7EQPRESET": { + "hide_name": 0, + "bits": [ 1652, 1653, 1654, 1655 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22463.18-22463.33" + } + }, + "PIPETX7POWERDOWN": { + "hide_name": 0, + "bits": [ 609, 610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22407.18-22407.34" + } + }, + "PIPETX7STARTBLOCK": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22337.12-22337.29" + } + }, + "PIPETX7SYNCHEADER": { + "hide_name": 0, + "bits": [ 611, 612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22408.18-22408.35" + } + }, + "PIPETXDEEMPH": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22338.12-22338.24" + } + }, + "PIPETXMARGIN": { + "hide_name": 0, + "bits": [ 1165, 1166, 1167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22425.18-22425.30" + } + }, + "PIPETXRATE": { + "hide_name": 0, + "bits": [ 613, 614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22409.18-22409.28" + } + }, + "PIPETXRCVRDET": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22339.12-22339.25" + } + }, + "PIPETXRESET": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22340.12-22340.23" + } + }, + "PIPETXSWING": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22341.12-22341.23" + } + }, + "PLDISABLESCRAMBLER": { + "hide_name": 0, + "bits": [ 2450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22625.11-22625.29" + } + }, + "PLEQINPROGRESS": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22342.12-22342.26" + } + }, + "PLEQPHASE": { + "hide_name": 0, + "bits": [ 615, 616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22410.18-22410.27" + } + }, + "PLEQRESETEIEOSCOUNT": { + "hide_name": 0, + "bits": [ 2451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22626.11-22626.30" + } + }, + "PLGEN3PCSDISABLE": { + "hide_name": 0, + "bits": [ 2452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22627.11-22627.27" + } + }, + "PLGEN3PCSRXSLIDE": { + "hide_name": 0, + "bits": [ 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22506.18-22506.34" + } + }, + "PLGEN3PCSRXSYNCDONE": { + "hide_name": 0, + "bits": [ 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22728.17-22728.36" + } + }, + "RECCLK": { + "hide_name": 0, + "bits": [ 2453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22628.11-22628.17" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 2454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22629.11-22629.17" + } + }, + "SAXISCCTDATA": { + "hide_name": 0, + "bits": [ 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22682.19-22682.31" + } + }, + "SAXISCCTKEEP": { + "hide_name": 0, + "bits": [ 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22729.17-22729.29" + } + }, + "SAXISCCTLAST": { + "hide_name": 0, + "bits": [ 2455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22630.11-22630.23" + } + }, + "SAXISCCTREADY": { + "hide_name": 0, + "bits": [ 1656, 1657, 1658, 1659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22464.18-22464.31" + } + }, + "SAXISCCTUSER": { + "hide_name": 0, + "bits": [ 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22713.18-22713.30" + } + }, + "SAXISCCTVALID": { + "hide_name": 0, + "bits": [ 2456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22631.11-22631.24" + } + }, + "SAXISRQTDATA": { + "hide_name": 0, + "bits": [ 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22683.19-22683.31" + } + }, + "SAXISRQTKEEP": { + "hide_name": 0, + "bits": [ 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22730.17-22730.29" + } + }, + "SAXISRQTLAST": { + "hide_name": 0, + "bits": [ 2457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22632.11-22632.23" + } + }, + "SAXISRQTREADY": { + "hide_name": 0, + "bits": [ 1660, 1661, 1662, 1663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22465.18-22465.31" + } + }, + "SAXISRQTUSER": { + "hide_name": 0, + "bits": [ 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22718.18-22718.30" + } + }, + "SAXISRQTVALID": { + "hide_name": 0, + "bits": [ 2458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22633.11-22633.24" + } + }, + "USERCLK": { + "hide_name": 0, + "bits": [ 2459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22634.11-22634.18" + } + } + } + }, + "PCIE_3_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22734.1-24000.10" + }, + "parameter_default_values": { + "ARI_CAP_ENABLE": "FALSE", + "AXISTEN_IF_CC_ALIGNMENT_MODE": "FALSE", + "AXISTEN_IF_CC_PARITY_CHK": "TRUE", + "AXISTEN_IF_CQ_ALIGNMENT_MODE": "FALSE", + "AXISTEN_IF_ENABLE_CLIENT_TAG": "FALSE", + "AXISTEN_IF_ENABLE_MSG_ROUTE": "000000000000000000", + "AXISTEN_IF_ENABLE_RX_MSG_INTFC": "FALSE", + "AXISTEN_IF_RC_ALIGNMENT_MODE": "FALSE", + "AXISTEN_IF_RC_STRADDLE": "FALSE", + "AXISTEN_IF_RQ_ALIGNMENT_MODE": "FALSE", + "AXISTEN_IF_RQ_PARITY_CHK": "TRUE", + "AXISTEN_IF_WIDTH": "10", + "CRM_CORE_CLK_FREQ_500": "TRUE", + "CRM_USER_CLK_FREQ": "10", + "DEBUG_CFG_LOCAL_MGMT_REG_ACCESS_OVERRIDE": "FALSE", + "DEBUG_PL_DISABLE_EI_INFER_IN_L0": "FALSE", + "DEBUG_TL_DISABLE_RX_TLP_ORDER_CHECKS": "FALSE", + "DNSTREAM_LINK_NUM": "00000000", + "LL_ACK_TIMEOUT": "000000000", + "LL_ACK_TIMEOUT_EN": "FALSE", + "LL_ACK_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LL_CPL_FC_UPDATE_TIMER": "0000000000000000", + "LL_CPL_FC_UPDATE_TIMER_OVERRIDE": "FALSE", + "LL_FC_UPDATE_TIMER": "0000000000000000", + "LL_FC_UPDATE_TIMER_OVERRIDE": "FALSE", + "LL_NP_FC_UPDATE_TIMER": "0000000000000000", + "LL_NP_FC_UPDATE_TIMER_OVERRIDE": "FALSE", + "LL_P_FC_UPDATE_TIMER": "0000000000000000", + "LL_P_FC_UPDATE_TIMER_OVERRIDE": "FALSE", + "LL_REPLAY_TIMEOUT": "000000000", + "LL_REPLAY_TIMEOUT_EN": "FALSE", + "LL_REPLAY_TIMEOUT_FUNC": "00000000000000000000000000000000", + "LTR_TX_MESSAGE_MINIMUM_INTERVAL": "0011111010", + "LTR_TX_MESSAGE_ON_FUNC_POWER_STATE_CHANGE": "FALSE", + "LTR_TX_MESSAGE_ON_LTR_ENABLE": "FALSE", + "MCAP_CAP_NEXTPTR": "000000000000", + "MCAP_CONFIGURE_OVERRIDE": "FALSE", + "MCAP_ENABLE": "FALSE", + "MCAP_EOS_DESIGN_SWITCH": "FALSE", + "MCAP_FPGA_BITSTREAM_VERSION": "00000000000000000000000000000000", + "MCAP_GATE_IO_ENABLE_DESIGN_SWITCH": "FALSE", + "MCAP_GATE_MEM_ENABLE_DESIGN_SWITCH": "FALSE", + "MCAP_INPUT_GATE_DESIGN_SWITCH": "FALSE", + "MCAP_INTERRUPT_ON_MCAP_EOS": "FALSE", + "MCAP_INTERRUPT_ON_MCAP_ERROR": "FALSE", + "MCAP_VSEC_ID": "0000000000000000", + "MCAP_VSEC_LEN": "000000101100", + "MCAP_VSEC_REV": "0000", + "PF0_AER_CAP_ECRC_CHECK_CAPABLE": "FALSE", + "PF0_AER_CAP_ECRC_GEN_CAPABLE": "FALSE", + "PF0_AER_CAP_NEXTPTR": "000000000000", + "PF0_ARI_CAP_NEXTPTR": "000000000000", + "PF0_ARI_CAP_NEXT_FUNC": "00000000", + "PF0_ARI_CAP_VER": "0001", + "PF0_BAR0_APERTURE_SIZE": "000011", + "PF0_BAR0_CONTROL": "100", + "PF0_BAR1_APERTURE_SIZE": "000000", + "PF0_BAR1_CONTROL": "000", + "PF0_BAR2_APERTURE_SIZE": "00011", + "PF0_BAR2_CONTROL": "100", + "PF0_BAR3_APERTURE_SIZE": "00011", + "PF0_BAR3_CONTROL": "000", + "PF0_BAR4_APERTURE_SIZE": "00011", + "PF0_BAR4_CONTROL": "100", + "PF0_BAR5_APERTURE_SIZE": "00011", + "PF0_BAR5_CONTROL": "000", + "PF0_BIST_REGISTER": "00000000", + "PF0_CAPABILITY_POINTER": "01010000", + "PF0_CLASS_CODE": "000000000000000000000000", + "PF0_DEVICE_ID": "0000000000000000", + "PF0_DEV_CAP2_128B_CAS_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_32B_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_64B_ATOMIC_COMPLETER_SUPPORT": "TRUE", + "PF0_DEV_CAP2_ARI_FORWARD_ENABLE": "FALSE", + "PF0_DEV_CAP2_CPL_TIMEOUT_DISABLE": "TRUE", + "PF0_DEV_CAP2_LTR_SUPPORT": "TRUE", + "PF0_DEV_CAP2_OBFF_SUPPORT": "00", + "PF0_DEV_CAP2_TPH_COMPLETER_SUPPORT": "FALSE", + "PF0_DEV_CAP_ENDPOINT_L0S_LATENCY": "00000000000000000000000000000000", + "PF0_DEV_CAP_ENDPOINT_L1_LATENCY": "00000000000000000000000000000000", + "PF0_DEV_CAP_EXT_TAG_SUPPORTED": "TRUE", + "PF0_DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE": "TRUE", + "PF0_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF0_DPA_CAP_NEXTPTR": "000000000000", + "PF0_DPA_CAP_SUB_STATE_CONTROL": "00000", + "PF0_DPA_CAP_SUB_STATE_CONTROL_EN": "TRUE", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION0": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION1": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION2": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION3": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION4": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION5": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION6": "00000000", + "PF0_DPA_CAP_SUB_STATE_POWER_ALLOCATION7": "00000000", + "PF0_DPA_CAP_VER": "0001", + "PF0_DSN_CAP_NEXTPTR": "000100001100", + "PF0_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF0_EXPANSION_ROM_ENABLE": "FALSE", + "PF0_INTERRUPT_LINE": "00000000", + "PF0_INTERRUPT_PIN": "001", + "PF0_LINK_CAP_ASPM_SUPPORT": "00000000000000000000000000000000", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L0S_EXIT_LATENCY_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN3": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN1": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN2": "00000000000000000000000000000111", + "PF0_LINK_CAP_L1_EXIT_LATENCY_GEN3": "00000000000000000000000000000111", + "PF0_LINK_STATUS_SLOT_CLOCK_CONFIG": "TRUE", + "PF0_LTR_CAP_MAX_NOSNOOP_LAT": "0000000000", + "PF0_LTR_CAP_MAX_SNOOP_LAT": "0000000000", + "PF0_LTR_CAP_NEXTPTR": "000000000000", + "PF0_LTR_CAP_VER": "0001", + "PF0_MSIX_CAP_NEXTPTR": "00000000", + "PF0_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF0_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF0_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF0_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF0_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF0_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF0_MSI_CAP_NEXTPTR": "00000000", + "PF0_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF0_PB_CAP_DATA_REG_D0": "00000000000000000000000000000000", + "PF0_PB_CAP_DATA_REG_D0_SUSTAINED": "00000000000000000000000000000000", + "PF0_PB_CAP_DATA_REG_D1": "00000000000000000000000000000000", + "PF0_PB_CAP_DATA_REG_D3HOT": "00000000000000000000000000000000", + "PF0_PB_CAP_NEXTPTR": "000000000000", + "PF0_PB_CAP_SYSTEM_ALLOCATED": "FALSE", + "PF0_PB_CAP_VER": "0001", + "PF0_PM_CAP_ID": "00000001", + "PF0_PM_CAP_NEXTPTR": "00000000", + "PF0_PM_CAP_PMESUPPORT_D0": "TRUE", + "PF0_PM_CAP_PMESUPPORT_D1": "TRUE", + "PF0_PM_CAP_PMESUPPORT_D3HOT": "TRUE", + "PF0_PM_CAP_SUPP_D1_STATE": "TRUE", + "PF0_PM_CAP_VER_ID": "011", + "PF0_PM_CSR_NOSOFTRESET": "TRUE", + "PF0_RBAR_CAP_ENABLE": "FALSE", + "PF0_RBAR_CAP_NEXTPTR": "000000000000", + "PF0_RBAR_CAP_SIZE0": "00000000000000000000", + "PF0_RBAR_CAP_SIZE1": "00000000000000000000", + "PF0_RBAR_CAP_SIZE2": "00000000000000000000", + "PF0_RBAR_CAP_VER": "0001", + "PF0_RBAR_CONTROL_INDEX0": "000", + "PF0_RBAR_CONTROL_INDEX1": "000", + "PF0_RBAR_CONTROL_INDEX2": "000", + "PF0_RBAR_CONTROL_SIZE0": "00000", + "PF0_RBAR_CONTROL_SIZE1": "00000", + "PF0_RBAR_CONTROL_SIZE2": "00000", + "PF0_RBAR_NUM": "001", + "PF0_REVISION_ID": "00000000", + "PF0_SECONDARY_PCIE_CAP_NEXTPTR": "000000000000", + "PF0_SRIOV_BAR0_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR0_CONTROL": "100", + "PF0_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF0_SRIOV_BAR1_CONTROL": "000", + "PF0_SRIOV_BAR2_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR2_CONTROL": "100", + "PF0_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR3_CONTROL": "000", + "PF0_SRIOV_BAR4_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR4_CONTROL": "100", + "PF0_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF0_SRIOV_BAR5_CONTROL": "000", + "PF0_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF0_SRIOV_CAP_NEXTPTR": "000000000000", + "PF0_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF0_SRIOV_CAP_VER": "0001", + "PF0_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF0_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF0_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF0_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF0_SUBSYSTEM_ID": "0000000000000000", + "PF0_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "PF0_TPHR_CAP_ENABLE": "FALSE", + "PF0_TPHR_CAP_INT_VEC_MODE": "TRUE", + "PF0_TPHR_CAP_NEXTPTR": "000000000000", + "PF0_TPHR_CAP_ST_MODE_SEL": "000", + "PF0_TPHR_CAP_ST_TABLE_LOC": "00", + "PF0_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "PF0_TPHR_CAP_VER": "0001", + "PF0_VC_CAP_ENABLE": "FALSE", + "PF0_VC_CAP_NEXTPTR": "000000000000", + "PF0_VC_CAP_VER": "0001", + "PF1_AER_CAP_ECRC_CHECK_CAPABLE": "FALSE", + "PF1_AER_CAP_ECRC_GEN_CAPABLE": "FALSE", + "PF1_AER_CAP_NEXTPTR": "000000000000", + "PF1_ARI_CAP_NEXTPTR": "000000000000", + "PF1_ARI_CAP_NEXT_FUNC": "00000000", + "PF1_BAR0_APERTURE_SIZE": "000011", + "PF1_BAR0_CONTROL": "100", + "PF1_BAR1_APERTURE_SIZE": "000000", + "PF1_BAR1_CONTROL": "000", + "PF1_BAR2_APERTURE_SIZE": "00011", + "PF1_BAR2_CONTROL": "100", + "PF1_BAR3_APERTURE_SIZE": "00011", + "PF1_BAR3_CONTROL": "000", + "PF1_BAR4_APERTURE_SIZE": "00011", + "PF1_BAR4_CONTROL": "100", + "PF1_BAR5_APERTURE_SIZE": "00011", + "PF1_BAR5_CONTROL": "000", + "PF1_BIST_REGISTER": "00000000", + "PF1_CAPABILITY_POINTER": "01010000", + "PF1_CLASS_CODE": "000000000000000000000000", + "PF1_DEVICE_ID": "0000000000000000", + "PF1_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF1_DPA_CAP_NEXTPTR": "000000000000", + "PF1_DPA_CAP_SUB_STATE_CONTROL": "00000", + "PF1_DPA_CAP_SUB_STATE_CONTROL_EN": "TRUE", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION0": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION1": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION2": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION3": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION4": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION5": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION6": "00000000", + "PF1_DPA_CAP_SUB_STATE_POWER_ALLOCATION7": "00000000", + "PF1_DPA_CAP_VER": "0001", + "PF1_DSN_CAP_NEXTPTR": "000100001100", + "PF1_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF1_EXPANSION_ROM_ENABLE": "FALSE", + "PF1_INTERRUPT_LINE": "00000000", + "PF1_INTERRUPT_PIN": "001", + "PF1_MSIX_CAP_NEXTPTR": "00000000", + "PF1_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF1_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF1_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF1_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF1_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF1_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF1_MSI_CAP_NEXTPTR": "00000000", + "PF1_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF1_PB_CAP_DATA_REG_D0": "00000000000000000000000000000000", + "PF1_PB_CAP_DATA_REG_D0_SUSTAINED": "00000000000000000000000000000000", + "PF1_PB_CAP_DATA_REG_D1": "00000000000000000000000000000000", + "PF1_PB_CAP_DATA_REG_D3HOT": "00000000000000000000000000000000", + "PF1_PB_CAP_NEXTPTR": "000000000000", + "PF1_PB_CAP_SYSTEM_ALLOCATED": "FALSE", + "PF1_PB_CAP_VER": "0001", + "PF1_PM_CAP_ID": "00000001", + "PF1_PM_CAP_NEXTPTR": "00000000", + "PF1_PM_CAP_VER_ID": "011", + "PF1_RBAR_CAP_ENABLE": "FALSE", + "PF1_RBAR_CAP_NEXTPTR": "000000000000", + "PF1_RBAR_CAP_SIZE0": "00000000000000000000", + "PF1_RBAR_CAP_SIZE1": "00000000000000000000", + "PF1_RBAR_CAP_SIZE2": "00000000000000000000", + "PF1_RBAR_CAP_VER": "0001", + "PF1_RBAR_CONTROL_INDEX0": "000", + "PF1_RBAR_CONTROL_INDEX1": "000", + "PF1_RBAR_CONTROL_INDEX2": "000", + "PF1_RBAR_CONTROL_SIZE0": "00000", + "PF1_RBAR_CONTROL_SIZE1": "00000", + "PF1_RBAR_CONTROL_SIZE2": "00000", + "PF1_RBAR_NUM": "001", + "PF1_REVISION_ID": "00000000", + "PF1_SRIOV_BAR0_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR0_CONTROL": "100", + "PF1_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF1_SRIOV_BAR1_CONTROL": "000", + "PF1_SRIOV_BAR2_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR2_CONTROL": "100", + "PF1_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR3_CONTROL": "000", + "PF1_SRIOV_BAR4_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR4_CONTROL": "100", + "PF1_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF1_SRIOV_BAR5_CONTROL": "000", + "PF1_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF1_SRIOV_CAP_NEXTPTR": "000000000000", + "PF1_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF1_SRIOV_CAP_VER": "0001", + "PF1_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF1_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF1_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF1_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF1_SUBSYSTEM_ID": "0000000000000000", + "PF1_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "PF1_TPHR_CAP_ENABLE": "FALSE", + "PF1_TPHR_CAP_INT_VEC_MODE": "TRUE", + "PF1_TPHR_CAP_NEXTPTR": "000000000000", + "PF1_TPHR_CAP_ST_MODE_SEL": "000", + "PF1_TPHR_CAP_ST_TABLE_LOC": "00", + "PF1_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "PF1_TPHR_CAP_VER": "0001", + "PF2_AER_CAP_ECRC_CHECK_CAPABLE": "FALSE", + "PF2_AER_CAP_ECRC_GEN_CAPABLE": "FALSE", + "PF2_AER_CAP_NEXTPTR": "000000000000", + "PF2_ARI_CAP_NEXTPTR": "000000000000", + "PF2_ARI_CAP_NEXT_FUNC": "00000000", + "PF2_BAR0_APERTURE_SIZE": "000011", + "PF2_BAR0_CONTROL": "100", + "PF2_BAR1_APERTURE_SIZE": "000000", + "PF2_BAR1_CONTROL": "000", + "PF2_BAR2_APERTURE_SIZE": "00011", + "PF2_BAR2_CONTROL": "100", + "PF2_BAR3_APERTURE_SIZE": "00011", + "PF2_BAR3_CONTROL": "000", + "PF2_BAR4_APERTURE_SIZE": "00011", + "PF2_BAR4_CONTROL": "100", + "PF2_BAR5_APERTURE_SIZE": "00011", + "PF2_BAR5_CONTROL": "000", + "PF2_BIST_REGISTER": "00000000", + "PF2_CAPABILITY_POINTER": "01010000", + "PF2_CLASS_CODE": "000000000000000000000000", + "PF2_DEVICE_ID": "0000000000000000", + "PF2_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF2_DPA_CAP_NEXTPTR": "000000000000", + "PF2_DPA_CAP_SUB_STATE_CONTROL": "00000", + "PF2_DPA_CAP_SUB_STATE_CONTROL_EN": "TRUE", + "PF2_DPA_CAP_SUB_STATE_POWER_ALLOCATION0": "00000000", + "PF2_DPA_CAP_SUB_STATE_POWER_ALLOCATION1": "00000000", + "PF2_DPA_CAP_SUB_STATE_POWER_ALLOCATION2": "00000000", + "PF2_DPA_CAP_SUB_STATE_POWER_ALLOCATION3": "00000000", + "PF2_DPA_CAP_SUB_STATE_POWER_ALLOCATION4": "00000000", + "PF2_DPA_CAP_SUB_STATE_POWER_ALLOCATION5": "00000000", + "PF2_DPA_CAP_SUB_STATE_POWER_ALLOCATION6": "00000000", + "PF2_DPA_CAP_SUB_STATE_POWER_ALLOCATION7": "00000000", + "PF2_DPA_CAP_VER": "0001", + "PF2_DSN_CAP_NEXTPTR": "000100001100", + "PF2_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF2_EXPANSION_ROM_ENABLE": "FALSE", + "PF2_INTERRUPT_LINE": "00000000", + "PF2_INTERRUPT_PIN": "001", + "PF2_MSIX_CAP_NEXTPTR": "00000000", + "PF2_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF2_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF2_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF2_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF2_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF2_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF2_MSI_CAP_NEXTPTR": "00000000", + "PF2_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF2_PB_CAP_DATA_REG_D0": "00000000000000000000000000000000", + "PF2_PB_CAP_DATA_REG_D0_SUSTAINED": "00000000000000000000000000000000", + "PF2_PB_CAP_DATA_REG_D1": "00000000000000000000000000000000", + "PF2_PB_CAP_DATA_REG_D3HOT": "00000000000000000000000000000000", + "PF2_PB_CAP_NEXTPTR": "000000000000", + "PF2_PB_CAP_SYSTEM_ALLOCATED": "FALSE", + "PF2_PB_CAP_VER": "0001", + "PF2_PM_CAP_ID": "00000001", + "PF2_PM_CAP_NEXTPTR": "00000000", + "PF2_PM_CAP_VER_ID": "011", + "PF2_RBAR_CAP_ENABLE": "FALSE", + "PF2_RBAR_CAP_NEXTPTR": "000000000000", + "PF2_RBAR_CAP_SIZE0": "00000000000000000000", + "PF2_RBAR_CAP_SIZE1": "00000000000000000000", + "PF2_RBAR_CAP_SIZE2": "00000000000000000000", + "PF2_RBAR_CAP_VER": "0001", + "PF2_RBAR_CONTROL_INDEX0": "000", + "PF2_RBAR_CONTROL_INDEX1": "000", + "PF2_RBAR_CONTROL_INDEX2": "000", + "PF2_RBAR_CONTROL_SIZE0": "00000", + "PF2_RBAR_CONTROL_SIZE1": "00000", + "PF2_RBAR_CONTROL_SIZE2": "00000", + "PF2_RBAR_NUM": "001", + "PF2_REVISION_ID": "00000000", + "PF2_SRIOV_BAR0_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR0_CONTROL": "100", + "PF2_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF2_SRIOV_BAR1_CONTROL": "000", + "PF2_SRIOV_BAR2_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR2_CONTROL": "100", + "PF2_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR3_CONTROL": "000", + "PF2_SRIOV_BAR4_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR4_CONTROL": "100", + "PF2_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF2_SRIOV_BAR5_CONTROL": "000", + "PF2_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF2_SRIOV_CAP_NEXTPTR": "000000000000", + "PF2_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF2_SRIOV_CAP_VER": "0001", + "PF2_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF2_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF2_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF2_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF2_SUBSYSTEM_ID": "0000000000000000", + "PF2_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "PF2_TPHR_CAP_ENABLE": "FALSE", + "PF2_TPHR_CAP_INT_VEC_MODE": "TRUE", + "PF2_TPHR_CAP_NEXTPTR": "000000000000", + "PF2_TPHR_CAP_ST_MODE_SEL": "000", + "PF2_TPHR_CAP_ST_TABLE_LOC": "00", + "PF2_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "PF2_TPHR_CAP_VER": "0001", + "PF3_AER_CAP_ECRC_CHECK_CAPABLE": "FALSE", + "PF3_AER_CAP_ECRC_GEN_CAPABLE": "FALSE", + "PF3_AER_CAP_NEXTPTR": "000000000000", + "PF3_ARI_CAP_NEXTPTR": "000000000000", + "PF3_ARI_CAP_NEXT_FUNC": "00000000", + "PF3_BAR0_APERTURE_SIZE": "000011", + "PF3_BAR0_CONTROL": "100", + "PF3_BAR1_APERTURE_SIZE": "000000", + "PF3_BAR1_CONTROL": "000", + "PF3_BAR2_APERTURE_SIZE": "00011", + "PF3_BAR2_CONTROL": "100", + "PF3_BAR3_APERTURE_SIZE": "00011", + "PF3_BAR3_CONTROL": "000", + "PF3_BAR4_APERTURE_SIZE": "00011", + "PF3_BAR4_CONTROL": "100", + "PF3_BAR5_APERTURE_SIZE": "00011", + "PF3_BAR5_CONTROL": "000", + "PF3_BIST_REGISTER": "00000000", + "PF3_CAPABILITY_POINTER": "01010000", + "PF3_CLASS_CODE": "000000000000000000000000", + "PF3_DEVICE_ID": "0000000000000000", + "PF3_DEV_CAP_MAX_PAYLOAD_SIZE": "011", + "PF3_DPA_CAP_NEXTPTR": "000000000000", + "PF3_DPA_CAP_SUB_STATE_CONTROL": "00000", + "PF3_DPA_CAP_SUB_STATE_CONTROL_EN": "TRUE", + "PF3_DPA_CAP_SUB_STATE_POWER_ALLOCATION0": "00000000", + "PF3_DPA_CAP_SUB_STATE_POWER_ALLOCATION1": "00000000", + "PF3_DPA_CAP_SUB_STATE_POWER_ALLOCATION2": "00000000", + "PF3_DPA_CAP_SUB_STATE_POWER_ALLOCATION3": "00000000", + "PF3_DPA_CAP_SUB_STATE_POWER_ALLOCATION4": "00000000", + "PF3_DPA_CAP_SUB_STATE_POWER_ALLOCATION5": "00000000", + "PF3_DPA_CAP_SUB_STATE_POWER_ALLOCATION6": "00000000", + "PF3_DPA_CAP_SUB_STATE_POWER_ALLOCATION7": "00000000", + "PF3_DPA_CAP_VER": "0001", + "PF3_DSN_CAP_NEXTPTR": "000100001100", + "PF3_EXPANSION_ROM_APERTURE_SIZE": "00011", + "PF3_EXPANSION_ROM_ENABLE": "FALSE", + "PF3_INTERRUPT_LINE": "00000000", + "PF3_INTERRUPT_PIN": "001", + "PF3_MSIX_CAP_NEXTPTR": "00000000", + "PF3_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "PF3_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "PF3_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "PF3_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "PF3_MSIX_CAP_TABLE_SIZE": "00000000000", + "PF3_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "PF3_MSI_CAP_NEXTPTR": "00000000", + "PF3_MSI_CAP_PERVECMASKCAP": "FALSE", + "PF3_PB_CAP_DATA_REG_D0": "00000000000000000000000000000000", + "PF3_PB_CAP_DATA_REG_D0_SUSTAINED": "00000000000000000000000000000000", + "PF3_PB_CAP_DATA_REG_D1": "00000000000000000000000000000000", + "PF3_PB_CAP_DATA_REG_D3HOT": "00000000000000000000000000000000", + "PF3_PB_CAP_NEXTPTR": "000000000000", + "PF3_PB_CAP_SYSTEM_ALLOCATED": "FALSE", + "PF3_PB_CAP_VER": "0001", + "PF3_PM_CAP_ID": "00000001", + "PF3_PM_CAP_NEXTPTR": "00000000", + "PF3_PM_CAP_VER_ID": "011", + "PF3_RBAR_CAP_ENABLE": "FALSE", + "PF3_RBAR_CAP_NEXTPTR": "000000000000", + "PF3_RBAR_CAP_SIZE0": "00000000000000000000", + "PF3_RBAR_CAP_SIZE1": "00000000000000000000", + "PF3_RBAR_CAP_SIZE2": "00000000000000000000", + "PF3_RBAR_CAP_VER": "0001", + "PF3_RBAR_CONTROL_INDEX0": "000", + "PF3_RBAR_CONTROL_INDEX1": "000", + "PF3_RBAR_CONTROL_INDEX2": "000", + "PF3_RBAR_CONTROL_SIZE0": "00000", + "PF3_RBAR_CONTROL_SIZE1": "00000", + "PF3_RBAR_CONTROL_SIZE2": "00000", + "PF3_RBAR_NUM": "001", + "PF3_REVISION_ID": "00000000", + "PF3_SRIOV_BAR0_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR0_CONTROL": "100", + "PF3_SRIOV_BAR1_APERTURE_SIZE": "00000", + "PF3_SRIOV_BAR1_CONTROL": "000", + "PF3_SRIOV_BAR2_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR2_CONTROL": "100", + "PF3_SRIOV_BAR3_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR3_CONTROL": "000", + "PF3_SRIOV_BAR4_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR4_CONTROL": "100", + "PF3_SRIOV_BAR5_APERTURE_SIZE": "00011", + "PF3_SRIOV_BAR5_CONTROL": "000", + "PF3_SRIOV_CAP_INITIAL_VF": "0000000000000000", + "PF3_SRIOV_CAP_NEXTPTR": "000000000000", + "PF3_SRIOV_CAP_TOTAL_VF": "0000000000000000", + "PF3_SRIOV_CAP_VER": "0001", + "PF3_SRIOV_FIRST_VF_OFFSET": "0000000000000000", + "PF3_SRIOV_FUNC_DEP_LINK": "0000000000000000", + "PF3_SRIOV_SUPPORTED_PAGE_SIZE": "00000000000000000000000000000000", + "PF3_SRIOV_VF_DEVICE_ID": "0000000000000000", + "PF3_SUBSYSTEM_ID": "0000000000000000", + "PF3_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "PF3_TPHR_CAP_ENABLE": "FALSE", + "PF3_TPHR_CAP_INT_VEC_MODE": "TRUE", + "PF3_TPHR_CAP_NEXTPTR": "000000000000", + "PF3_TPHR_CAP_ST_MODE_SEL": "000", + "PF3_TPHR_CAP_ST_TABLE_LOC": "00", + "PF3_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "PF3_TPHR_CAP_VER": "0001", + "PL_DISABLE_AUTO_EQ_SPEED_CHANGE_TO_GEN3": "FALSE", + "PL_DISABLE_AUTO_SPEED_CHANGE_TO_GEN2": "FALSE", + "PL_DISABLE_EI_INFER_IN_L0": "FALSE", + "PL_DISABLE_GEN3_DC_BALANCE": "FALSE", + "PL_DISABLE_GEN3_LFSR_UPDATE_ON_SKP": "TRUE", + "PL_DISABLE_RETRAIN_ON_FRAMING_ERROR": "FALSE", + "PL_DISABLE_SCRAMBLING": "FALSE", + "PL_DISABLE_SYNC_HEADER_FRAMING_ERROR": "FALSE", + "PL_DISABLE_UPCONFIG_CAPABLE": "FALSE", + "PL_EQ_ADAPT_DISABLE_COEFF_CHECK": "FALSE", + "PL_EQ_ADAPT_DISABLE_PRESET_CHECK": "FALSE", + "PL_EQ_ADAPT_ITER_COUNT": "00010", + "PL_EQ_ADAPT_REJECT_RETRY_COUNT": "01", + "PL_EQ_BYPASS_PHASE23": "FALSE", + "PL_EQ_DEFAULT_GEN3_RX_PRESET_HINT": "011", + "PL_EQ_DEFAULT_GEN3_TX_PRESET": "0100", + "PL_EQ_PHASE01_RX_ADAPT": "FALSE", + "PL_EQ_SHORT_ADAPT_PHASE": "FALSE", + "PL_LANE0_EQ_CONTROL": "0011111100000000", + "PL_LANE1_EQ_CONTROL": "0011111100000000", + "PL_LANE2_EQ_CONTROL": "0011111100000000", + "PL_LANE3_EQ_CONTROL": "0011111100000000", + "PL_LANE4_EQ_CONTROL": "0011111100000000", + "PL_LANE5_EQ_CONTROL": "0011111100000000", + "PL_LANE6_EQ_CONTROL": "0011111100000000", + "PL_LANE7_EQ_CONTROL": "0011111100000000", + "PL_LINK_CAP_MAX_LINK_SPEED": "100", + "PL_LINK_CAP_MAX_LINK_WIDTH": "1000", + "PL_N_FTS_COMCLK_GEN1": "00000000000000000000000011111111", + "PL_N_FTS_COMCLK_GEN2": "00000000000000000000000011111111", + "PL_N_FTS_COMCLK_GEN3": "00000000000000000000000011111111", + "PL_N_FTS_GEN1": "00000000000000000000000011111111", + "PL_N_FTS_GEN2": "00000000000000000000000011111111", + "PL_N_FTS_GEN3": "00000000000000000000000011111111", + "PL_REPORT_ALL_PHY_ERRORS": "TRUE", + "PL_SIM_FAST_LINK_TRAINING": "FALSE", + "PL_UPSTREAM_FACING": "TRUE", + "PM_ASPML0S_TIMEOUT": "0000010111011100", + "PM_ASPML1_ENTRY_DELAY": "00000000000000000000", + "PM_ENABLE_L23_ENTRY": "FALSE", + "PM_ENABLE_SLOT_POWER_CAPTURE": "TRUE", + "PM_L1_REENTRY_DELAY": "00000000000000000000000000000000", + "PM_PME_SERVICE_TIMEOUT_DELAY": "00011000011010100000", + "PM_PME_TURNOFF_ACK_DELAY": "0000000001100100", + "SIM_JTAG_IDCODE": "00000000000000000000000000000000", + "SIM_VERSION": "1.0", + "SPARE_BIT0": "00000000000000000000000000000000", + "SPARE_BIT1": "00000000000000000000000000000000", + "SPARE_BIT2": "00000000000000000000000000000000", + "SPARE_BIT3": "00000000000000000000000000000000", + "SPARE_BIT4": "00000000000000000000000000000000", + "SPARE_BIT5": "00000000000000000000000000000000", + "SPARE_BIT6": "00000000000000000000000000000000", + "SPARE_BIT7": "00000000000000000000000000000000", + "SPARE_BIT8": "00000000000000000000000000000000", + "SPARE_BYTE0": "00000000", + "SPARE_BYTE1": "00000000", + "SPARE_BYTE2": "00000000", + "SPARE_BYTE3": "00000000", + "SPARE_WORD0": "00000000000000000000000000000000", + "SPARE_WORD1": "00000000000000000000000000000000", + "SPARE_WORD2": "00000000000000000000000000000000", + "SPARE_WORD3": "00000000000000000000000000000000", + "SRIOV_CAP_ENABLE": "FALSE", + "TL_COMPLETION_RAM_SIZE_16K": "TRUE", + "TL_COMPL_TIMEOUT_REG0": "101111101011110000100000", + "TL_COMPL_TIMEOUT_REG1": "0010111110101111000010000000", + "TL_CREDITS_CD": "001111100000", + "TL_CREDITS_CH": "00100000", + "TL_CREDITS_NPD": "000000101000", + "TL_CREDITS_NPH": "00100000", + "TL_CREDITS_PD": "000110011000", + "TL_CREDITS_PH": "00100000", + "TL_ENABLE_MESSAGE_RID_CHECK_ENABLE": "TRUE", + "TL_EXTENDED_CFG_EXTEND_INTERFACE_ENABLE": "FALSE", + "TL_LEGACY_CFG_EXTEND_INTERFACE_ENABLE": "FALSE", + "TL_LEGACY_MODE_ENABLE": "FALSE", + "TL_PF_ENABLE_REG": "00", + "TL_TX_MUX_STRICT_PRIORITY": "TRUE", + "TWO_LAYER_MODE_DLCMSM_ENABLE": "TRUE", + "TWO_LAYER_MODE_ENABLE": "FALSE", + "TWO_LAYER_MODE_WIDTH_256": "TRUE", + "VF0_ARI_CAP_NEXTPTR": "000000000000", + "VF0_CAPABILITY_POINTER": "01010000", + "VF0_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF0_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF0_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF0_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF0_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF0_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF0_PM_CAP_ID": "00000001", + "VF0_PM_CAP_NEXTPTR": "00000000", + "VF0_PM_CAP_VER_ID": "011", + "VF0_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF0_TPHR_CAP_ENABLE": "FALSE", + "VF0_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF0_TPHR_CAP_NEXTPTR": "000000000000", + "VF0_TPHR_CAP_ST_MODE_SEL": "000", + "VF0_TPHR_CAP_ST_TABLE_LOC": "00", + "VF0_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF0_TPHR_CAP_VER": "0001", + "VF1_ARI_CAP_NEXTPTR": "000000000000", + "VF1_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF1_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF1_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF1_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF1_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF1_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF1_PM_CAP_ID": "00000001", + "VF1_PM_CAP_NEXTPTR": "00000000", + "VF1_PM_CAP_VER_ID": "011", + "VF1_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF1_TPHR_CAP_ENABLE": "FALSE", + "VF1_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF1_TPHR_CAP_NEXTPTR": "000000000000", + "VF1_TPHR_CAP_ST_MODE_SEL": "000", + "VF1_TPHR_CAP_ST_TABLE_LOC": "00", + "VF1_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF1_TPHR_CAP_VER": "0001", + "VF2_ARI_CAP_NEXTPTR": "000000000000", + "VF2_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF2_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF2_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF2_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF2_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF2_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF2_PM_CAP_ID": "00000001", + "VF2_PM_CAP_NEXTPTR": "00000000", + "VF2_PM_CAP_VER_ID": "011", + "VF2_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF2_TPHR_CAP_ENABLE": "FALSE", + "VF2_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF2_TPHR_CAP_NEXTPTR": "000000000000", + "VF2_TPHR_CAP_ST_MODE_SEL": "000", + "VF2_TPHR_CAP_ST_TABLE_LOC": "00", + "VF2_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF2_TPHR_CAP_VER": "0001", + "VF3_ARI_CAP_NEXTPTR": "000000000000", + "VF3_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF3_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF3_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF3_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF3_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF3_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF3_PM_CAP_ID": "00000001", + "VF3_PM_CAP_NEXTPTR": "00000000", + "VF3_PM_CAP_VER_ID": "011", + "VF3_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF3_TPHR_CAP_ENABLE": "FALSE", + "VF3_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF3_TPHR_CAP_NEXTPTR": "000000000000", + "VF3_TPHR_CAP_ST_MODE_SEL": "000", + "VF3_TPHR_CAP_ST_TABLE_LOC": "00", + "VF3_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF3_TPHR_CAP_VER": "0001", + "VF4_ARI_CAP_NEXTPTR": "000000000000", + "VF4_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF4_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF4_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF4_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF4_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF4_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF4_PM_CAP_ID": "00000001", + "VF4_PM_CAP_NEXTPTR": "00000000", + "VF4_PM_CAP_VER_ID": "011", + "VF4_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF4_TPHR_CAP_ENABLE": "FALSE", + "VF4_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF4_TPHR_CAP_NEXTPTR": "000000000000", + "VF4_TPHR_CAP_ST_MODE_SEL": "000", + "VF4_TPHR_CAP_ST_TABLE_LOC": "00", + "VF4_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF4_TPHR_CAP_VER": "0001", + "VF5_ARI_CAP_NEXTPTR": "000000000000", + "VF5_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF5_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF5_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF5_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF5_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF5_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF5_PM_CAP_ID": "00000001", + "VF5_PM_CAP_NEXTPTR": "00000000", + "VF5_PM_CAP_VER_ID": "011", + "VF5_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF5_TPHR_CAP_ENABLE": "FALSE", + "VF5_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF5_TPHR_CAP_NEXTPTR": "000000000000", + "VF5_TPHR_CAP_ST_MODE_SEL": "000", + "VF5_TPHR_CAP_ST_TABLE_LOC": "00", + "VF5_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF5_TPHR_CAP_VER": "0001", + "VF6_ARI_CAP_NEXTPTR": "000000000000", + "VF6_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF6_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF6_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF6_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF6_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF6_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF6_PM_CAP_ID": "00000001", + "VF6_PM_CAP_NEXTPTR": "00000000", + "VF6_PM_CAP_VER_ID": "011", + "VF6_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF6_TPHR_CAP_ENABLE": "FALSE", + "VF6_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF6_TPHR_CAP_NEXTPTR": "000000000000", + "VF6_TPHR_CAP_ST_MODE_SEL": "000", + "VF6_TPHR_CAP_ST_TABLE_LOC": "00", + "VF6_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF6_TPHR_CAP_VER": "0001", + "VF7_ARI_CAP_NEXTPTR": "000000000000", + "VF7_MSIX_CAP_PBA_BIR": "00000000000000000000000000000000", + "VF7_MSIX_CAP_PBA_OFFSET": "00000000000000000000001010000", + "VF7_MSIX_CAP_TABLE_BIR": "00000000000000000000000000000000", + "VF7_MSIX_CAP_TABLE_OFFSET": "00000000000000000000001000000", + "VF7_MSIX_CAP_TABLE_SIZE": "00000000000", + "VF7_MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "VF7_PM_CAP_ID": "00000001", + "VF7_PM_CAP_NEXTPTR": "00000000", + "VF7_PM_CAP_VER_ID": "011", + "VF7_TPHR_CAP_DEV_SPECIFIC_MODE": "TRUE", + "VF7_TPHR_CAP_ENABLE": "FALSE", + "VF7_TPHR_CAP_INT_VEC_MODE": "TRUE", + "VF7_TPHR_CAP_NEXTPTR": "000000000000", + "VF7_TPHR_CAP_ST_MODE_SEL": "000", + "VF7_TPHR_CAP_ST_TABLE_LOC": "00", + "VF7_TPHR_CAP_ST_TABLE_SIZE": "00000000000", + "VF7_TPHR_CAP_VER": "0001" + }, + "ports": { + "CFGCURRENTSPEED": { + "direction": "output", + "bits": [ 2, 3, 4 ] + }, + "CFGDPASUBSTATECHANGE": { + "direction": "output", + "bits": [ 5, 6, 7, 8 ] + }, + "CFGERRCOROUT": { + "direction": "output", + "bits": [ 9 ] + }, + "CFGERRFATALOUT": { + "direction": "output", + "bits": [ 10 ] + }, + "CFGERRNONFATALOUT": { + "direction": "output", + "bits": [ 11 ] + }, + "CFGEXTFUNCTIONNUMBER": { + "direction": "output", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "CFGEXTREADRECEIVED": { + "direction": "output", + "bits": [ 20 ] + }, + "CFGEXTREGISTERNUMBER": { + "direction": "output", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30 ] + }, + "CFGEXTWRITEBYTEENABLE": { + "direction": "output", + "bits": [ 31, 32, 33, 34 ] + }, + "CFGEXTWRITEDATA": { + "direction": "output", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "CFGEXTWRITERECEIVED": { + "direction": "output", + "bits": [ 67 ] + }, + "CFGFCCPLD": { + "direction": "output", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79 ] + }, + "CFGFCCPLH": { + "direction": "output", + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87 ] + }, + "CFGFCNPD": { + "direction": "output", + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "CFGFCNPH": { + "direction": "output", + "bits": [ 100, 101, 102, 103, 104, 105, 106, 107 ] + }, + "CFGFCPD": { + "direction": "output", + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ] + }, + "CFGFCPH": { + "direction": "output", + "bits": [ 120, 121, 122, 123, 124, 125, 126, 127 ] + }, + "CFGFLRINPROCESS": { + "direction": "output", + "bits": [ 128, 129, 130, 131 ] + }, + "CFGFUNCTIONPOWERSTATE": { + "direction": "output", + "bits": [ 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ] + }, + "CFGFUNCTIONSTATUS": { + "direction": "output", + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159 ] + }, + "CFGHOTRESETOUT": { + "direction": "output", + "bits": [ 160 ] + }, + "CFGINTERRUPTMSIDATA": { + "direction": "output", + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192 ] + }, + "CFGINTERRUPTMSIENABLE": { + "direction": "output", + "bits": [ 193, 194, 195, 196 ] + }, + "CFGINTERRUPTMSIFAIL": { + "direction": "output", + "bits": [ 197 ] + }, + "CFGINTERRUPTMSIMASKUPDATE": { + "direction": "output", + "bits": [ 198 ] + }, + "CFGINTERRUPTMSIMMENABLE": { + "direction": "output", + "bits": [ 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ] + }, + "CFGINTERRUPTMSISENT": { + "direction": "output", + "bits": [ 211 ] + }, + "CFGINTERRUPTMSIVFENABLE": { + "direction": "output", + "bits": [ 212, 213, 214, 215, 216, 217, 218, 219 ] + }, + "CFGINTERRUPTMSIXENABLE": { + "direction": "output", + "bits": [ 220, 221, 222, 223 ] + }, + "CFGINTERRUPTMSIXFAIL": { + "direction": "output", + "bits": [ 224 ] + }, + "CFGINTERRUPTMSIXMASK": { + "direction": "output", + "bits": [ 225, 226, 227, 228 ] + }, + "CFGINTERRUPTMSIXSENT": { + "direction": "output", + "bits": [ 229 ] + }, + "CFGINTERRUPTMSIXVFENABLE": { + "direction": "output", + "bits": [ 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "CFGINTERRUPTMSIXVFMASK": { + "direction": "output", + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245 ] + }, + "CFGINTERRUPTSENT": { + "direction": "output", + "bits": [ 246 ] + }, + "CFGLINKPOWERSTATE": { + "direction": "output", + "bits": [ 247, 248 ] + }, + "CFGLOCALERROR": { + "direction": "output", + "bits": [ 249 ] + }, + "CFGLTRENABLE": { + "direction": "output", + "bits": [ 250 ] + }, + "CFGLTSSMSTATE": { + "direction": "output", + "bits": [ 251, 252, 253, 254, 255, 256 ] + }, + "CFGMAXPAYLOAD": { + "direction": "output", + "bits": [ 257, 258, 259 ] + }, + "CFGMAXREADREQ": { + "direction": "output", + "bits": [ 260, 261, 262 ] + }, + "CFGMGMTREADDATA": { + "direction": "output", + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294 ] + }, + "CFGMGMTREADWRITEDONE": { + "direction": "output", + "bits": [ 295 ] + }, + "CFGMSGRECEIVED": { + "direction": "output", + "bits": [ 296 ] + }, + "CFGMSGRECEIVEDDATA": { + "direction": "output", + "bits": [ 297, 298, 299, 300, 301, 302, 303, 304 ] + }, + "CFGMSGRECEIVEDTYPE": { + "direction": "output", + "bits": [ 305, 306, 307, 308, 309 ] + }, + "CFGMSGTRANSMITDONE": { + "direction": "output", + "bits": [ 310 ] + }, + "CFGNEGOTIATEDWIDTH": { + "direction": "output", + "bits": [ 311, 312, 313, 314 ] + }, + "CFGOBFFENABLE": { + "direction": "output", + "bits": [ 315, 316 ] + }, + "CFGPERFUNCSTATUSDATA": { + "direction": "output", + "bits": [ 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332 ] + }, + "CFGPERFUNCTIONUPDATEDONE": { + "direction": "output", + "bits": [ 333 ] + }, + "CFGPHYLINKDOWN": { + "direction": "output", + "bits": [ 334 ] + }, + "CFGPHYLINKSTATUS": { + "direction": "output", + "bits": [ 335, 336 ] + }, + "CFGPLSTATUSCHANGE": { + "direction": "output", + "bits": [ 337 ] + }, + "CFGPOWERSTATECHANGEINTERRUPT": { + "direction": "output", + "bits": [ 338 ] + }, + "CFGRCBSTATUS": { + "direction": "output", + "bits": [ 339, 340, 341, 342 ] + }, + "CFGTPHFUNCTIONNUM": { + "direction": "output", + "bits": [ 343, 344, 345, 346 ] + }, + "CFGTPHREQUESTERENABLE": { + "direction": "output", + "bits": [ 347, 348, 349, 350 ] + }, + "CFGTPHSTMODE": { + "direction": "output", + "bits": [ 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362 ] + }, + "CFGTPHSTTADDRESS": { + "direction": "output", + "bits": [ 363, 364, 365, 366, 367 ] + }, + "CFGTPHSTTREADENABLE": { + "direction": "output", + "bits": [ 368 ] + }, + "CFGTPHSTTWRITEBYTEVALID": { + "direction": "output", + "bits": [ 369, 370, 371, 372 ] + }, + "CFGTPHSTTWRITEDATA": { + "direction": "output", + "bits": [ 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404 ] + }, + "CFGTPHSTTWRITEENABLE": { + "direction": "output", + "bits": [ 405 ] + }, + "CFGVFFLRINPROCESS": { + "direction": "output", + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413 ] + }, + "CFGVFPOWERSTATE": { + "direction": "output", + "bits": [ 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437 ] + }, + "CFGVFSTATUS": { + "direction": "output", + "bits": [ 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453 ] + }, + "CFGVFTPHREQUESTERENABLE": { + "direction": "output", + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ] + }, + "CFGVFTPHSTMODE": { + "direction": "output", + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485 ] + }, + "CONFMCAPDESIGNSWITCH": { + "direction": "output", + "bits": [ 486 ] + }, + "CONFMCAPEOS": { + "direction": "output", + "bits": [ 487 ] + }, + "CONFMCAPINUSEBYPCIE": { + "direction": "output", + "bits": [ 488 ] + }, + "CONFREQREADY": { + "direction": "output", + "bits": [ 489 ] + }, + "CONFRESPRDATA": { + "direction": "output", + "bits": [ 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521 ] + }, + "CONFRESPVALID": { + "direction": "output", + "bits": [ 522 ] + }, + "DBGDATAOUT": { + "direction": "output", + "bits": [ 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538 ] + }, + "DBGMCAPCSB": { + "direction": "output", + "bits": [ 539 ] + }, + "DBGMCAPDATA": { + "direction": "output", + "bits": [ 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571 ] + }, + "DBGMCAPEOS": { + "direction": "output", + "bits": [ 572 ] + }, + "DBGMCAPERROR": { + "direction": "output", + "bits": [ 573 ] + }, + "DBGMCAPMODE": { + "direction": "output", + "bits": [ 574 ] + }, + "DBGMCAPRDATAVALID": { + "direction": "output", + "bits": [ 575 ] + }, + "DBGMCAPRDWRB": { + "direction": "output", + "bits": [ 576 ] + }, + "DBGMCAPRESET": { + "direction": "output", + "bits": [ 577 ] + }, + "DBGPLDATABLOCKRECEIVEDAFTEREDS": { + "direction": "output", + "bits": [ 578 ] + }, + "DBGPLGEN3FRAMINGERRORDETECTED": { + "direction": "output", + "bits": [ 579 ] + }, + "DBGPLGEN3SYNCHEADERERRORDETECTED": { + "direction": "output", + "bits": [ 580 ] + }, + "DBGPLINFERREDRXELECTRICALIDLE": { + "direction": "output", + "bits": [ 581, 582, 583, 584, 585, 586, 587, 588 ] + }, + "DRPDO": { + "direction": "output", + "bits": [ 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604 ] + }, + "DRPRDY": { + "direction": "output", + "bits": [ 605 ] + }, + "LL2LMMASTERTLPSENT0": { + "direction": "output", + "bits": [ 606 ] + }, + "LL2LMMASTERTLPSENT1": { + "direction": "output", + "bits": [ 607 ] + }, + "LL2LMMASTERTLPSENTTLPID0": { + "direction": "output", + "bits": [ 608, 609, 610, 611 ] + }, + "LL2LMMASTERTLPSENTTLPID1": { + "direction": "output", + "bits": [ 612, 613, 614, 615 ] + }, + "LL2LMMAXISRXTDATA": { + "direction": "output", + "bits": [ 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871 ] + }, + "LL2LMMAXISRXTUSER": { + "direction": "output", + "bits": [ 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889 ] + }, + "LL2LMMAXISRXTVALID": { + "direction": "output", + "bits": [ 890, 891, 892, 893, 894, 895, 896, 897 ] + }, + "LL2LMSAXISTXTREADY": { + "direction": "output", + "bits": [ 898, 899, 900, 901, 902, 903, 904, 905 ] + }, + "MAXISCQTDATA": { + "direction": "output", + "bits": [ 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161 ] + }, + "MAXISCQTKEEP": { + "direction": "output", + "bits": [ 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169 ] + }, + "MAXISCQTLAST": { + "direction": "output", + "bits": [ 1170 ] + }, + "MAXISCQTUSER": { + "direction": "output", + "bits": [ 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255 ] + }, + "MAXISCQTVALID": { + "direction": "output", + "bits": [ 1256 ] + }, + "MAXISRCTDATA": { + "direction": "output", + "bits": [ 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512 ] + }, + "MAXISRCTKEEP": { + "direction": "output", + "bits": [ 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520 ] + }, + "MAXISRCTLAST": { + "direction": "output", + "bits": [ 1521 ] + }, + "MAXISRCTUSER": { + "direction": "output", + "bits": [ 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596 ] + }, + "MAXISRCTVALID": { + "direction": "output", + "bits": [ 1597 ] + }, + "MICOMPLETIONRAMREADADDRESSAL": { + "direction": "output", + "bits": [ 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607 ] + }, + "MICOMPLETIONRAMREADADDRESSAU": { + "direction": "output", + "bits": [ 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617 ] + }, + "MICOMPLETIONRAMREADADDRESSBL": { + "direction": "output", + "bits": [ 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627 ] + }, + "MICOMPLETIONRAMREADADDRESSBU": { + "direction": "output", + "bits": [ 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637 ] + }, + "MICOMPLETIONRAMREADENABLEL": { + "direction": "output", + "bits": [ 1638, 1639, 1640, 1641 ] + }, + "MICOMPLETIONRAMREADENABLEU": { + "direction": "output", + "bits": [ 1642, 1643, 1644, 1645 ] + }, + "MICOMPLETIONRAMWRITEADDRESSAL": { + "direction": "output", + "bits": [ 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655 ] + }, + "MICOMPLETIONRAMWRITEADDRESSAU": { + "direction": "output", + "bits": [ 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665 ] + }, + "MICOMPLETIONRAMWRITEADDRESSBL": { + "direction": "output", + "bits": [ 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675 ] + }, + "MICOMPLETIONRAMWRITEADDRESSBU": { + "direction": "output", + "bits": [ 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685 ] + }, + "MICOMPLETIONRAMWRITEDATAL": { + "direction": "output", + "bits": [ 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757 ] + }, + "MICOMPLETIONRAMWRITEDATAU": { + "direction": "output", + "bits": [ 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829 ] + }, + "MICOMPLETIONRAMWRITEENABLEL": { + "direction": "output", + "bits": [ 1830, 1831, 1832, 1833 ] + }, + "MICOMPLETIONRAMWRITEENABLEU": { + "direction": "output", + "bits": [ 1834, 1835, 1836, 1837 ] + }, + "MIREPLAYRAMADDRESS": { + "direction": "output", + "bits": [ 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846 ] + }, + "MIREPLAYRAMREADENABLE": { + "direction": "output", + "bits": [ 1847, 1848 ] + }, + "MIREPLAYRAMWRITEDATA": { + "direction": "output", + "bits": [ 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992 ] + }, + "MIREPLAYRAMWRITEENABLE": { + "direction": "output", + "bits": [ 1993, 1994 ] + }, + "MIREQUESTRAMREADADDRESSA": { + "direction": "output", + "bits": [ 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003 ] + }, + "MIREQUESTRAMREADADDRESSB": { + "direction": "output", + "bits": [ 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012 ] + }, + "MIREQUESTRAMREADENABLE": { + "direction": "output", + "bits": [ 2013, 2014, 2015, 2016 ] + }, + "MIREQUESTRAMWRITEADDRESSA": { + "direction": "output", + "bits": [ 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025 ] + }, + "MIREQUESTRAMWRITEADDRESSB": { + "direction": "output", + "bits": [ 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034 ] + }, + "MIREQUESTRAMWRITEDATA": { + "direction": "output", + "bits": [ 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178 ] + }, + "MIREQUESTRAMWRITEENABLE": { + "direction": "output", + "bits": [ 2179, 2180, 2181, 2182 ] + }, + "PCIECQNPREQCOUNT": { + "direction": "output", + "bits": [ 2183, 2184, 2185, 2186, 2187, 2188 ] + }, + "PCIEPERST0B": { + "direction": "output", + "bits": [ 2189 ] + }, + "PCIEPERST1B": { + "direction": "output", + "bits": [ 2190 ] + }, + "PCIERQSEQNUM": { + "direction": "output", + "bits": [ 2191, 2192, 2193, 2194 ] + }, + "PCIERQSEQNUMVLD": { + "direction": "output", + "bits": [ 2195 ] + }, + "PCIERQTAG": { + "direction": "output", + "bits": [ 2196, 2197, 2198, 2199, 2200, 2201 ] + }, + "PCIERQTAGAV": { + "direction": "output", + "bits": [ 2202, 2203 ] + }, + "PCIERQTAGVLD": { + "direction": "output", + "bits": [ 2204 ] + }, + "PCIETFCNPDAV": { + "direction": "output", + "bits": [ 2205, 2206 ] + }, + "PCIETFCNPHAV": { + "direction": "output", + "bits": [ 2207, 2208 ] + }, + "PIPERX0EQCONTROL": { + "direction": "output", + "bits": [ 2209, 2210 ] + }, + "PIPERX0EQLPLFFS": { + "direction": "output", + "bits": [ 2211, 2212, 2213, 2214, 2215, 2216 ] + }, + "PIPERX0EQLPTXPRESET": { + "direction": "output", + "bits": [ 2217, 2218, 2219, 2220 ] + }, + "PIPERX0EQPRESET": { + "direction": "output", + "bits": [ 2221, 2222, 2223 ] + }, + "PIPERX0POLARITY": { + "direction": "output", + "bits": [ 2224 ] + }, + "PIPERX1EQCONTROL": { + "direction": "output", + "bits": [ 2225, 2226 ] + }, + "PIPERX1EQLPLFFS": { + "direction": "output", + "bits": [ 2227, 2228, 2229, 2230, 2231, 2232 ] + }, + "PIPERX1EQLPTXPRESET": { + "direction": "output", + "bits": [ 2233, 2234, 2235, 2236 ] + }, + "PIPERX1EQPRESET": { + "direction": "output", + "bits": [ 2237, 2238, 2239 ] + }, + "PIPERX1POLARITY": { + "direction": "output", + "bits": [ 2240 ] + }, + "PIPERX2EQCONTROL": { + "direction": "output", + "bits": [ 2241, 2242 ] + }, + "PIPERX2EQLPLFFS": { + "direction": "output", + "bits": [ 2243, 2244, 2245, 2246, 2247, 2248 ] + }, + "PIPERX2EQLPTXPRESET": { + "direction": "output", + "bits": [ 2249, 2250, 2251, 2252 ] + }, + "PIPERX2EQPRESET": { + "direction": "output", + "bits": [ 2253, 2254, 2255 ] + }, + "PIPERX2POLARITY": { + "direction": "output", + "bits": [ 2256 ] + }, + "PIPERX3EQCONTROL": { + "direction": "output", + "bits": [ 2257, 2258 ] + }, + "PIPERX3EQLPLFFS": { + "direction": "output", + "bits": [ 2259, 2260, 2261, 2262, 2263, 2264 ] + }, + "PIPERX3EQLPTXPRESET": { + "direction": "output", + "bits": [ 2265, 2266, 2267, 2268 ] + }, + "PIPERX3EQPRESET": { + "direction": "output", + "bits": [ 2269, 2270, 2271 ] + }, + "PIPERX3POLARITY": { + "direction": "output", + "bits": [ 2272 ] + }, + "PIPERX4EQCONTROL": { + "direction": "output", + "bits": [ 2273, 2274 ] + }, + "PIPERX4EQLPLFFS": { + "direction": "output", + "bits": [ 2275, 2276, 2277, 2278, 2279, 2280 ] + }, + "PIPERX4EQLPTXPRESET": { + "direction": "output", + "bits": [ 2281, 2282, 2283, 2284 ] + }, + "PIPERX4EQPRESET": { + "direction": "output", + "bits": [ 2285, 2286, 2287 ] + }, + "PIPERX4POLARITY": { + "direction": "output", + "bits": [ 2288 ] + }, + "PIPERX5EQCONTROL": { + "direction": "output", + "bits": [ 2289, 2290 ] + }, + "PIPERX5EQLPLFFS": { + "direction": "output", + "bits": [ 2291, 2292, 2293, 2294, 2295, 2296 ] + }, + "PIPERX5EQLPTXPRESET": { + "direction": "output", + "bits": [ 2297, 2298, 2299, 2300 ] + }, + "PIPERX5EQPRESET": { + "direction": "output", + "bits": [ 2301, 2302, 2303 ] + }, + "PIPERX5POLARITY": { + "direction": "output", + "bits": [ 2304 ] + }, + "PIPERX6EQCONTROL": { + "direction": "output", + "bits": [ 2305, 2306 ] + }, + "PIPERX6EQLPLFFS": { + "direction": "output", + "bits": [ 2307, 2308, 2309, 2310, 2311, 2312 ] + }, + "PIPERX6EQLPTXPRESET": { + "direction": "output", + "bits": [ 2313, 2314, 2315, 2316 ] + }, + "PIPERX6EQPRESET": { + "direction": "output", + "bits": [ 2317, 2318, 2319 ] + }, + "PIPERX6POLARITY": { + "direction": "output", + "bits": [ 2320 ] + }, + "PIPERX7EQCONTROL": { + "direction": "output", + "bits": [ 2321, 2322 ] + }, + "PIPERX7EQLPLFFS": { + "direction": "output", + "bits": [ 2323, 2324, 2325, 2326, 2327, 2328 ] + }, + "PIPERX7EQLPTXPRESET": { + "direction": "output", + "bits": [ 2329, 2330, 2331, 2332 ] + }, + "PIPERX7EQPRESET": { + "direction": "output", + "bits": [ 2333, 2334, 2335 ] + }, + "PIPERX7POLARITY": { + "direction": "output", + "bits": [ 2336 ] + }, + "PIPETX0CHARISK": { + "direction": "output", + "bits": [ 2337, 2338 ] + }, + "PIPETX0COMPLIANCE": { + "direction": "output", + "bits": [ 2339 ] + }, + "PIPETX0DATA": { + "direction": "output", + "bits": [ 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371 ] + }, + "PIPETX0DATAVALID": { + "direction": "output", + "bits": [ 2372 ] + }, + "PIPETX0DEEMPH": { + "direction": "output", + "bits": [ 2373 ] + }, + "PIPETX0ELECIDLE": { + "direction": "output", + "bits": [ 2374 ] + }, + "PIPETX0EQCONTROL": { + "direction": "output", + "bits": [ 2375, 2376 ] + }, + "PIPETX0EQDEEMPH": { + "direction": "output", + "bits": [ 2377, 2378, 2379, 2380, 2381, 2382 ] + }, + "PIPETX0EQPRESET": { + "direction": "output", + "bits": [ 2383, 2384, 2385, 2386 ] + }, + "PIPETX0MARGIN": { + "direction": "output", + "bits": [ 2387, 2388, 2389 ] + }, + "PIPETX0POWERDOWN": { + "direction": "output", + "bits": [ 2390, 2391 ] + }, + "PIPETX0RATE": { + "direction": "output", + "bits": [ 2392, 2393 ] + }, + "PIPETX0RCVRDET": { + "direction": "output", + "bits": [ 2394 ] + }, + "PIPETX0RESET": { + "direction": "output", + "bits": [ 2395 ] + }, + "PIPETX0STARTBLOCK": { + "direction": "output", + "bits": [ 2396 ] + }, + "PIPETX0SWING": { + "direction": "output", + "bits": [ 2397 ] + }, + "PIPETX0SYNCHEADER": { + "direction": "output", + "bits": [ 2398, 2399 ] + }, + "PIPETX1CHARISK": { + "direction": "output", + "bits": [ 2400, 2401 ] + }, + "PIPETX1COMPLIANCE": { + "direction": "output", + "bits": [ 2402 ] + }, + "PIPETX1DATA": { + "direction": "output", + "bits": [ 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434 ] + }, + "PIPETX1DATAVALID": { + "direction": "output", + "bits": [ 2435 ] + }, + "PIPETX1DEEMPH": { + "direction": "output", + "bits": [ 2436 ] + }, + "PIPETX1ELECIDLE": { + "direction": "output", + "bits": [ 2437 ] + }, + "PIPETX1EQCONTROL": { + "direction": "output", + "bits": [ 2438, 2439 ] + }, + "PIPETX1EQDEEMPH": { + "direction": "output", + "bits": [ 2440, 2441, 2442, 2443, 2444, 2445 ] + }, + "PIPETX1EQPRESET": { + "direction": "output", + "bits": [ 2446, 2447, 2448, 2449 ] + }, + "PIPETX1MARGIN": { + "direction": "output", + "bits": [ 2450, 2451, 2452 ] + }, + "PIPETX1POWERDOWN": { + "direction": "output", + "bits": [ 2453, 2454 ] + }, + "PIPETX1RATE": { + "direction": "output", + "bits": [ 2455, 2456 ] + }, + "PIPETX1RCVRDET": { + "direction": "output", + "bits": [ 2457 ] + }, + "PIPETX1RESET": { + "direction": "output", + "bits": [ 2458 ] + }, + "PIPETX1STARTBLOCK": { + "direction": "output", + "bits": [ 2459 ] + }, + "PIPETX1SWING": { + "direction": "output", + "bits": [ 2460 ] + }, + "PIPETX1SYNCHEADER": { + "direction": "output", + "bits": [ 2461, 2462 ] + }, + "PIPETX2CHARISK": { + "direction": "output", + "bits": [ 2463, 2464 ] + }, + "PIPETX2COMPLIANCE": { + "direction": "output", + "bits": [ 2465 ] + }, + "PIPETX2DATA": { + "direction": "output", + "bits": [ 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497 ] + }, + "PIPETX2DATAVALID": { + "direction": "output", + "bits": [ 2498 ] + }, + "PIPETX2DEEMPH": { + "direction": "output", + "bits": [ 2499 ] + }, + "PIPETX2ELECIDLE": { + "direction": "output", + "bits": [ 2500 ] + }, + "PIPETX2EQCONTROL": { + "direction": "output", + "bits": [ 2501, 2502 ] + }, + "PIPETX2EQDEEMPH": { + "direction": "output", + "bits": [ 2503, 2504, 2505, 2506, 2507, 2508 ] + }, + "PIPETX2EQPRESET": { + "direction": "output", + "bits": [ 2509, 2510, 2511, 2512 ] + }, + "PIPETX2MARGIN": { + "direction": "output", + "bits": [ 2513, 2514, 2515 ] + }, + "PIPETX2POWERDOWN": { + "direction": "output", + "bits": [ 2516, 2517 ] + }, + "PIPETX2RATE": { + "direction": "output", + "bits": [ 2518, 2519 ] + }, + "PIPETX2RCVRDET": { + "direction": "output", + "bits": [ 2520 ] + }, + "PIPETX2RESET": { + "direction": "output", + "bits": [ 2521 ] + }, + "PIPETX2STARTBLOCK": { + "direction": "output", + "bits": [ 2522 ] + }, + "PIPETX2SWING": { + "direction": "output", + "bits": [ 2523 ] + }, + "PIPETX2SYNCHEADER": { + "direction": "output", + "bits": [ 2524, 2525 ] + }, + "PIPETX3CHARISK": { + "direction": "output", + "bits": [ 2526, 2527 ] + }, + "PIPETX3COMPLIANCE": { + "direction": "output", + "bits": [ 2528 ] + }, + "PIPETX3DATA": { + "direction": "output", + "bits": [ 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560 ] + }, + "PIPETX3DATAVALID": { + "direction": "output", + "bits": [ 2561 ] + }, + "PIPETX3DEEMPH": { + "direction": "output", + "bits": [ 2562 ] + }, + "PIPETX3ELECIDLE": { + "direction": "output", + "bits": [ 2563 ] + }, + "PIPETX3EQCONTROL": { + "direction": "output", + "bits": [ 2564, 2565 ] + }, + "PIPETX3EQDEEMPH": { + "direction": "output", + "bits": [ 2566, 2567, 2568, 2569, 2570, 2571 ] + }, + "PIPETX3EQPRESET": { + "direction": "output", + "bits": [ 2572, 2573, 2574, 2575 ] + }, + "PIPETX3MARGIN": { + "direction": "output", + "bits": [ 2576, 2577, 2578 ] + }, + "PIPETX3POWERDOWN": { + "direction": "output", + "bits": [ 2579, 2580 ] + }, + "PIPETX3RATE": { + "direction": "output", + "bits": [ 2581, 2582 ] + }, + "PIPETX3RCVRDET": { + "direction": "output", + "bits": [ 2583 ] + }, + "PIPETX3RESET": { + "direction": "output", + "bits": [ 2584 ] + }, + "PIPETX3STARTBLOCK": { + "direction": "output", + "bits": [ 2585 ] + }, + "PIPETX3SWING": { + "direction": "output", + "bits": [ 2586 ] + }, + "PIPETX3SYNCHEADER": { + "direction": "output", + "bits": [ 2587, 2588 ] + }, + "PIPETX4CHARISK": { + "direction": "output", + "bits": [ 2589, 2590 ] + }, + "PIPETX4COMPLIANCE": { + "direction": "output", + "bits": [ 2591 ] + }, + "PIPETX4DATA": { + "direction": "output", + "bits": [ 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623 ] + }, + "PIPETX4DATAVALID": { + "direction": "output", + "bits": [ 2624 ] + }, + "PIPETX4DEEMPH": { + "direction": "output", + "bits": [ 2625 ] + }, + "PIPETX4ELECIDLE": { + "direction": "output", + "bits": [ 2626 ] + }, + "PIPETX4EQCONTROL": { + "direction": "output", + "bits": [ 2627, 2628 ] + }, + "PIPETX4EQDEEMPH": { + "direction": "output", + "bits": [ 2629, 2630, 2631, 2632, 2633, 2634 ] + }, + "PIPETX4EQPRESET": { + "direction": "output", + "bits": [ 2635, 2636, 2637, 2638 ] + }, + "PIPETX4MARGIN": { + "direction": "output", + "bits": [ 2639, 2640, 2641 ] + }, + "PIPETX4POWERDOWN": { + "direction": "output", + "bits": [ 2642, 2643 ] + }, + "PIPETX4RATE": { + "direction": "output", + "bits": [ 2644, 2645 ] + }, + "PIPETX4RCVRDET": { + "direction": "output", + "bits": [ 2646 ] + }, + "PIPETX4RESET": { + "direction": "output", + "bits": [ 2647 ] + }, + "PIPETX4STARTBLOCK": { + "direction": "output", + "bits": [ 2648 ] + }, + "PIPETX4SWING": { + "direction": "output", + "bits": [ 2649 ] + }, + "PIPETX4SYNCHEADER": { + "direction": "output", + "bits": [ 2650, 2651 ] + }, + "PIPETX5CHARISK": { + "direction": "output", + "bits": [ 2652, 2653 ] + }, + "PIPETX5COMPLIANCE": { + "direction": "output", + "bits": [ 2654 ] + }, + "PIPETX5DATA": { + "direction": "output", + "bits": [ 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686 ] + }, + "PIPETX5DATAVALID": { + "direction": "output", + "bits": [ 2687 ] + }, + "PIPETX5DEEMPH": { + "direction": "output", + "bits": [ 2688 ] + }, + "PIPETX5ELECIDLE": { + "direction": "output", + "bits": [ 2689 ] + }, + "PIPETX5EQCONTROL": { + "direction": "output", + "bits": [ 2690, 2691 ] + }, + "PIPETX5EQDEEMPH": { + "direction": "output", + "bits": [ 2692, 2693, 2694, 2695, 2696, 2697 ] + }, + "PIPETX5EQPRESET": { + "direction": "output", + "bits": [ 2698, 2699, 2700, 2701 ] + }, + "PIPETX5MARGIN": { + "direction": "output", + "bits": [ 2702, 2703, 2704 ] + }, + "PIPETX5POWERDOWN": { + "direction": "output", + "bits": [ 2705, 2706 ] + }, + "PIPETX5RATE": { + "direction": "output", + "bits": [ 2707, 2708 ] + }, + "PIPETX5RCVRDET": { + "direction": "output", + "bits": [ 2709 ] + }, + "PIPETX5RESET": { + "direction": "output", + "bits": [ 2710 ] + }, + "PIPETX5STARTBLOCK": { + "direction": "output", + "bits": [ 2711 ] + }, + "PIPETX5SWING": { + "direction": "output", + "bits": [ 2712 ] + }, + "PIPETX5SYNCHEADER": { + "direction": "output", + "bits": [ 2713, 2714 ] + }, + "PIPETX6CHARISK": { + "direction": "output", + "bits": [ 2715, 2716 ] + }, + "PIPETX6COMPLIANCE": { + "direction": "output", + "bits": [ 2717 ] + }, + "PIPETX6DATA": { + "direction": "output", + "bits": [ 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749 ] + }, + "PIPETX6DATAVALID": { + "direction": "output", + "bits": [ 2750 ] + }, + "PIPETX6DEEMPH": { + "direction": "output", + "bits": [ 2751 ] + }, + "PIPETX6ELECIDLE": { + "direction": "output", + "bits": [ 2752 ] + }, + "PIPETX6EQCONTROL": { + "direction": "output", + "bits": [ 2753, 2754 ] + }, + "PIPETX6EQDEEMPH": { + "direction": "output", + "bits": [ 2755, 2756, 2757, 2758, 2759, 2760 ] + }, + "PIPETX6EQPRESET": { + "direction": "output", + "bits": [ 2761, 2762, 2763, 2764 ] + }, + "PIPETX6MARGIN": { + "direction": "output", + "bits": [ 2765, 2766, 2767 ] + }, + "PIPETX6POWERDOWN": { + "direction": "output", + "bits": [ 2768, 2769 ] + }, + "PIPETX6RATE": { + "direction": "output", + "bits": [ 2770, 2771 ] + }, + "PIPETX6RCVRDET": { + "direction": "output", + "bits": [ 2772 ] + }, + "PIPETX6RESET": { + "direction": "output", + "bits": [ 2773 ] + }, + "PIPETX6STARTBLOCK": { + "direction": "output", + "bits": [ 2774 ] + }, + "PIPETX6SWING": { + "direction": "output", + "bits": [ 2775 ] + }, + "PIPETX6SYNCHEADER": { + "direction": "output", + "bits": [ 2776, 2777 ] + }, + "PIPETX7CHARISK": { + "direction": "output", + "bits": [ 2778, 2779 ] + }, + "PIPETX7COMPLIANCE": { + "direction": "output", + "bits": [ 2780 ] + }, + "PIPETX7DATA": { + "direction": "output", + "bits": [ 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812 ] + }, + "PIPETX7DATAVALID": { + "direction": "output", + "bits": [ 2813 ] + }, + "PIPETX7DEEMPH": { + "direction": "output", + "bits": [ 2814 ] + }, + "PIPETX7ELECIDLE": { + "direction": "output", + "bits": [ 2815 ] + }, + "PIPETX7EQCONTROL": { + "direction": "output", + "bits": [ 2816, 2817 ] + }, + "PIPETX7EQDEEMPH": { + "direction": "output", + "bits": [ 2818, 2819, 2820, 2821, 2822, 2823 ] + }, + "PIPETX7EQPRESET": { + "direction": "output", + "bits": [ 2824, 2825, 2826, 2827 ] + }, + "PIPETX7MARGIN": { + "direction": "output", + "bits": [ 2828, 2829, 2830 ] + }, + "PIPETX7POWERDOWN": { + "direction": "output", + "bits": [ 2831, 2832 ] + }, + "PIPETX7RATE": { + "direction": "output", + "bits": [ 2833, 2834 ] + }, + "PIPETX7RCVRDET": { + "direction": "output", + "bits": [ 2835 ] + }, + "PIPETX7RESET": { + "direction": "output", + "bits": [ 2836 ] + }, + "PIPETX7STARTBLOCK": { + "direction": "output", + "bits": [ 2837 ] + }, + "PIPETX7SWING": { + "direction": "output", + "bits": [ 2838 ] + }, + "PIPETX7SYNCHEADER": { + "direction": "output", + "bits": [ 2839, 2840 ] + }, + "PLEQINPROGRESS": { + "direction": "output", + "bits": [ 2841 ] + }, + "PLEQPHASE": { + "direction": "output", + "bits": [ 2842, 2843 ] + }, + "SAXISCCTREADY": { + "direction": "output", + "bits": [ 2844, 2845, 2846, 2847 ] + }, + "SAXISRQTREADY": { + "direction": "output", + "bits": [ 2848, 2849, 2850, 2851 ] + }, + "SPAREOUT": { + "direction": "output", + "bits": [ 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883 ] + }, + "CFGCONFIGSPACEENABLE": { + "direction": "input", + "bits": [ 2884 ] + }, + "CFGDEVID": { + "direction": "input", + "bits": [ 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900 ] + }, + "CFGDSBUSNUMBER": { + "direction": "input", + "bits": [ 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908 ] + }, + "CFGDSDEVICENUMBER": { + "direction": "input", + "bits": [ 2909, 2910, 2911, 2912, 2913 ] + }, + "CFGDSFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 2914, 2915, 2916 ] + }, + "CFGDSN": { + "direction": "input", + "bits": [ 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980 ] + }, + "CFGDSPORTNUMBER": { + "direction": "input", + "bits": [ 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988 ] + }, + "CFGERRCORIN": { + "direction": "input", + "bits": [ 2989 ] + }, + "CFGERRUNCORIN": { + "direction": "input", + "bits": [ 2990 ] + }, + "CFGEXTREADDATA": { + "direction": "input", + "bits": [ 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022 ] + }, + "CFGEXTREADDATAVALID": { + "direction": "input", + "bits": [ 3023 ] + }, + "CFGFCSEL": { + "direction": "input", + "bits": [ 3024, 3025, 3026 ] + }, + "CFGFLRDONE": { + "direction": "input", + "bits": [ 3027, 3028, 3029, 3030 ] + }, + "CFGHOTRESETIN": { + "direction": "input", + "bits": [ 3031 ] + }, + "CFGINTERRUPTINT": { + "direction": "input", + "bits": [ 3032, 3033, 3034, 3035 ] + }, + "CFGINTERRUPTMSIATTR": { + "direction": "input", + "bits": [ 3036, 3037, 3038 ] + }, + "CFGINTERRUPTMSIFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 3039, 3040, 3041, 3042 ] + }, + "CFGINTERRUPTMSIINT": { + "direction": "input", + "bits": [ 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUS": { + "direction": "input", + "bits": [ 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE": { + "direction": "input", + "bits": [ 3107 ] + }, + "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM": { + "direction": "input", + "bits": [ 3108, 3109, 3110, 3111 ] + }, + "CFGINTERRUPTMSISELECT": { + "direction": "input", + "bits": [ 3112, 3113, 3114, 3115 ] + }, + "CFGINTERRUPTMSITPHPRESENT": { + "direction": "input", + "bits": [ 3116 ] + }, + "CFGINTERRUPTMSITPHSTTAG": { + "direction": "input", + "bits": [ 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125 ] + }, + "CFGINTERRUPTMSITPHTYPE": { + "direction": "input", + "bits": [ 3126, 3127 ] + }, + "CFGINTERRUPTMSIXADDRESS": { + "direction": "input", + "bits": [ 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191 ] + }, + "CFGINTERRUPTMSIXDATA": { + "direction": "input", + "bits": [ 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223 ] + }, + "CFGINTERRUPTMSIXINT": { + "direction": "input", + "bits": [ 3224 ] + }, + "CFGINTERRUPTPENDING": { + "direction": "input", + "bits": [ 3225, 3226, 3227, 3228 ] + }, + "CFGLINKTRAININGENABLE": { + "direction": "input", + "bits": [ 3229 ] + }, + "CFGMGMTADDR": { + "direction": "input", + "bits": [ 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248 ] + }, + "CFGMGMTBYTEENABLE": { + "direction": "input", + "bits": [ 3249, 3250, 3251, 3252 ] + }, + "CFGMGMTREAD": { + "direction": "input", + "bits": [ 3253 ] + }, + "CFGMGMTTYPE1CFGREGACCESS": { + "direction": "input", + "bits": [ 3254 ] + }, + "CFGMGMTWRITE": { + "direction": "input", + "bits": [ 3255 ] + }, + "CFGMGMTWRITEDATA": { + "direction": "input", + "bits": [ 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287 ] + }, + "CFGMSGTRANSMIT": { + "direction": "input", + "bits": [ 3288 ] + }, + "CFGMSGTRANSMITDATA": { + "direction": "input", + "bits": [ 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320 ] + }, + "CFGMSGTRANSMITTYPE": { + "direction": "input", + "bits": [ 3321, 3322, 3323 ] + }, + "CFGPERFUNCSTATUSCONTROL": { + "direction": "input", + "bits": [ 3324, 3325, 3326 ] + }, + "CFGPERFUNCTIONNUMBER": { + "direction": "input", + "bits": [ 3327, 3328, 3329, 3330 ] + }, + "CFGPERFUNCTIONOUTPUTREQUEST": { + "direction": "input", + "bits": [ 3331 ] + }, + "CFGPOWERSTATECHANGEACK": { + "direction": "input", + "bits": [ 3332 ] + }, + "CFGREQPMTRANSITIONL23READY": { + "direction": "input", + "bits": [ 3333 ] + }, + "CFGREVID": { + "direction": "input", + "bits": [ 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341 ] + }, + "CFGSUBSYSID": { + "direction": "input", + "bits": [ 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357 ] + }, + "CFGSUBSYSVENDID": { + "direction": "input", + "bits": [ 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373 ] + }, + "CFGTPHSTTREADDATA": { + "direction": "input", + "bits": [ 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405 ] + }, + "CFGTPHSTTREADDATAVALID": { + "direction": "input", + "bits": [ 3406 ] + }, + "CFGVENDID": { + "direction": "input", + "bits": [ 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422 ] + }, + "CFGVFFLRDONE": { + "direction": "input", + "bits": [ 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430 ] + }, + "CONFMCAPREQUESTBYCONF": { + "direction": "input", + "bits": [ 3431 ] + }, + "CONFREQDATA": { + "direction": "input", + "bits": [ 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463 ] + }, + "CONFREQREGNUM": { + "direction": "input", + "bits": [ 3464, 3465, 3466, 3467 ] + }, + "CONFREQTYPE": { + "direction": "input", + "bits": [ 3468, 3469 ] + }, + "CONFREQVALID": { + "direction": "input", + "bits": [ 3470 ] + }, + "CORECLK": { + "direction": "input", + "bits": [ 3471 ] + }, + "CORECLKMICOMPLETIONRAML": { + "direction": "input", + "bits": [ 3472 ] + }, + "CORECLKMICOMPLETIONRAMU": { + "direction": "input", + "bits": [ 3473 ] + }, + "CORECLKMIREPLAYRAM": { + "direction": "input", + "bits": [ 3474 ] + }, + "CORECLKMIREQUESTRAM": { + "direction": "input", + "bits": [ 3475 ] + }, + "DBGCFGLOCALMGMTREGOVERRIDE": { + "direction": "input", + "bits": [ 3476 ] + }, + "DBGDATASEL": { + "direction": "input", + "bits": [ 3477, 3478, 3479, 3480 ] + }, + "DRPADDR": { + "direction": "input", + "bits": [ 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490 ] + }, + "DRPCLK": { + "direction": "input", + "bits": [ 3491 ] + }, + "DRPDI": { + "direction": "input", + "bits": [ 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507 ] + }, + "DRPEN": { + "direction": "input", + "bits": [ 3508 ] + }, + "DRPWE": { + "direction": "input", + "bits": [ 3509 ] + }, + "LL2LMSAXISTXTUSER": { + "direction": "input", + "bits": [ 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523 ] + }, + "LL2LMSAXISTXTVALID": { + "direction": "input", + "bits": [ 3524 ] + }, + "LL2LMTXTLPID0": { + "direction": "input", + "bits": [ 3525, 3526, 3527, 3528 ] + }, + "LL2LMTXTLPID1": { + "direction": "input", + "bits": [ 3529, 3530, 3531, 3532 ] + }, + "MAXISCQTREADY": { + "direction": "input", + "bits": [ 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554 ] + }, + "MAXISRCTREADY": { + "direction": "input", + "bits": [ 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576 ] + }, + "MCAPCLK": { + "direction": "input", + "bits": [ 3577 ] + }, + "MCAPPERST0B": { + "direction": "input", + "bits": [ 3578 ] + }, + "MCAPPERST1B": { + "direction": "input", + "bits": [ 3579 ] + }, + "MGMTRESETN": { + "direction": "input", + "bits": [ 3580 ] + }, + "MGMTSTICKYRESETN": { + "direction": "input", + "bits": [ 3581 ] + }, + "MICOMPLETIONRAMREADDATA": { + "direction": "input", + "bits": [ 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725 ] + }, + "MIREPLAYRAMREADDATA": { + "direction": "input", + "bits": [ 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869 ] + }, + "MIREQUESTRAMREADDATA": { + "direction": "input", + "bits": [ 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013 ] + }, + "PCIECQNPREQ": { + "direction": "input", + "bits": [ 4014 ] + }, + "PIPECLK": { + "direction": "input", + "bits": [ 4015 ] + }, + "PIPEEQFS": { + "direction": "input", + "bits": [ 4016, 4017, 4018, 4019, 4020, 4021 ] + }, + "PIPEEQLF": { + "direction": "input", + "bits": [ 4022, 4023, 4024, 4025, 4026, 4027 ] + }, + "PIPERESETN": { + "direction": "input", + "bits": [ 4028 ] + }, + "PIPERX0CHARISK": { + "direction": "input", + "bits": [ 4029, 4030 ] + }, + "PIPERX0DATA": { + "direction": "input", + "bits": [ 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062 ] + }, + "PIPERX0DATAVALID": { + "direction": "input", + "bits": [ 4063 ] + }, + "PIPERX0ELECIDLE": { + "direction": "input", + "bits": [ 4064 ] + }, + "PIPERX0EQDONE": { + "direction": "input", + "bits": [ 4065 ] + }, + "PIPERX0EQLPADAPTDONE": { + "direction": "input", + "bits": [ 4066 ] + }, + "PIPERX0EQLPLFFSSEL": { + "direction": "input", + "bits": [ 4067 ] + }, + "PIPERX0EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085 ] + }, + "PIPERX0PHYSTATUS": { + "direction": "input", + "bits": [ 4086 ] + }, + "PIPERX0STARTBLOCK": { + "direction": "input", + "bits": [ 4087 ] + }, + "PIPERX0STATUS": { + "direction": "input", + "bits": [ 4088, 4089, 4090 ] + }, + "PIPERX0SYNCHEADER": { + "direction": "input", + "bits": [ 4091, 4092 ] + }, + "PIPERX0VALID": { + "direction": "input", + "bits": [ 4093 ] + }, + "PIPERX1CHARISK": { + "direction": "input", + "bits": [ 4094, 4095 ] + }, + "PIPERX1DATA": { + "direction": "input", + "bits": [ 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127 ] + }, + "PIPERX1DATAVALID": { + "direction": "input", + "bits": [ 4128 ] + }, + "PIPERX1ELECIDLE": { + "direction": "input", + "bits": [ 4129 ] + }, + "PIPERX1EQDONE": { + "direction": "input", + "bits": [ 4130 ] + }, + "PIPERX1EQLPADAPTDONE": { + "direction": "input", + "bits": [ 4131 ] + }, + "PIPERX1EQLPLFFSSEL": { + "direction": "input", + "bits": [ 4132 ] + }, + "PIPERX1EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150 ] + }, + "PIPERX1PHYSTATUS": { + "direction": "input", + "bits": [ 4151 ] + }, + "PIPERX1STARTBLOCK": { + "direction": "input", + "bits": [ 4152 ] + }, + "PIPERX1STATUS": { + "direction": "input", + "bits": [ 4153, 4154, 4155 ] + }, + "PIPERX1SYNCHEADER": { + "direction": "input", + "bits": [ 4156, 4157 ] + }, + "PIPERX1VALID": { + "direction": "input", + "bits": [ 4158 ] + }, + "PIPERX2CHARISK": { + "direction": "input", + "bits": [ 4159, 4160 ] + }, + "PIPERX2DATA": { + "direction": "input", + "bits": [ 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192 ] + }, + "PIPERX2DATAVALID": { + "direction": "input", + "bits": [ 4193 ] + }, + "PIPERX2ELECIDLE": { + "direction": "input", + "bits": [ 4194 ] + }, + "PIPERX2EQDONE": { + "direction": "input", + "bits": [ 4195 ] + }, + "PIPERX2EQLPADAPTDONE": { + "direction": "input", + "bits": [ 4196 ] + }, + "PIPERX2EQLPLFFSSEL": { + "direction": "input", + "bits": [ 4197 ] + }, + "PIPERX2EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215 ] + }, + "PIPERX2PHYSTATUS": { + "direction": "input", + "bits": [ 4216 ] + }, + "PIPERX2STARTBLOCK": { + "direction": "input", + "bits": [ 4217 ] + }, + "PIPERX2STATUS": { + "direction": "input", + "bits": [ 4218, 4219, 4220 ] + }, + "PIPERX2SYNCHEADER": { + "direction": "input", + "bits": [ 4221, 4222 ] + }, + "PIPERX2VALID": { + "direction": "input", + "bits": [ 4223 ] + }, + "PIPERX3CHARISK": { + "direction": "input", + "bits": [ 4224, 4225 ] + }, + "PIPERX3DATA": { + "direction": "input", + "bits": [ 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257 ] + }, + "PIPERX3DATAVALID": { + "direction": "input", + "bits": [ 4258 ] + }, + "PIPERX3ELECIDLE": { + "direction": "input", + "bits": [ 4259 ] + }, + "PIPERX3EQDONE": { + "direction": "input", + "bits": [ 4260 ] + }, + "PIPERX3EQLPADAPTDONE": { + "direction": "input", + "bits": [ 4261 ] + }, + "PIPERX3EQLPLFFSSEL": { + "direction": "input", + "bits": [ 4262 ] + }, + "PIPERX3EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280 ] + }, + "PIPERX3PHYSTATUS": { + "direction": "input", + "bits": [ 4281 ] + }, + "PIPERX3STARTBLOCK": { + "direction": "input", + "bits": [ 4282 ] + }, + "PIPERX3STATUS": { + "direction": "input", + "bits": [ 4283, 4284, 4285 ] + }, + "PIPERX3SYNCHEADER": { + "direction": "input", + "bits": [ 4286, 4287 ] + }, + "PIPERX3VALID": { + "direction": "input", + "bits": [ 4288 ] + }, + "PIPERX4CHARISK": { + "direction": "input", + "bits": [ 4289, 4290 ] + }, + "PIPERX4DATA": { + "direction": "input", + "bits": [ 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322 ] + }, + "PIPERX4DATAVALID": { + "direction": "input", + "bits": [ 4323 ] + }, + "PIPERX4ELECIDLE": { + "direction": "input", + "bits": [ 4324 ] + }, + "PIPERX4EQDONE": { + "direction": "input", + "bits": [ 4325 ] + }, + "PIPERX4EQLPADAPTDONE": { + "direction": "input", + "bits": [ 4326 ] + }, + "PIPERX4EQLPLFFSSEL": { + "direction": "input", + "bits": [ 4327 ] + }, + "PIPERX4EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345 ] + }, + "PIPERX4PHYSTATUS": { + "direction": "input", + "bits": [ 4346 ] + }, + "PIPERX4STARTBLOCK": { + "direction": "input", + "bits": [ 4347 ] + }, + "PIPERX4STATUS": { + "direction": "input", + "bits": [ 4348, 4349, 4350 ] + }, + "PIPERX4SYNCHEADER": { + "direction": "input", + "bits": [ 4351, 4352 ] + }, + "PIPERX4VALID": { + "direction": "input", + "bits": [ 4353 ] + }, + "PIPERX5CHARISK": { + "direction": "input", + "bits": [ 4354, 4355 ] + }, + "PIPERX5DATA": { + "direction": "input", + "bits": [ 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387 ] + }, + "PIPERX5DATAVALID": { + "direction": "input", + "bits": [ 4388 ] + }, + "PIPERX5ELECIDLE": { + "direction": "input", + "bits": [ 4389 ] + }, + "PIPERX5EQDONE": { + "direction": "input", + "bits": [ 4390 ] + }, + "PIPERX5EQLPADAPTDONE": { + "direction": "input", + "bits": [ 4391 ] + }, + "PIPERX5EQLPLFFSSEL": { + "direction": "input", + "bits": [ 4392 ] + }, + "PIPERX5EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410 ] + }, + "PIPERX5PHYSTATUS": { + "direction": "input", + "bits": [ 4411 ] + }, + "PIPERX5STARTBLOCK": { + "direction": "input", + "bits": [ 4412 ] + }, + "PIPERX5STATUS": { + "direction": "input", + "bits": [ 4413, 4414, 4415 ] + }, + "PIPERX5SYNCHEADER": { + "direction": "input", + "bits": [ 4416, 4417 ] + }, + "PIPERX5VALID": { + "direction": "input", + "bits": [ 4418 ] + }, + "PIPERX6CHARISK": { + "direction": "input", + "bits": [ 4419, 4420 ] + }, + "PIPERX6DATA": { + "direction": "input", + "bits": [ 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452 ] + }, + "PIPERX6DATAVALID": { + "direction": "input", + "bits": [ 4453 ] + }, + "PIPERX6ELECIDLE": { + "direction": "input", + "bits": [ 4454 ] + }, + "PIPERX6EQDONE": { + "direction": "input", + "bits": [ 4455 ] + }, + "PIPERX6EQLPADAPTDONE": { + "direction": "input", + "bits": [ 4456 ] + }, + "PIPERX6EQLPLFFSSEL": { + "direction": "input", + "bits": [ 4457 ] + }, + "PIPERX6EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475 ] + }, + "PIPERX6PHYSTATUS": { + "direction": "input", + "bits": [ 4476 ] + }, + "PIPERX6STARTBLOCK": { + "direction": "input", + "bits": [ 4477 ] + }, + "PIPERX6STATUS": { + "direction": "input", + "bits": [ 4478, 4479, 4480 ] + }, + "PIPERX6SYNCHEADER": { + "direction": "input", + "bits": [ 4481, 4482 ] + }, + "PIPERX6VALID": { + "direction": "input", + "bits": [ 4483 ] + }, + "PIPERX7CHARISK": { + "direction": "input", + "bits": [ 4484, 4485 ] + }, + "PIPERX7DATA": { + "direction": "input", + "bits": [ 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517 ] + }, + "PIPERX7DATAVALID": { + "direction": "input", + "bits": [ 4518 ] + }, + "PIPERX7ELECIDLE": { + "direction": "input", + "bits": [ 4519 ] + }, + "PIPERX7EQDONE": { + "direction": "input", + "bits": [ 4520 ] + }, + "PIPERX7EQLPADAPTDONE": { + "direction": "input", + "bits": [ 4521 ] + }, + "PIPERX7EQLPLFFSSEL": { + "direction": "input", + "bits": [ 4522 ] + }, + "PIPERX7EQLPNEWTXCOEFFORPRESET": { + "direction": "input", + "bits": [ 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540 ] + }, + "PIPERX7PHYSTATUS": { + "direction": "input", + "bits": [ 4541 ] + }, + "PIPERX7STARTBLOCK": { + "direction": "input", + "bits": [ 4542 ] + }, + "PIPERX7STATUS": { + "direction": "input", + "bits": [ 4543, 4544, 4545 ] + }, + "PIPERX7SYNCHEADER": { + "direction": "input", + "bits": [ 4546, 4547 ] + }, + "PIPERX7VALID": { + "direction": "input", + "bits": [ 4548 ] + }, + "PIPETX0EQCOEFF": { + "direction": "input", + "bits": [ 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566 ] + }, + "PIPETX0EQDONE": { + "direction": "input", + "bits": [ 4567 ] + }, + "PIPETX1EQCOEFF": { + "direction": "input", + "bits": [ 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585 ] + }, + "PIPETX1EQDONE": { + "direction": "input", + "bits": [ 4586 ] + }, + "PIPETX2EQCOEFF": { + "direction": "input", + "bits": [ 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604 ] + }, + "PIPETX2EQDONE": { + "direction": "input", + "bits": [ 4605 ] + }, + "PIPETX3EQCOEFF": { + "direction": "input", + "bits": [ 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623 ] + }, + "PIPETX3EQDONE": { + "direction": "input", + "bits": [ 4624 ] + }, + "PIPETX4EQCOEFF": { + "direction": "input", + "bits": [ 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642 ] + }, + "PIPETX4EQDONE": { + "direction": "input", + "bits": [ 4643 ] + }, + "PIPETX5EQCOEFF": { + "direction": "input", + "bits": [ 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661 ] + }, + "PIPETX5EQDONE": { + "direction": "input", + "bits": [ 4662 ] + }, + "PIPETX6EQCOEFF": { + "direction": "input", + "bits": [ 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680 ] + }, + "PIPETX6EQDONE": { + "direction": "input", + "bits": [ 4681 ] + }, + "PIPETX7EQCOEFF": { + "direction": "input", + "bits": [ 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699 ] + }, + "PIPETX7EQDONE": { + "direction": "input", + "bits": [ 4700 ] + }, + "PLEQRESETEIEOSCOUNT": { + "direction": "input", + "bits": [ 4701 ] + }, + "PLGEN2UPSTREAMPREFERDEEMPH": { + "direction": "input", + "bits": [ 4702 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 4703 ] + }, + "SAXISCCTDATA": { + "direction": "input", + "bits": [ 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959 ] + }, + "SAXISCCTKEEP": { + "direction": "input", + "bits": [ 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967 ] + }, + "SAXISCCTLAST": { + "direction": "input", + "bits": [ 4968 ] + }, + "SAXISCCTUSER": { + "direction": "input", + "bits": [ 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001 ] + }, + "SAXISCCTVALID": { + "direction": "input", + "bits": [ 5002 ] + }, + "SAXISRQTDATA": { + "direction": "input", + "bits": [ 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258 ] + }, + "SAXISRQTKEEP": { + "direction": "input", + "bits": [ 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266 ] + }, + "SAXISRQTLAST": { + "direction": "input", + "bits": [ 5267 ] + }, + "SAXISRQTUSER": { + "direction": "input", + "bits": [ 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327 ] + }, + "SAXISRQTVALID": { + "direction": "input", + "bits": [ 5328 ] + }, + "SPAREIN": { + "direction": "input", + "bits": [ 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360 ] + }, + "USERCLK": { + "direction": "input", + "bits": [ 5361 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCONFIGSPACEENABLE": { + "hide_name": 0, + "bits": [ 2884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23778.11-23778.31" + } + }, + "CFGCURRENTSPEED": { + "hide_name": 0, + "bits": [ 2, 3, 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23454.18-23454.33" + } + }, + "CFGDEVID": { + "hide_name": 0, + "bits": [ 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23779.18-23779.26" + } + }, + "CFGDPASUBSTATECHANGE": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23455.18-23455.38" + } + }, + "CFGDSBUSNUMBER": { + "hide_name": 0, + "bits": [ 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23780.17-23780.31" + } + }, + "CFGDSDEVICENUMBER": { + "hide_name": 0, + "bits": [ 2909, 2910, 2911, 2912, 2913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23781.17-23781.34" + } + }, + "CFGDSFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 2914, 2915, 2916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23782.17-23782.36" + } + }, + "CFGDSN": { + "hide_name": 0, + "bits": [ 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23783.18-23783.24" + } + }, + "CFGDSPORTNUMBER": { + "hide_name": 0, + "bits": [ 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23784.17-23784.32" + } + }, + "CFGERRCORIN": { + "hide_name": 0, + "bits": [ 2989 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23785.11-23785.22" + } + }, + "CFGERRCOROUT": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23456.12-23456.24" + } + }, + "CFGERRFATALOUT": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23457.12-23457.26" + } + }, + "CFGERRNONFATALOUT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23458.12-23458.29" + } + }, + "CFGERRUNCORIN": { + "hide_name": 0, + "bits": [ 2990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23786.11-23786.24" + } + }, + "CFGEXTFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23459.18-23459.38" + } + }, + "CFGEXTREADDATA": { + "hide_name": 0, + "bits": [ 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23787.18-23787.32" + } + }, + "CFGEXTREADDATAVALID": { + "hide_name": 0, + "bits": [ 3023 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23788.11-23788.30" + } + }, + "CFGEXTREADRECEIVED": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23460.12-23460.30" + } + }, + "CFGEXTREGISTERNUMBER": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23461.18-23461.38" + } + }, + "CFGEXTWRITEBYTEENABLE": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23462.18-23462.39" + } + }, + "CFGEXTWRITEDATA": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23463.19-23463.34" + } + }, + "CFGEXTWRITERECEIVED": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23464.12-23464.31" + } + }, + "CFGFCCPLD": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23465.19-23465.28" + } + }, + "CFGFCCPLH": { + "hide_name": 0, + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23466.18-23466.27" + } + }, + "CFGFCNPD": { + "hide_name": 0, + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23467.19-23467.27" + } + }, + "CFGFCNPH": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103, 104, 105, 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23468.18-23468.26" + } + }, + "CFGFCPD": { + "hide_name": 0, + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23469.19-23469.26" + } + }, + "CFGFCPH": { + "hide_name": 0, + "bits": [ 120, 121, 122, 123, 124, 125, 126, 127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23470.18-23470.25" + } + }, + "CFGFCSEL": { + "hide_name": 0, + "bits": [ 3024, 3025, 3026 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23789.17-23789.25" + } + }, + "CFGFLRDONE": { + "hide_name": 0, + "bits": [ 3027, 3028, 3029, 3030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23790.17-23790.27" + } + }, + "CFGFLRINPROCESS": { + "hide_name": 0, + "bits": [ 128, 129, 130, 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23471.18-23471.33" + } + }, + "CFGFUNCTIONPOWERSTATE": { + "hide_name": 0, + "bits": [ 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23472.19-23472.40" + } + }, + "CFGFUNCTIONSTATUS": { + "hide_name": 0, + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23473.19-23473.36" + } + }, + "CFGHOTRESETIN": { + "hide_name": 0, + "bits": [ 3031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23791.11-23791.24" + } + }, + "CFGHOTRESETOUT": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23474.12-23474.26" + } + }, + "CFGINTERRUPTINT": { + "hide_name": 0, + "bits": [ 3032, 3033, 3034, 3035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23792.17-23792.32" + } + }, + "CFGINTERRUPTMSIATTR": { + "hide_name": 0, + "bits": [ 3036, 3037, 3038 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23793.17-23793.36" + } + }, + "CFGINTERRUPTMSIDATA": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23475.19-23475.38" + } + }, + "CFGINTERRUPTMSIENABLE": { + "hide_name": 0, + "bits": [ 193, 194, 195, 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23476.18-23476.39" + } + }, + "CFGINTERRUPTMSIFAIL": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23477.12-23477.31" + } + }, + "CFGINTERRUPTMSIFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 3039, 3040, 3041, 3042 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23794.17-23794.46" + } + }, + "CFGINTERRUPTMSIINT": { + "hide_name": 0, + "bits": [ 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23795.18-23795.36" + } + }, + "CFGINTERRUPTMSIMASKUPDATE": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23478.12-23478.37" + } + }, + "CFGINTERRUPTMSIMMENABLE": { + "hide_name": 0, + "bits": [ 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23479.19-23479.42" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUS": { + "hide_name": 0, + "bits": [ 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23796.18-23796.46" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE": { + "hide_name": 0, + "bits": [ 3107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23797.11-23797.49" + } + }, + "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM": { + "hide_name": 0, + "bits": [ 3108, 3109, 3110, 3111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23798.17-23798.56" + } + }, + "CFGINTERRUPTMSISELECT": { + "hide_name": 0, + "bits": [ 3112, 3113, 3114, 3115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23799.17-23799.38" + } + }, + "CFGINTERRUPTMSISENT": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23480.12-23480.31" + } + }, + "CFGINTERRUPTMSITPHPRESENT": { + "hide_name": 0, + "bits": [ 3116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23800.11-23800.36" + } + }, + "CFGINTERRUPTMSITPHSTTAG": { + "hide_name": 0, + "bits": [ 3117, 3118, 3119, 3120, 3121, 3122, 3123, 3124, 3125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23801.17-23801.40" + } + }, + "CFGINTERRUPTMSITPHTYPE": { + "hide_name": 0, + "bits": [ 3126, 3127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23802.17-23802.39" + } + }, + "CFGINTERRUPTMSIVFENABLE": { + "hide_name": 0, + "bits": [ 212, 213, 214, 215, 216, 217, 218, 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23481.18-23481.41" + } + }, + "CFGINTERRUPTMSIXADDRESS": { + "hide_name": 0, + "bits": [ 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23803.18-23803.41" + } + }, + "CFGINTERRUPTMSIXDATA": { + "hide_name": 0, + "bits": [ 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23804.18-23804.38" + } + }, + "CFGINTERRUPTMSIXENABLE": { + "hide_name": 0, + "bits": [ 220, 221, 222, 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23482.18-23482.40" + } + }, + "CFGINTERRUPTMSIXFAIL": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23483.12-23483.32" + } + }, + "CFGINTERRUPTMSIXINT": { + "hide_name": 0, + "bits": [ 3224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23805.11-23805.30" + } + }, + "CFGINTERRUPTMSIXMASK": { + "hide_name": 0, + "bits": [ 225, 226, 227, 228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23484.18-23484.38" + } + }, + "CFGINTERRUPTMSIXSENT": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23485.12-23485.32" + } + }, + "CFGINTERRUPTMSIXVFENABLE": { + "hide_name": 0, + "bits": [ 230, 231, 232, 233, 234, 235, 236, 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23486.18-23486.42" + } + }, + "CFGINTERRUPTMSIXVFMASK": { + "hide_name": 0, + "bits": [ 238, 239, 240, 241, 242, 243, 244, 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23487.18-23487.40" + } + }, + "CFGINTERRUPTPENDING": { + "hide_name": 0, + "bits": [ 3225, 3226, 3227, 3228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23806.17-23806.36" + } + }, + "CFGINTERRUPTSENT": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23488.12-23488.28" + } + }, + "CFGLINKPOWERSTATE": { + "hide_name": 0, + "bits": [ 247, 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23489.18-23489.35" + } + }, + "CFGLINKTRAININGENABLE": { + "hide_name": 0, + "bits": [ 3229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23807.11-23807.32" + } + }, + "CFGLOCALERROR": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23490.12-23490.25" + } + }, + "CFGLTRENABLE": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23491.12-23491.24" + } + }, + "CFGLTSSMSTATE": { + "hide_name": 0, + "bits": [ 251, 252, 253, 254, 255, 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23492.18-23492.31" + } + }, + "CFGMAXPAYLOAD": { + "hide_name": 0, + "bits": [ 257, 258, 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23493.18-23493.31" + } + }, + "CFGMAXREADREQ": { + "hide_name": 0, + "bits": [ 260, 261, 262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23494.18-23494.31" + } + }, + "CFGMGMTADDR": { + "hide_name": 0, + "bits": [ 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23808.18-23808.29" + } + }, + "CFGMGMTBYTEENABLE": { + "hide_name": 0, + "bits": [ 3249, 3250, 3251, 3252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23809.17-23809.34" + } + }, + "CFGMGMTREAD": { + "hide_name": 0, + "bits": [ 3253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23810.11-23810.22" + } + }, + "CFGMGMTREADDATA": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23495.19-23495.34" + } + }, + "CFGMGMTREADWRITEDONE": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23496.12-23496.32" + } + }, + "CFGMGMTTYPE1CFGREGACCESS": { + "hide_name": 0, + "bits": [ 3254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23811.11-23811.35" + } + }, + "CFGMGMTWRITE": { + "hide_name": 0, + "bits": [ 3255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23812.11-23812.23" + } + }, + "CFGMGMTWRITEDATA": { + "hide_name": 0, + "bits": [ 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23813.18-23813.34" + } + }, + "CFGMSGRECEIVED": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23497.12-23497.26" + } + }, + "CFGMSGRECEIVEDDATA": { + "hide_name": 0, + "bits": [ 297, 298, 299, 300, 301, 302, 303, 304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23498.18-23498.36" + } + }, + "CFGMSGRECEIVEDTYPE": { + "hide_name": 0, + "bits": [ 305, 306, 307, 308, 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23499.18-23499.36" + } + }, + "CFGMSGTRANSMIT": { + "hide_name": 0, + "bits": [ 3288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23814.11-23814.25" + } + }, + "CFGMSGTRANSMITDATA": { + "hide_name": 0, + "bits": [ 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23815.18-23815.36" + } + }, + "CFGMSGTRANSMITDONE": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23500.12-23500.30" + } + }, + "CFGMSGTRANSMITTYPE": { + "hide_name": 0, + "bits": [ 3321, 3322, 3323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23816.17-23816.35" + } + }, + "CFGNEGOTIATEDWIDTH": { + "hide_name": 0, + "bits": [ 311, 312, 313, 314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23501.18-23501.36" + } + }, + "CFGOBFFENABLE": { + "hide_name": 0, + "bits": [ 315, 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23502.18-23502.31" + } + }, + "CFGPERFUNCSTATUSCONTROL": { + "hide_name": 0, + "bits": [ 3324, 3325, 3326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23817.17-23817.40" + } + }, + "CFGPERFUNCSTATUSDATA": { + "hide_name": 0, + "bits": [ 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23503.19-23503.39" + } + }, + "CFGPERFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 3327, 3328, 3329, 3330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23818.17-23818.37" + } + }, + "CFGPERFUNCTIONOUTPUTREQUEST": { + "hide_name": 0, + "bits": [ 3331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23819.11-23819.38" + } + }, + "CFGPERFUNCTIONUPDATEDONE": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23504.12-23504.36" + } + }, + "CFGPHYLINKDOWN": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23505.12-23505.26" + } + }, + "CFGPHYLINKSTATUS": { + "hide_name": 0, + "bits": [ 335, 336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23506.18-23506.34" + } + }, + "CFGPLSTATUSCHANGE": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23507.12-23507.29" + } + }, + "CFGPOWERSTATECHANGEACK": { + "hide_name": 0, + "bits": [ 3332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23820.11-23820.33" + } + }, + "CFGPOWERSTATECHANGEINTERRUPT": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23508.12-23508.40" + } + }, + "CFGRCBSTATUS": { + "hide_name": 0, + "bits": [ 339, 340, 341, 342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23509.18-23509.30" + } + }, + "CFGREQPMTRANSITIONL23READY": { + "hide_name": 0, + "bits": [ 3333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23821.11-23821.37" + } + }, + "CFGREVID": { + "hide_name": 0, + "bits": [ 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23822.17-23822.25" + } + }, + "CFGSUBSYSID": { + "hide_name": 0, + "bits": [ 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23823.18-23823.29" + } + }, + "CFGSUBSYSVENDID": { + "hide_name": 0, + "bits": [ 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23824.18-23824.33" + } + }, + "CFGTPHFUNCTIONNUM": { + "hide_name": 0, + "bits": [ 343, 344, 345, 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23510.18-23510.35" + } + }, + "CFGTPHREQUESTERENABLE": { + "hide_name": 0, + "bits": [ 347, 348, 349, 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23511.18-23511.39" + } + }, + "CFGTPHSTMODE": { + "hide_name": 0, + "bits": [ 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23512.19-23512.31" + } + }, + "CFGTPHSTTADDRESS": { + "hide_name": 0, + "bits": [ 363, 364, 365, 366, 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23513.18-23513.34" + } + }, + "CFGTPHSTTREADDATA": { + "hide_name": 0, + "bits": [ 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23825.18-23825.35" + } + }, + "CFGTPHSTTREADDATAVALID": { + "hide_name": 0, + "bits": [ 3406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23826.11-23826.33" + } + }, + "CFGTPHSTTREADENABLE": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23514.12-23514.31" + } + }, + "CFGTPHSTTWRITEBYTEVALID": { + "hide_name": 0, + "bits": [ 369, 370, 371, 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23515.18-23515.41" + } + }, + "CFGTPHSTTWRITEDATA": { + "hide_name": 0, + "bits": [ 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23516.19-23516.37" + } + }, + "CFGTPHSTTWRITEENABLE": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23517.12-23517.32" + } + }, + "CFGVENDID": { + "hide_name": 0, + "bits": [ 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23827.18-23827.27" + } + }, + "CFGVFFLRDONE": { + "hide_name": 0, + "bits": [ 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23828.17-23828.29" + } + }, + "CFGVFFLRINPROCESS": { + "hide_name": 0, + "bits": [ 406, 407, 408, 409, 410, 411, 412, 413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23518.18-23518.35" + } + }, + "CFGVFPOWERSTATE": { + "hide_name": 0, + "bits": [ 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23519.19-23519.34" + } + }, + "CFGVFSTATUS": { + "hide_name": 0, + "bits": [ 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23520.19-23520.30" + } + }, + "CFGVFTPHREQUESTERENABLE": { + "hide_name": 0, + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23521.18-23521.41" + } + }, + "CFGVFTPHSTMODE": { + "hide_name": 0, + "bits": [ 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23522.19-23522.33" + } + }, + "CONFMCAPDESIGNSWITCH": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23523.12-23523.32" + } + }, + "CONFMCAPEOS": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23524.12-23524.23" + } + }, + "CONFMCAPINUSEBYPCIE": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23525.12-23525.31" + } + }, + "CONFMCAPREQUESTBYCONF": { + "hide_name": 0, + "bits": [ 3431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23829.11-23829.32" + } + }, + "CONFREQDATA": { + "hide_name": 0, + "bits": [ 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23830.18-23830.29" + } + }, + "CONFREQREADY": { + "hide_name": 0, + "bits": [ 489 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23526.12-23526.24" + } + }, + "CONFREQREGNUM": { + "hide_name": 0, + "bits": [ 3464, 3465, 3466, 3467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23831.17-23831.30" + } + }, + "CONFREQTYPE": { + "hide_name": 0, + "bits": [ 3468, 3469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23832.17-23832.28" + } + }, + "CONFREQVALID": { + "hide_name": 0, + "bits": [ 3470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23833.11-23833.23" + } + }, + "CONFRESPRDATA": { + "hide_name": 0, + "bits": [ 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23527.19-23527.32" + } + }, + "CONFRESPVALID": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23528.12-23528.25" + } + }, + "CORECLK": { + "hide_name": 0, + "bits": [ 3471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23834.11-23834.18" + } + }, + "CORECLKMICOMPLETIONRAML": { + "hide_name": 0, + "bits": [ 3472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23835.11-23835.34" + } + }, + "CORECLKMICOMPLETIONRAMU": { + "hide_name": 0, + "bits": [ 3473 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23836.11-23836.34" + } + }, + "CORECLKMIREPLAYRAM": { + "hide_name": 0, + "bits": [ 3474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23837.11-23837.29" + } + }, + "CORECLKMIREQUESTRAM": { + "hide_name": 0, + "bits": [ 3475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23838.11-23838.30" + } + }, + "DBGCFGLOCALMGMTREGOVERRIDE": { + "hide_name": 0, + "bits": [ 3476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23839.11-23839.37" + } + }, + "DBGDATAOUT": { + "hide_name": 0, + "bits": [ 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23529.19-23529.29" + } + }, + "DBGDATASEL": { + "hide_name": 0, + "bits": [ 3477, 3478, 3479, 3480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23840.17-23840.27" + } + }, + "DBGMCAPCSB": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23530.12-23530.22" + } + }, + "DBGMCAPDATA": { + "hide_name": 0, + "bits": [ 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23531.19-23531.30" + } + }, + "DBGMCAPEOS": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23532.12-23532.22" + } + }, + "DBGMCAPERROR": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23533.12-23533.24" + } + }, + "DBGMCAPMODE": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23534.12-23534.23" + } + }, + "DBGMCAPRDATAVALID": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23535.12-23535.29" + } + }, + "DBGMCAPRDWRB": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23536.12-23536.24" + } + }, + "DBGMCAPRESET": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23537.12-23537.24" + } + }, + "DBGPLDATABLOCKRECEIVEDAFTEREDS": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23538.12-23538.42" + } + }, + "DBGPLGEN3FRAMINGERRORDETECTED": { + "hide_name": 0, + "bits": [ 579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23539.12-23539.41" + } + }, + "DBGPLGEN3SYNCHEADERERRORDETECTED": { + "hide_name": 0, + "bits": [ 580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23540.12-23540.44" + } + }, + "DBGPLINFERREDRXELECTRICALIDLE": { + "hide_name": 0, + "bits": [ 581, 582, 583, 584, 585, 586, 587, 588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23541.18-23541.47" + } + }, + "DRPADDR": { + "hide_name": 0, + "bits": [ 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23841.17-23841.24" + } + }, + "DRPCLK": { + "hide_name": 0, + "bits": [ 3491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23842.11-23842.17" + } + }, + "DRPDI": { + "hide_name": 0, + "bits": [ 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23843.18-23843.23" + } + }, + "DRPDO": { + "hide_name": 0, + "bits": [ 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23542.19-23542.24" + } + }, + "DRPEN": { + "hide_name": 0, + "bits": [ 3508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23844.11-23844.16" + } + }, + "DRPRDY": { + "hide_name": 0, + "bits": [ 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23543.12-23543.18" + } + }, + "DRPWE": { + "hide_name": 0, + "bits": [ 3509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23845.11-23845.16" + } + }, + "LL2LMMASTERTLPSENT0": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23544.12-23544.31" + } + }, + "LL2LMMASTERTLPSENT1": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23545.12-23545.31" + } + }, + "LL2LMMASTERTLPSENTTLPID0": { + "hide_name": 0, + "bits": [ 608, 609, 610, 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23546.18-23546.42" + } + }, + "LL2LMMASTERTLPSENTTLPID1": { + "hide_name": 0, + "bits": [ 612, 613, 614, 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23547.18-23547.42" + } + }, + "LL2LMMAXISRXTDATA": { + "hide_name": 0, + "bits": [ 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23548.20-23548.37" + } + }, + "LL2LMMAXISRXTUSER": { + "hide_name": 0, + "bits": [ 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23549.19-23549.36" + } + }, + "LL2LMMAXISRXTVALID": { + "hide_name": 0, + "bits": [ 890, 891, 892, 893, 894, 895, 896, 897 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23550.18-23550.36" + } + }, + "LL2LMSAXISTXTREADY": { + "hide_name": 0, + "bits": [ 898, 899, 900, 901, 902, 903, 904, 905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23551.18-23551.36" + } + }, + "LL2LMSAXISTXTUSER": { + "hide_name": 0, + "bits": [ 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23846.18-23846.35" + } + }, + "LL2LMSAXISTXTVALID": { + "hide_name": 0, + "bits": [ 3524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23847.11-23847.29" + } + }, + "LL2LMTXTLPID0": { + "hide_name": 0, + "bits": [ 3525, 3526, 3527, 3528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23848.17-23848.30" + } + }, + "LL2LMTXTLPID1": { + "hide_name": 0, + "bits": [ 3529, 3530, 3531, 3532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23849.17-23849.30" + } + }, + "MAXISCQTDATA": { + "hide_name": 0, + "bits": [ 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23552.20-23552.32" + } + }, + "MAXISCQTKEEP": { + "hide_name": 0, + "bits": [ 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23553.18-23553.30" + } + }, + "MAXISCQTLAST": { + "hide_name": 0, + "bits": [ 1170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23554.12-23554.24" + } + }, + "MAXISCQTREADY": { + "hide_name": 0, + "bits": [ 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23850.18-23850.31" + } + }, + "MAXISCQTUSER": { + "hide_name": 0, + "bits": [ 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23555.19-23555.31" + } + }, + "MAXISCQTVALID": { + "hide_name": 0, + "bits": [ 1256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23556.12-23556.25" + } + }, + "MAXISRCTDATA": { + "hide_name": 0, + "bits": [ 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23557.20-23557.32" + } + }, + "MAXISRCTKEEP": { + "hide_name": 0, + "bits": [ 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23558.18-23558.30" + } + }, + "MAXISRCTLAST": { + "hide_name": 0, + "bits": [ 1521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23559.12-23559.24" + } + }, + "MAXISRCTREADY": { + "hide_name": 0, + "bits": [ 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23851.18-23851.31" + } + }, + "MAXISRCTUSER": { + "hide_name": 0, + "bits": [ 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23560.19-23560.31" + } + }, + "MAXISRCTVALID": { + "hide_name": 0, + "bits": [ 1597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23561.12-23561.25" + } + }, + "MCAPCLK": { + "hide_name": 0, + "bits": [ 3577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23852.11-23852.18" + } + }, + "MCAPPERST0B": { + "hide_name": 0, + "bits": [ 3578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23853.11-23853.22" + } + }, + "MCAPPERST1B": { + "hide_name": 0, + "bits": [ 3579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23854.11-23854.22" + } + }, + "MGMTRESETN": { + "hide_name": 0, + "bits": [ 3580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23855.11-23855.21" + } + }, + "MGMTSTICKYRESETN": { + "hide_name": 0, + "bits": [ 3581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23856.11-23856.27" + } + }, + "MICOMPLETIONRAMREADADDRESSAL": { + "hide_name": 0, + "bits": [ 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23562.18-23562.46" + } + }, + "MICOMPLETIONRAMREADADDRESSAU": { + "hide_name": 0, + "bits": [ 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23563.18-23563.46" + } + }, + "MICOMPLETIONRAMREADADDRESSBL": { + "hide_name": 0, + "bits": [ 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23564.18-23564.46" + } + }, + "MICOMPLETIONRAMREADADDRESSBU": { + "hide_name": 0, + "bits": [ 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23565.18-23565.46" + } + }, + "MICOMPLETIONRAMREADDATA": { + "hide_name": 0, + "bits": [ 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23857.19-23857.42" + } + }, + "MICOMPLETIONRAMREADENABLEL": { + "hide_name": 0, + "bits": [ 1638, 1639, 1640, 1641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23566.18-23566.44" + } + }, + "MICOMPLETIONRAMREADENABLEU": { + "hide_name": 0, + "bits": [ 1642, 1643, 1644, 1645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23567.18-23567.44" + } + }, + "MICOMPLETIONRAMWRITEADDRESSAL": { + "hide_name": 0, + "bits": [ 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23568.18-23568.47" + } + }, + "MICOMPLETIONRAMWRITEADDRESSAU": { + "hide_name": 0, + "bits": [ 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23569.18-23569.47" + } + }, + "MICOMPLETIONRAMWRITEADDRESSBL": { + "hide_name": 0, + "bits": [ 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23570.18-23570.47" + } + }, + "MICOMPLETIONRAMWRITEADDRESSBU": { + "hide_name": 0, + "bits": [ 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23571.18-23571.47" + } + }, + "MICOMPLETIONRAMWRITEDATAL": { + "hide_name": 0, + "bits": [ 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23572.19-23572.44" + } + }, + "MICOMPLETIONRAMWRITEDATAU": { + "hide_name": 0, + "bits": [ 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23573.19-23573.44" + } + }, + "MICOMPLETIONRAMWRITEENABLEL": { + "hide_name": 0, + "bits": [ 1830, 1831, 1832, 1833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23574.18-23574.45" + } + }, + "MICOMPLETIONRAMWRITEENABLEU": { + "hide_name": 0, + "bits": [ 1834, 1835, 1836, 1837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23575.18-23575.45" + } + }, + "MIREPLAYRAMADDRESS": { + "hide_name": 0, + "bits": [ 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23576.18-23576.36" + } + }, + "MIREPLAYRAMREADDATA": { + "hide_name": 0, + "bits": [ 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23858.19-23858.38" + } + }, + "MIREPLAYRAMREADENABLE": { + "hide_name": 0, + "bits": [ 1847, 1848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23577.18-23577.39" + } + }, + "MIREPLAYRAMWRITEDATA": { + "hide_name": 0, + "bits": [ 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23578.20-23578.40" + } + }, + "MIREPLAYRAMWRITEENABLE": { + "hide_name": 0, + "bits": [ 1993, 1994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23579.18-23579.40" + } + }, + "MIREQUESTRAMREADADDRESSA": { + "hide_name": 0, + "bits": [ 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23580.18-23580.42" + } + }, + "MIREQUESTRAMREADADDRESSB": { + "hide_name": 0, + "bits": [ 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23581.18-23581.42" + } + }, + "MIREQUESTRAMREADDATA": { + "hide_name": 0, + "bits": [ 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23859.19-23859.39" + } + }, + "MIREQUESTRAMREADENABLE": { + "hide_name": 0, + "bits": [ 2013, 2014, 2015, 2016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23582.18-23582.40" + } + }, + "MIREQUESTRAMWRITEADDRESSA": { + "hide_name": 0, + "bits": [ 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23583.18-23583.43" + } + }, + "MIREQUESTRAMWRITEADDRESSB": { + "hide_name": 0, + "bits": [ 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23584.18-23584.43" + } + }, + "MIREQUESTRAMWRITEDATA": { + "hide_name": 0, + "bits": [ 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23585.20-23585.41" + } + }, + "MIREQUESTRAMWRITEENABLE": { + "hide_name": 0, + "bits": [ 2179, 2180, 2181, 2182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23586.18-23586.41" + } + }, + "PCIECQNPREQ": { + "hide_name": 0, + "bits": [ 4014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23860.11-23860.22" + } + }, + "PCIECQNPREQCOUNT": { + "hide_name": 0, + "bits": [ 2183, 2184, 2185, 2186, 2187, 2188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23587.18-23587.34" + } + }, + "PCIEPERST0B": { + "hide_name": 0, + "bits": [ 2189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23588.12-23588.23" + } + }, + "PCIEPERST1B": { + "hide_name": 0, + "bits": [ 2190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23589.12-23589.23" + } + }, + "PCIERQSEQNUM": { + "hide_name": 0, + "bits": [ 2191, 2192, 2193, 2194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23590.18-23590.30" + } + }, + "PCIERQSEQNUMVLD": { + "hide_name": 0, + "bits": [ 2195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23591.12-23591.27" + } + }, + "PCIERQTAG": { + "hide_name": 0, + "bits": [ 2196, 2197, 2198, 2199, 2200, 2201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23592.18-23592.27" + } + }, + "PCIERQTAGAV": { + "hide_name": 0, + "bits": [ 2202, 2203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23593.18-23593.29" + } + }, + "PCIERQTAGVLD": { + "hide_name": 0, + "bits": [ 2204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23594.12-23594.24" + } + }, + "PCIETFCNPDAV": { + "hide_name": 0, + "bits": [ 2205, 2206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23595.18-23595.30" + } + }, + "PCIETFCNPHAV": { + "hide_name": 0, + "bits": [ 2207, 2208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23596.18-23596.30" + } + }, + "PIPECLK": { + "hide_name": 0, + "bits": [ 4015 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23861.11-23861.18" + } + }, + "PIPEEQFS": { + "hide_name": 0, + "bits": [ 4016, 4017, 4018, 4019, 4020, 4021 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23862.17-23862.25" + } + }, + "PIPEEQLF": { + "hide_name": 0, + "bits": [ 4022, 4023, 4024, 4025, 4026, 4027 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23863.17-23863.25" + } + }, + "PIPERESETN": { + "hide_name": 0, + "bits": [ 4028 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23864.11-23864.21" + } + }, + "PIPERX0CHARISK": { + "hide_name": 0, + "bits": [ 4029, 4030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23865.17-23865.31" + } + }, + "PIPERX0DATA": { + "hide_name": 0, + "bits": [ 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23866.18-23866.29" + } + }, + "PIPERX0DATAVALID": { + "hide_name": 0, + "bits": [ 4063 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23867.11-23867.27" + } + }, + "PIPERX0ELECIDLE": { + "hide_name": 0, + "bits": [ 4064 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23868.11-23868.26" + } + }, + "PIPERX0EQCONTROL": { + "hide_name": 0, + "bits": [ 2209, 2210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23597.18-23597.34" + } + }, + "PIPERX0EQDONE": { + "hide_name": 0, + "bits": [ 4065 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23869.11-23869.24" + } + }, + "PIPERX0EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 4066 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23870.11-23870.31" + } + }, + "PIPERX0EQLPLFFS": { + "hide_name": 0, + "bits": [ 2211, 2212, 2213, 2214, 2215, 2216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23598.18-23598.33" + } + }, + "PIPERX0EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 4067 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23871.11-23871.29" + } + }, + "PIPERX0EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23872.18-23872.47" + } + }, + "PIPERX0EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2217, 2218, 2219, 2220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23599.18-23599.37" + } + }, + "PIPERX0EQPRESET": { + "hide_name": 0, + "bits": [ 2221, 2222, 2223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23600.18-23600.33" + } + }, + "PIPERX0PHYSTATUS": { + "hide_name": 0, + "bits": [ 4086 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23873.11-23873.27" + } + }, + "PIPERX0POLARITY": { + "hide_name": 0, + "bits": [ 2224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23601.12-23601.27" + } + }, + "PIPERX0STARTBLOCK": { + "hide_name": 0, + "bits": [ 4087 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23874.11-23874.28" + } + }, + "PIPERX0STATUS": { + "hide_name": 0, + "bits": [ 4088, 4089, 4090 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23875.17-23875.30" + } + }, + "PIPERX0SYNCHEADER": { + "hide_name": 0, + "bits": [ 4091, 4092 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23876.17-23876.34" + } + }, + "PIPERX0VALID": { + "hide_name": 0, + "bits": [ 4093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23877.11-23877.23" + } + }, + "PIPERX1CHARISK": { + "hide_name": 0, + "bits": [ 4094, 4095 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23878.17-23878.31" + } + }, + "PIPERX1DATA": { + "hide_name": 0, + "bits": [ 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23879.18-23879.29" + } + }, + "PIPERX1DATAVALID": { + "hide_name": 0, + "bits": [ 4128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23880.11-23880.27" + } + }, + "PIPERX1ELECIDLE": { + "hide_name": 0, + "bits": [ 4129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23881.11-23881.26" + } + }, + "PIPERX1EQCONTROL": { + "hide_name": 0, + "bits": [ 2225, 2226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23602.18-23602.34" + } + }, + "PIPERX1EQDONE": { + "hide_name": 0, + "bits": [ 4130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23882.11-23882.24" + } + }, + "PIPERX1EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 4131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23883.11-23883.31" + } + }, + "PIPERX1EQLPLFFS": { + "hide_name": 0, + "bits": [ 2227, 2228, 2229, 2230, 2231, 2232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23603.18-23603.33" + } + }, + "PIPERX1EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 4132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23884.11-23884.29" + } + }, + "PIPERX1EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23885.18-23885.47" + } + }, + "PIPERX1EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2233, 2234, 2235, 2236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23604.18-23604.37" + } + }, + "PIPERX1EQPRESET": { + "hide_name": 0, + "bits": [ 2237, 2238, 2239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23605.18-23605.33" + } + }, + "PIPERX1PHYSTATUS": { + "hide_name": 0, + "bits": [ 4151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23886.11-23886.27" + } + }, + "PIPERX1POLARITY": { + "hide_name": 0, + "bits": [ 2240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23606.12-23606.27" + } + }, + "PIPERX1STARTBLOCK": { + "hide_name": 0, + "bits": [ 4152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23887.11-23887.28" + } + }, + "PIPERX1STATUS": { + "hide_name": 0, + "bits": [ 4153, 4154, 4155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23888.17-23888.30" + } + }, + "PIPERX1SYNCHEADER": { + "hide_name": 0, + "bits": [ 4156, 4157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23889.17-23889.34" + } + }, + "PIPERX1VALID": { + "hide_name": 0, + "bits": [ 4158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23890.11-23890.23" + } + }, + "PIPERX2CHARISK": { + "hide_name": 0, + "bits": [ 4159, 4160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23891.17-23891.31" + } + }, + "PIPERX2DATA": { + "hide_name": 0, + "bits": [ 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23892.18-23892.29" + } + }, + "PIPERX2DATAVALID": { + "hide_name": 0, + "bits": [ 4193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23893.11-23893.27" + } + }, + "PIPERX2ELECIDLE": { + "hide_name": 0, + "bits": [ 4194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23894.11-23894.26" + } + }, + "PIPERX2EQCONTROL": { + "hide_name": 0, + "bits": [ 2241, 2242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23607.18-23607.34" + } + }, + "PIPERX2EQDONE": { + "hide_name": 0, + "bits": [ 4195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23895.11-23895.24" + } + }, + "PIPERX2EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 4196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23896.11-23896.31" + } + }, + "PIPERX2EQLPLFFS": { + "hide_name": 0, + "bits": [ 2243, 2244, 2245, 2246, 2247, 2248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23608.18-23608.33" + } + }, + "PIPERX2EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 4197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23897.11-23897.29" + } + }, + "PIPERX2EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23898.18-23898.47" + } + }, + "PIPERX2EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2249, 2250, 2251, 2252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23609.18-23609.37" + } + }, + "PIPERX2EQPRESET": { + "hide_name": 0, + "bits": [ 2253, 2254, 2255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23610.18-23610.33" + } + }, + "PIPERX2PHYSTATUS": { + "hide_name": 0, + "bits": [ 4216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23899.11-23899.27" + } + }, + "PIPERX2POLARITY": { + "hide_name": 0, + "bits": [ 2256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23611.12-23611.27" + } + }, + "PIPERX2STARTBLOCK": { + "hide_name": 0, + "bits": [ 4217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23900.11-23900.28" + } + }, + "PIPERX2STATUS": { + "hide_name": 0, + "bits": [ 4218, 4219, 4220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23901.17-23901.30" + } + }, + "PIPERX2SYNCHEADER": { + "hide_name": 0, + "bits": [ 4221, 4222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23902.17-23902.34" + } + }, + "PIPERX2VALID": { + "hide_name": 0, + "bits": [ 4223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23903.11-23903.23" + } + }, + "PIPERX3CHARISK": { + "hide_name": 0, + "bits": [ 4224, 4225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23904.17-23904.31" + } + }, + "PIPERX3DATA": { + "hide_name": 0, + "bits": [ 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23905.18-23905.29" + } + }, + "PIPERX3DATAVALID": { + "hide_name": 0, + "bits": [ 4258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23906.11-23906.27" + } + }, + "PIPERX3ELECIDLE": { + "hide_name": 0, + "bits": [ 4259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23907.11-23907.26" + } + }, + "PIPERX3EQCONTROL": { + "hide_name": 0, + "bits": [ 2257, 2258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23612.18-23612.34" + } + }, + "PIPERX3EQDONE": { + "hide_name": 0, + "bits": [ 4260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23908.11-23908.24" + } + }, + "PIPERX3EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 4261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23909.11-23909.31" + } + }, + "PIPERX3EQLPLFFS": { + "hide_name": 0, + "bits": [ 2259, 2260, 2261, 2262, 2263, 2264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23613.18-23613.33" + } + }, + "PIPERX3EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 4262 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23910.11-23910.29" + } + }, + "PIPERX3EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23911.18-23911.47" + } + }, + "PIPERX3EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2265, 2266, 2267, 2268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23614.18-23614.37" + } + }, + "PIPERX3EQPRESET": { + "hide_name": 0, + "bits": [ 2269, 2270, 2271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23615.18-23615.33" + } + }, + "PIPERX3PHYSTATUS": { + "hide_name": 0, + "bits": [ 4281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23912.11-23912.27" + } + }, + "PIPERX3POLARITY": { + "hide_name": 0, + "bits": [ 2272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23616.12-23616.27" + } + }, + "PIPERX3STARTBLOCK": { + "hide_name": 0, + "bits": [ 4282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23913.11-23913.28" + } + }, + "PIPERX3STATUS": { + "hide_name": 0, + "bits": [ 4283, 4284, 4285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23914.17-23914.30" + } + }, + "PIPERX3SYNCHEADER": { + "hide_name": 0, + "bits": [ 4286, 4287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23915.17-23915.34" + } + }, + "PIPERX3VALID": { + "hide_name": 0, + "bits": [ 4288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23916.11-23916.23" + } + }, + "PIPERX4CHARISK": { + "hide_name": 0, + "bits": [ 4289, 4290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23917.17-23917.31" + } + }, + "PIPERX4DATA": { + "hide_name": 0, + "bits": [ 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23918.18-23918.29" + } + }, + "PIPERX4DATAVALID": { + "hide_name": 0, + "bits": [ 4323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23919.11-23919.27" + } + }, + "PIPERX4ELECIDLE": { + "hide_name": 0, + "bits": [ 4324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23920.11-23920.26" + } + }, + "PIPERX4EQCONTROL": { + "hide_name": 0, + "bits": [ 2273, 2274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23617.18-23617.34" + } + }, + "PIPERX4EQDONE": { + "hide_name": 0, + "bits": [ 4325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23921.11-23921.24" + } + }, + "PIPERX4EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 4326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23922.11-23922.31" + } + }, + "PIPERX4EQLPLFFS": { + "hide_name": 0, + "bits": [ 2275, 2276, 2277, 2278, 2279, 2280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23618.18-23618.33" + } + }, + "PIPERX4EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 4327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23923.11-23923.29" + } + }, + "PIPERX4EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23924.18-23924.47" + } + }, + "PIPERX4EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2281, 2282, 2283, 2284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23619.18-23619.37" + } + }, + "PIPERX4EQPRESET": { + "hide_name": 0, + "bits": [ 2285, 2286, 2287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23620.18-23620.33" + } + }, + "PIPERX4PHYSTATUS": { + "hide_name": 0, + "bits": [ 4346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23925.11-23925.27" + } + }, + "PIPERX4POLARITY": { + "hide_name": 0, + "bits": [ 2288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23621.12-23621.27" + } + }, + "PIPERX4STARTBLOCK": { + "hide_name": 0, + "bits": [ 4347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23926.11-23926.28" + } + }, + "PIPERX4STATUS": { + "hide_name": 0, + "bits": [ 4348, 4349, 4350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23927.17-23927.30" + } + }, + "PIPERX4SYNCHEADER": { + "hide_name": 0, + "bits": [ 4351, 4352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23928.17-23928.34" + } + }, + "PIPERX4VALID": { + "hide_name": 0, + "bits": [ 4353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23929.11-23929.23" + } + }, + "PIPERX5CHARISK": { + "hide_name": 0, + "bits": [ 4354, 4355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23930.17-23930.31" + } + }, + "PIPERX5DATA": { + "hide_name": 0, + "bits": [ 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23931.18-23931.29" + } + }, + "PIPERX5DATAVALID": { + "hide_name": 0, + "bits": [ 4388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23932.11-23932.27" + } + }, + "PIPERX5ELECIDLE": { + "hide_name": 0, + "bits": [ 4389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23933.11-23933.26" + } + }, + "PIPERX5EQCONTROL": { + "hide_name": 0, + "bits": [ 2289, 2290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23622.18-23622.34" + } + }, + "PIPERX5EQDONE": { + "hide_name": 0, + "bits": [ 4390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23934.11-23934.24" + } + }, + "PIPERX5EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 4391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23935.11-23935.31" + } + }, + "PIPERX5EQLPLFFS": { + "hide_name": 0, + "bits": [ 2291, 2292, 2293, 2294, 2295, 2296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23623.18-23623.33" + } + }, + "PIPERX5EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 4392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23936.11-23936.29" + } + }, + "PIPERX5EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23937.18-23937.47" + } + }, + "PIPERX5EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2297, 2298, 2299, 2300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23624.18-23624.37" + } + }, + "PIPERX5EQPRESET": { + "hide_name": 0, + "bits": [ 2301, 2302, 2303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23625.18-23625.33" + } + }, + "PIPERX5PHYSTATUS": { + "hide_name": 0, + "bits": [ 4411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23938.11-23938.27" + } + }, + "PIPERX5POLARITY": { + "hide_name": 0, + "bits": [ 2304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23626.12-23626.27" + } + }, + "PIPERX5STARTBLOCK": { + "hide_name": 0, + "bits": [ 4412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23939.11-23939.28" + } + }, + "PIPERX5STATUS": { + "hide_name": 0, + "bits": [ 4413, 4414, 4415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23940.17-23940.30" + } + }, + "PIPERX5SYNCHEADER": { + "hide_name": 0, + "bits": [ 4416, 4417 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23941.17-23941.34" + } + }, + "PIPERX5VALID": { + "hide_name": 0, + "bits": [ 4418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23942.11-23942.23" + } + }, + "PIPERX6CHARISK": { + "hide_name": 0, + "bits": [ 4419, 4420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23943.17-23943.31" + } + }, + "PIPERX6DATA": { + "hide_name": 0, + "bits": [ 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23944.18-23944.29" + } + }, + "PIPERX6DATAVALID": { + "hide_name": 0, + "bits": [ 4453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23945.11-23945.27" + } + }, + "PIPERX6ELECIDLE": { + "hide_name": 0, + "bits": [ 4454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23946.11-23946.26" + } + }, + "PIPERX6EQCONTROL": { + "hide_name": 0, + "bits": [ 2305, 2306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23627.18-23627.34" + } + }, + "PIPERX6EQDONE": { + "hide_name": 0, + "bits": [ 4455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23947.11-23947.24" + } + }, + "PIPERX6EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 4456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23948.11-23948.31" + } + }, + "PIPERX6EQLPLFFS": { + "hide_name": 0, + "bits": [ 2307, 2308, 2309, 2310, 2311, 2312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23628.18-23628.33" + } + }, + "PIPERX6EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 4457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23949.11-23949.29" + } + }, + "PIPERX6EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23950.18-23950.47" + } + }, + "PIPERX6EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2313, 2314, 2315, 2316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23629.18-23629.37" + } + }, + "PIPERX6EQPRESET": { + "hide_name": 0, + "bits": [ 2317, 2318, 2319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23630.18-23630.33" + } + }, + "PIPERX6PHYSTATUS": { + "hide_name": 0, + "bits": [ 4476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23951.11-23951.27" + } + }, + "PIPERX6POLARITY": { + "hide_name": 0, + "bits": [ 2320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23631.12-23631.27" + } + }, + "PIPERX6STARTBLOCK": { + "hide_name": 0, + "bits": [ 4477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23952.11-23952.28" + } + }, + "PIPERX6STATUS": { + "hide_name": 0, + "bits": [ 4478, 4479, 4480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23953.17-23953.30" + } + }, + "PIPERX6SYNCHEADER": { + "hide_name": 0, + "bits": [ 4481, 4482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23954.17-23954.34" + } + }, + "PIPERX6VALID": { + "hide_name": 0, + "bits": [ 4483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23955.11-23955.23" + } + }, + "PIPERX7CHARISK": { + "hide_name": 0, + "bits": [ 4484, 4485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23956.17-23956.31" + } + }, + "PIPERX7DATA": { + "hide_name": 0, + "bits": [ 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23957.18-23957.29" + } + }, + "PIPERX7DATAVALID": { + "hide_name": 0, + "bits": [ 4518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23958.11-23958.27" + } + }, + "PIPERX7ELECIDLE": { + "hide_name": 0, + "bits": [ 4519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23959.11-23959.26" + } + }, + "PIPERX7EQCONTROL": { + "hide_name": 0, + "bits": [ 2321, 2322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23632.18-23632.34" + } + }, + "PIPERX7EQDONE": { + "hide_name": 0, + "bits": [ 4520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23960.11-23960.24" + } + }, + "PIPERX7EQLPADAPTDONE": { + "hide_name": 0, + "bits": [ 4521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23961.11-23961.31" + } + }, + "PIPERX7EQLPLFFS": { + "hide_name": 0, + "bits": [ 2323, 2324, 2325, 2326, 2327, 2328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23633.18-23633.33" + } + }, + "PIPERX7EQLPLFFSSEL": { + "hide_name": 0, + "bits": [ 4522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23962.11-23962.29" + } + }, + "PIPERX7EQLPNEWTXCOEFFORPRESET": { + "hide_name": 0, + "bits": [ 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23963.18-23963.47" + } + }, + "PIPERX7EQLPTXPRESET": { + "hide_name": 0, + "bits": [ 2329, 2330, 2331, 2332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23634.18-23634.37" + } + }, + "PIPERX7EQPRESET": { + "hide_name": 0, + "bits": [ 2333, 2334, 2335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23635.18-23635.33" + } + }, + "PIPERX7PHYSTATUS": { + "hide_name": 0, + "bits": [ 4541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23964.11-23964.27" + } + }, + "PIPERX7POLARITY": { + "hide_name": 0, + "bits": [ 2336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23636.12-23636.27" + } + }, + "PIPERX7STARTBLOCK": { + "hide_name": 0, + "bits": [ 4542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23965.11-23965.28" + } + }, + "PIPERX7STATUS": { + "hide_name": 0, + "bits": [ 4543, 4544, 4545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23966.17-23966.30" + } + }, + "PIPERX7SYNCHEADER": { + "hide_name": 0, + "bits": [ 4546, 4547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23967.17-23967.34" + } + }, + "PIPERX7VALID": { + "hide_name": 0, + "bits": [ 4548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23968.11-23968.23" + } + }, + "PIPETX0CHARISK": { + "hide_name": 0, + "bits": [ 2337, 2338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23637.18-23637.32" + } + }, + "PIPETX0COMPLIANCE": { + "hide_name": 0, + "bits": [ 2339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23638.12-23638.29" + } + }, + "PIPETX0DATA": { + "hide_name": 0, + "bits": [ 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23639.19-23639.30" + } + }, + "PIPETX0DATAVALID": { + "hide_name": 0, + "bits": [ 2372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23640.12-23640.28" + } + }, + "PIPETX0DEEMPH": { + "hide_name": 0, + "bits": [ 2373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23641.12-23641.25" + } + }, + "PIPETX0ELECIDLE": { + "hide_name": 0, + "bits": [ 2374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23642.12-23642.27" + } + }, + "PIPETX0EQCOEFF": { + "hide_name": 0, + "bits": [ 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23969.18-23969.32" + } + }, + "PIPETX0EQCONTROL": { + "hide_name": 0, + "bits": [ 2375, 2376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23643.18-23643.34" + } + }, + "PIPETX0EQDEEMPH": { + "hide_name": 0, + "bits": [ 2377, 2378, 2379, 2380, 2381, 2382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23644.18-23644.33" + } + }, + "PIPETX0EQDONE": { + "hide_name": 0, + "bits": [ 4567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23970.11-23970.24" + } + }, + "PIPETX0EQPRESET": { + "hide_name": 0, + "bits": [ 2383, 2384, 2385, 2386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23645.18-23645.33" + } + }, + "PIPETX0MARGIN": { + "hide_name": 0, + "bits": [ 2387, 2388, 2389 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23646.18-23646.31" + } + }, + "PIPETX0POWERDOWN": { + "hide_name": 0, + "bits": [ 2390, 2391 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23647.18-23647.34" + } + }, + "PIPETX0RATE": { + "hide_name": 0, + "bits": [ 2392, 2393 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23648.18-23648.29" + } + }, + "PIPETX0RCVRDET": { + "hide_name": 0, + "bits": [ 2394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23649.12-23649.26" + } + }, + "PIPETX0RESET": { + "hide_name": 0, + "bits": [ 2395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23650.12-23650.24" + } + }, + "PIPETX0STARTBLOCK": { + "hide_name": 0, + "bits": [ 2396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23651.12-23651.29" + } + }, + "PIPETX0SWING": { + "hide_name": 0, + "bits": [ 2397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23652.12-23652.24" + } + }, + "PIPETX0SYNCHEADER": { + "hide_name": 0, + "bits": [ 2398, 2399 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23653.18-23653.35" + } + }, + "PIPETX1CHARISK": { + "hide_name": 0, + "bits": [ 2400, 2401 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23654.18-23654.32" + } + }, + "PIPETX1COMPLIANCE": { + "hide_name": 0, + "bits": [ 2402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23655.12-23655.29" + } + }, + "PIPETX1DATA": { + "hide_name": 0, + "bits": [ 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23656.19-23656.30" + } + }, + "PIPETX1DATAVALID": { + "hide_name": 0, + "bits": [ 2435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23657.12-23657.28" + } + }, + "PIPETX1DEEMPH": { + "hide_name": 0, + "bits": [ 2436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23658.12-23658.25" + } + }, + "PIPETX1ELECIDLE": { + "hide_name": 0, + "bits": [ 2437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23659.12-23659.27" + } + }, + "PIPETX1EQCOEFF": { + "hide_name": 0, + "bits": [ 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23971.18-23971.32" + } + }, + "PIPETX1EQCONTROL": { + "hide_name": 0, + "bits": [ 2438, 2439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23660.18-23660.34" + } + }, + "PIPETX1EQDEEMPH": { + "hide_name": 0, + "bits": [ 2440, 2441, 2442, 2443, 2444, 2445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23661.18-23661.33" + } + }, + "PIPETX1EQDONE": { + "hide_name": 0, + "bits": [ 4586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23972.11-23972.24" + } + }, + "PIPETX1EQPRESET": { + "hide_name": 0, + "bits": [ 2446, 2447, 2448, 2449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23662.18-23662.33" + } + }, + "PIPETX1MARGIN": { + "hide_name": 0, + "bits": [ 2450, 2451, 2452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23663.18-23663.31" + } + }, + "PIPETX1POWERDOWN": { + "hide_name": 0, + "bits": [ 2453, 2454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23664.18-23664.34" + } + }, + "PIPETX1RATE": { + "hide_name": 0, + "bits": [ 2455, 2456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23665.18-23665.29" + } + }, + "PIPETX1RCVRDET": { + "hide_name": 0, + "bits": [ 2457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23666.12-23666.26" + } + }, + "PIPETX1RESET": { + "hide_name": 0, + "bits": [ 2458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23667.12-23667.24" + } + }, + "PIPETX1STARTBLOCK": { + "hide_name": 0, + "bits": [ 2459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23668.12-23668.29" + } + }, + "PIPETX1SWING": { + "hide_name": 0, + "bits": [ 2460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23669.12-23669.24" + } + }, + "PIPETX1SYNCHEADER": { + "hide_name": 0, + "bits": [ 2461, 2462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23670.18-23670.35" + } + }, + "PIPETX2CHARISK": { + "hide_name": 0, + "bits": [ 2463, 2464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23671.18-23671.32" + } + }, + "PIPETX2COMPLIANCE": { + "hide_name": 0, + "bits": [ 2465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23672.12-23672.29" + } + }, + "PIPETX2DATA": { + "hide_name": 0, + "bits": [ 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23673.19-23673.30" + } + }, + "PIPETX2DATAVALID": { + "hide_name": 0, + "bits": [ 2498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23674.12-23674.28" + } + }, + "PIPETX2DEEMPH": { + "hide_name": 0, + "bits": [ 2499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23675.12-23675.25" + } + }, + "PIPETX2ELECIDLE": { + "hide_name": 0, + "bits": [ 2500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23676.12-23676.27" + } + }, + "PIPETX2EQCOEFF": { + "hide_name": 0, + "bits": [ 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23973.18-23973.32" + } + }, + "PIPETX2EQCONTROL": { + "hide_name": 0, + "bits": [ 2501, 2502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23677.18-23677.34" + } + }, + "PIPETX2EQDEEMPH": { + "hide_name": 0, + "bits": [ 2503, 2504, 2505, 2506, 2507, 2508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23678.18-23678.33" + } + }, + "PIPETX2EQDONE": { + "hide_name": 0, + "bits": [ 4605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23974.11-23974.24" + } + }, + "PIPETX2EQPRESET": { + "hide_name": 0, + "bits": [ 2509, 2510, 2511, 2512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23679.18-23679.33" + } + }, + "PIPETX2MARGIN": { + "hide_name": 0, + "bits": [ 2513, 2514, 2515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23680.18-23680.31" + } + }, + "PIPETX2POWERDOWN": { + "hide_name": 0, + "bits": [ 2516, 2517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23681.18-23681.34" + } + }, + "PIPETX2RATE": { + "hide_name": 0, + "bits": [ 2518, 2519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23682.18-23682.29" + } + }, + "PIPETX2RCVRDET": { + "hide_name": 0, + "bits": [ 2520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23683.12-23683.26" + } + }, + "PIPETX2RESET": { + "hide_name": 0, + "bits": [ 2521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23684.12-23684.24" + } + }, + "PIPETX2STARTBLOCK": { + "hide_name": 0, + "bits": [ 2522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23685.12-23685.29" + } + }, + "PIPETX2SWING": { + "hide_name": 0, + "bits": [ 2523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23686.12-23686.24" + } + }, + "PIPETX2SYNCHEADER": { + "hide_name": 0, + "bits": [ 2524, 2525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23687.18-23687.35" + } + }, + "PIPETX3CHARISK": { + "hide_name": 0, + "bits": [ 2526, 2527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23688.18-23688.32" + } + }, + "PIPETX3COMPLIANCE": { + "hide_name": 0, + "bits": [ 2528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23689.12-23689.29" + } + }, + "PIPETX3DATA": { + "hide_name": 0, + "bits": [ 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23690.19-23690.30" + } + }, + "PIPETX3DATAVALID": { + "hide_name": 0, + "bits": [ 2561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23691.12-23691.28" + } + }, + "PIPETX3DEEMPH": { + "hide_name": 0, + "bits": [ 2562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23692.12-23692.25" + } + }, + "PIPETX3ELECIDLE": { + "hide_name": 0, + "bits": [ 2563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23693.12-23693.27" + } + }, + "PIPETX3EQCOEFF": { + "hide_name": 0, + "bits": [ 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23975.18-23975.32" + } + }, + "PIPETX3EQCONTROL": { + "hide_name": 0, + "bits": [ 2564, 2565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23694.18-23694.34" + } + }, + "PIPETX3EQDEEMPH": { + "hide_name": 0, + "bits": [ 2566, 2567, 2568, 2569, 2570, 2571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23695.18-23695.33" + } + }, + "PIPETX3EQDONE": { + "hide_name": 0, + "bits": [ 4624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23976.11-23976.24" + } + }, + "PIPETX3EQPRESET": { + "hide_name": 0, + "bits": [ 2572, 2573, 2574, 2575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23696.18-23696.33" + } + }, + "PIPETX3MARGIN": { + "hide_name": 0, + "bits": [ 2576, 2577, 2578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23697.18-23697.31" + } + }, + "PIPETX3POWERDOWN": { + "hide_name": 0, + "bits": [ 2579, 2580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23698.18-23698.34" + } + }, + "PIPETX3RATE": { + "hide_name": 0, + "bits": [ 2581, 2582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23699.18-23699.29" + } + }, + "PIPETX3RCVRDET": { + "hide_name": 0, + "bits": [ 2583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23700.12-23700.26" + } + }, + "PIPETX3RESET": { + "hide_name": 0, + "bits": [ 2584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23701.12-23701.24" + } + }, + "PIPETX3STARTBLOCK": { + "hide_name": 0, + "bits": [ 2585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23702.12-23702.29" + } + }, + "PIPETX3SWING": { + "hide_name": 0, + "bits": [ 2586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23703.12-23703.24" + } + }, + "PIPETX3SYNCHEADER": { + "hide_name": 0, + "bits": [ 2587, 2588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23704.18-23704.35" + } + }, + "PIPETX4CHARISK": { + "hide_name": 0, + "bits": [ 2589, 2590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23705.18-23705.32" + } + }, + "PIPETX4COMPLIANCE": { + "hide_name": 0, + "bits": [ 2591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23706.12-23706.29" + } + }, + "PIPETX4DATA": { + "hide_name": 0, + "bits": [ 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612, 2613, 2614, 2615, 2616, 2617, 2618, 2619, 2620, 2621, 2622, 2623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23707.19-23707.30" + } + }, + "PIPETX4DATAVALID": { + "hide_name": 0, + "bits": [ 2624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23708.12-23708.28" + } + }, + "PIPETX4DEEMPH": { + "hide_name": 0, + "bits": [ 2625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23709.12-23709.25" + } + }, + "PIPETX4ELECIDLE": { + "hide_name": 0, + "bits": [ 2626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23710.12-23710.27" + } + }, + "PIPETX4EQCOEFF": { + "hide_name": 0, + "bits": [ 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23977.18-23977.32" + } + }, + "PIPETX4EQCONTROL": { + "hide_name": 0, + "bits": [ 2627, 2628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23711.18-23711.34" + } + }, + "PIPETX4EQDEEMPH": { + "hide_name": 0, + "bits": [ 2629, 2630, 2631, 2632, 2633, 2634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23712.18-23712.33" + } + }, + "PIPETX4EQDONE": { + "hide_name": 0, + "bits": [ 4643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23978.11-23978.24" + } + }, + "PIPETX4EQPRESET": { + "hide_name": 0, + "bits": [ 2635, 2636, 2637, 2638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23713.18-23713.33" + } + }, + "PIPETX4MARGIN": { + "hide_name": 0, + "bits": [ 2639, 2640, 2641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23714.18-23714.31" + } + }, + "PIPETX4POWERDOWN": { + "hide_name": 0, + "bits": [ 2642, 2643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23715.18-23715.34" + } + }, + "PIPETX4RATE": { + "hide_name": 0, + "bits": [ 2644, 2645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23716.18-23716.29" + } + }, + "PIPETX4RCVRDET": { + "hide_name": 0, + "bits": [ 2646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23717.12-23717.26" + } + }, + "PIPETX4RESET": { + "hide_name": 0, + "bits": [ 2647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23718.12-23718.24" + } + }, + "PIPETX4STARTBLOCK": { + "hide_name": 0, + "bits": [ 2648 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23719.12-23719.29" + } + }, + "PIPETX4SWING": { + "hide_name": 0, + "bits": [ 2649 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23720.12-23720.24" + } + }, + "PIPETX4SYNCHEADER": { + "hide_name": 0, + "bits": [ 2650, 2651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23721.18-23721.35" + } + }, + "PIPETX5CHARISK": { + "hide_name": 0, + "bits": [ 2652, 2653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23722.18-23722.32" + } + }, + "PIPETX5COMPLIANCE": { + "hide_name": 0, + "bits": [ 2654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23723.12-23723.29" + } + }, + "PIPETX5DATA": { + "hide_name": 0, + "bits": [ 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23724.19-23724.30" + } + }, + "PIPETX5DATAVALID": { + "hide_name": 0, + "bits": [ 2687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23725.12-23725.28" + } + }, + "PIPETX5DEEMPH": { + "hide_name": 0, + "bits": [ 2688 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23726.12-23726.25" + } + }, + "PIPETX5ELECIDLE": { + "hide_name": 0, + "bits": [ 2689 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23727.12-23727.27" + } + }, + "PIPETX5EQCOEFF": { + "hide_name": 0, + "bits": [ 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23979.18-23979.32" + } + }, + "PIPETX5EQCONTROL": { + "hide_name": 0, + "bits": [ 2690, 2691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23728.18-23728.34" + } + }, + "PIPETX5EQDEEMPH": { + "hide_name": 0, + "bits": [ 2692, 2693, 2694, 2695, 2696, 2697 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23729.18-23729.33" + } + }, + "PIPETX5EQDONE": { + "hide_name": 0, + "bits": [ 4662 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23980.11-23980.24" + } + }, + "PIPETX5EQPRESET": { + "hide_name": 0, + "bits": [ 2698, 2699, 2700, 2701 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23730.18-23730.33" + } + }, + "PIPETX5MARGIN": { + "hide_name": 0, + "bits": [ 2702, 2703, 2704 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23731.18-23731.31" + } + }, + "PIPETX5POWERDOWN": { + "hide_name": 0, + "bits": [ 2705, 2706 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23732.18-23732.34" + } + }, + "PIPETX5RATE": { + "hide_name": 0, + "bits": [ 2707, 2708 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23733.18-23733.29" + } + }, + "PIPETX5RCVRDET": { + "hide_name": 0, + "bits": [ 2709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23734.12-23734.26" + } + }, + "PIPETX5RESET": { + "hide_name": 0, + "bits": [ 2710 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23735.12-23735.24" + } + }, + "PIPETX5STARTBLOCK": { + "hide_name": 0, + "bits": [ 2711 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23736.12-23736.29" + } + }, + "PIPETX5SWING": { + "hide_name": 0, + "bits": [ 2712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23737.12-23737.24" + } + }, + "PIPETX5SYNCHEADER": { + "hide_name": 0, + "bits": [ 2713, 2714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23738.18-23738.35" + } + }, + "PIPETX6CHARISK": { + "hide_name": 0, + "bits": [ 2715, 2716 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23739.18-23739.32" + } + }, + "PIPETX6COMPLIANCE": { + "hide_name": 0, + "bits": [ 2717 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23740.12-23740.29" + } + }, + "PIPETX6DATA": { + "hide_name": 0, + "bits": [ 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23741.19-23741.30" + } + }, + "PIPETX6DATAVALID": { + "hide_name": 0, + "bits": [ 2750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23742.12-23742.28" + } + }, + "PIPETX6DEEMPH": { + "hide_name": 0, + "bits": [ 2751 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23743.12-23743.25" + } + }, + "PIPETX6ELECIDLE": { + "hide_name": 0, + "bits": [ 2752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23744.12-23744.27" + } + }, + "PIPETX6EQCOEFF": { + "hide_name": 0, + "bits": [ 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23981.18-23981.32" + } + }, + "PIPETX6EQCONTROL": { + "hide_name": 0, + "bits": [ 2753, 2754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23745.18-23745.34" + } + }, + "PIPETX6EQDEEMPH": { + "hide_name": 0, + "bits": [ 2755, 2756, 2757, 2758, 2759, 2760 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23746.18-23746.33" + } + }, + "PIPETX6EQDONE": { + "hide_name": 0, + "bits": [ 4681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23982.11-23982.24" + } + }, + "PIPETX6EQPRESET": { + "hide_name": 0, + "bits": [ 2761, 2762, 2763, 2764 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23747.18-23747.33" + } + }, + "PIPETX6MARGIN": { + "hide_name": 0, + "bits": [ 2765, 2766, 2767 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23748.18-23748.31" + } + }, + "PIPETX6POWERDOWN": { + "hide_name": 0, + "bits": [ 2768, 2769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23749.18-23749.34" + } + }, + "PIPETX6RATE": { + "hide_name": 0, + "bits": [ 2770, 2771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23750.18-23750.29" + } + }, + "PIPETX6RCVRDET": { + "hide_name": 0, + "bits": [ 2772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23751.12-23751.26" + } + }, + "PIPETX6RESET": { + "hide_name": 0, + "bits": [ 2773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23752.12-23752.24" + } + }, + "PIPETX6STARTBLOCK": { + "hide_name": 0, + "bits": [ 2774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23753.12-23753.29" + } + }, + "PIPETX6SWING": { + "hide_name": 0, + "bits": [ 2775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23754.12-23754.24" + } + }, + "PIPETX6SYNCHEADER": { + "hide_name": 0, + "bits": [ 2776, 2777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23755.18-23755.35" + } + }, + "PIPETX7CHARISK": { + "hide_name": 0, + "bits": [ 2778, 2779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23756.18-23756.32" + } + }, + "PIPETX7COMPLIANCE": { + "hide_name": 0, + "bits": [ 2780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23757.12-23757.29" + } + }, + "PIPETX7DATA": { + "hide_name": 0, + "bits": [ 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2794, 2795, 2796, 2797, 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23758.19-23758.30" + } + }, + "PIPETX7DATAVALID": { + "hide_name": 0, + "bits": [ 2813 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23759.12-23759.28" + } + }, + "PIPETX7DEEMPH": { + "hide_name": 0, + "bits": [ 2814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23760.12-23760.25" + } + }, + "PIPETX7ELECIDLE": { + "hide_name": 0, + "bits": [ 2815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23761.12-23761.27" + } + }, + "PIPETX7EQCOEFF": { + "hide_name": 0, + "bits": [ 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23983.18-23983.32" + } + }, + "PIPETX7EQCONTROL": { + "hide_name": 0, + "bits": [ 2816, 2817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23762.18-23762.34" + } + }, + "PIPETX7EQDEEMPH": { + "hide_name": 0, + "bits": [ 2818, 2819, 2820, 2821, 2822, 2823 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23763.18-23763.33" + } + }, + "PIPETX7EQDONE": { + "hide_name": 0, + "bits": [ 4700 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23984.11-23984.24" + } + }, + "PIPETX7EQPRESET": { + "hide_name": 0, + "bits": [ 2824, 2825, 2826, 2827 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23764.18-23764.33" + } + }, + "PIPETX7MARGIN": { + "hide_name": 0, + "bits": [ 2828, 2829, 2830 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23765.18-23765.31" + } + }, + "PIPETX7POWERDOWN": { + "hide_name": 0, + "bits": [ 2831, 2832 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23766.18-23766.34" + } + }, + "PIPETX7RATE": { + "hide_name": 0, + "bits": [ 2833, 2834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23767.18-23767.29" + } + }, + "PIPETX7RCVRDET": { + "hide_name": 0, + "bits": [ 2835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23768.12-23768.26" + } + }, + "PIPETX7RESET": { + "hide_name": 0, + "bits": [ 2836 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23769.12-23769.24" + } + }, + "PIPETX7STARTBLOCK": { + "hide_name": 0, + "bits": [ 2837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23770.12-23770.29" + } + }, + "PIPETX7SWING": { + "hide_name": 0, + "bits": [ 2838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23771.12-23771.24" + } + }, + "PIPETX7SYNCHEADER": { + "hide_name": 0, + "bits": [ 2839, 2840 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23772.18-23772.35" + } + }, + "PLEQINPROGRESS": { + "hide_name": 0, + "bits": [ 2841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23773.12-23773.26" + } + }, + "PLEQPHASE": { + "hide_name": 0, + "bits": [ 2842, 2843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23774.18-23774.27" + } + }, + "PLEQRESETEIEOSCOUNT": { + "hide_name": 0, + "bits": [ 4701 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23985.11-23985.30" + } + }, + "PLGEN2UPSTREAMPREFERDEEMPH": { + "hide_name": 0, + "bits": [ 4702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23986.11-23986.37" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 4703 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23987.11-23987.17" + } + }, + "SAXISCCTDATA": { + "hide_name": 0, + "bits": [ 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23988.19-23988.31" + } + }, + "SAXISCCTKEEP": { + "hide_name": 0, + "bits": [ 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23989.17-23989.29" + } + }, + "SAXISCCTLAST": { + "hide_name": 0, + "bits": [ 4968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23990.11-23990.23" + } + }, + "SAXISCCTREADY": { + "hide_name": 0, + "bits": [ 2844, 2845, 2846, 2847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23775.18-23775.31" + } + }, + "SAXISCCTUSER": { + "hide_name": 0, + "bits": [ 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23991.18-23991.30" + } + }, + "SAXISCCTVALID": { + "hide_name": 0, + "bits": [ 5002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23992.11-23992.24" + } + }, + "SAXISRQTDATA": { + "hide_name": 0, + "bits": [ 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122, 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154, 5155, 5156, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256, 5257, 5258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23993.19-23993.31" + } + }, + "SAXISRQTKEEP": { + "hide_name": 0, + "bits": [ 5259, 5260, 5261, 5262, 5263, 5264, 5265, 5266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23994.17-23994.29" + } + }, + "SAXISRQTLAST": { + "hide_name": 0, + "bits": [ 5267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23995.11-23995.23" + } + }, + "SAXISRQTREADY": { + "hide_name": 0, + "bits": [ 2848, 2849, 2850, 2851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23776.18-23776.31" + } + }, + "SAXISRQTUSER": { + "hide_name": 0, + "bits": [ 5268, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280, 5281, 5282, 5283, 5284, 5285, 5286, 5287, 5288, 5289, 5290, 5291, 5292, 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23996.18-23996.30" + } + }, + "SAXISRQTVALID": { + "hide_name": 0, + "bits": [ 5328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23997.11-23997.24" + } + }, + "SPAREIN": { + "hide_name": 0, + "bits": [ 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23998.18-23998.25" + } + }, + "SPAREOUT": { + "hide_name": 0, + "bits": [ 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23777.19-23777.27" + } + }, + "USERCLK": { + "hide_name": 0, + "bits": [ 5361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23999.11-23999.18" + } + } + } + }, + "PCIE_A1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19854.1-20095.10" + }, + "parameter_default_values": { + "BAR0": "00000000000000000000000000000000", + "BAR1": "00000000000000000000000000000000", + "BAR2": "00000000000000000000000000000000", + "BAR3": "00000000000000000000000000000000", + "BAR4": "00000000000000000000000000000000", + "BAR5": "00000000000000000000000000000000", + "CARDBUS_CIS_POINTER": "00000000000000000000000000000000", + "CLASS_CODE": "000000000000000000000000", + "DEV_CAP_ENDPOINT_L0S_LATENCY": "00000000000000000000000000000111", + "DEV_CAP_ENDPOINT_L1_LATENCY": "00000000000000000000000000000111", + "DEV_CAP_EXT_TAG_SUPPORTED": "FALSE", + "DEV_CAP_MAX_PAYLOAD_SUPPORTED": "00000000000000000000000000000010", + "DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT": "00000000000000000000000000000000", + "DEV_CAP_ROLE_BASED_ERROR": "TRUE", + "DISABLE_BAR_FILTERING": "FALSE", + "DISABLE_ID_CHECK": "FALSE", + "DISABLE_SCRAMBLING": "FALSE", + "ENABLE_RX_TD_ECRC_TRIM": "FALSE", + "EXPANSION_ROM": "0000000000000000000000", + "FAST_TRAIN": "FALSE", + "GTP_SEL": "00000000000000000000000000000000", + "LINK_CAP_ASPM_SUPPORT": "00000000000000000000000000000001", + "LINK_CAP_L0S_EXIT_LATENCY": "00000000000000000000000000000111", + "LINK_CAP_L1_EXIT_LATENCY": "00000000000000000000000000000111", + "LINK_STATUS_SLOT_CLOCK_CONFIG": "FALSE", + "LL_ACK_TIMEOUT": "000001000000100", + "LL_ACK_TIMEOUT_EN": "FALSE", + "LL_REPLAY_TIMEOUT": "000011000001101", + "LL_REPLAY_TIMEOUT_EN": "FALSE", + "MSI_CAP_MULTIMSGCAP": "00000000000000000000000000000000", + "MSI_CAP_MULTIMSG_EXTENSION": "00000000000000000000000000000000", + "PCIE_CAP_CAPABILITY_VERSION": "0001", + "PCIE_CAP_DEVICE_PORT_TYPE": "0000", + "PCIE_CAP_INT_MSG_NUM": "00000", + "PCIE_CAP_SLOT_IMPLEMENTED": "FALSE", + "PCIE_GENERIC": "000000000000", + "PLM_AUTO_CONFIG": "FALSE", + "PM_CAP_AUXCURRENT": "00000000000000000000000000000000", + "PM_CAP_D1SUPPORT": "TRUE", + "PM_CAP_D2SUPPORT": "TRUE", + "PM_CAP_DSI": "FALSE", + "PM_CAP_PMESUPPORT": "01111", + "PM_CAP_PME_CLOCK": "FALSE", + "PM_CAP_VERSION": "00000000000000000000000000000011", + "PM_DATA0": "00011110", + "PM_DATA1": "00011110", + "PM_DATA2": "00011110", + "PM_DATA3": "00011110", + "PM_DATA4": "00011110", + "PM_DATA5": "00011110", + "PM_DATA6": "00011110", + "PM_DATA7": "00011110", + "PM_DATA_SCALE0": "01", + "PM_DATA_SCALE1": "01", + "PM_DATA_SCALE2": "01", + "PM_DATA_SCALE3": "01", + "PM_DATA_SCALE4": "01", + "PM_DATA_SCALE5": "01", + "PM_DATA_SCALE6": "01", + "PM_DATA_SCALE7": "01", + "SIM_VERSION": "1.0", + "SLOT_CAP_ATT_BUTTON_PRESENT": "FALSE", + "SLOT_CAP_ATT_INDICATOR_PRESENT": "FALSE", + "SLOT_CAP_POWER_INDICATOR_PRESENT": "FALSE", + "TL_RX_RAM_RADDR_LATENCY": "00000000000000000000000000000001", + "TL_RX_RAM_RDATA_LATENCY": "00000000000000000000000000000010", + "TL_RX_RAM_WRITE_LATENCY": "00000000000000000000000000000000", + "TL_TFC_DISABLE": "FALSE", + "TL_TX_CHECKS_DISABLE": "FALSE", + "TL_TX_RAM_RADDR_LATENCY": "00000000000000000000000000000000", + "TL_TX_RAM_RDATA_LATENCY": "00000000000000000000000000000010", + "USR_CFG": "FALSE", + "USR_EXT_CFG": "FALSE", + "VC0_CPL_INFINITE": "TRUE", + "VC0_RX_RAM_LIMIT": "000000011110", + "VC0_TOTAL_CREDITS_CD": "00000000000000000000000001101000", + "VC0_TOTAL_CREDITS_CH": "00000000000000000000000000100100", + "VC0_TOTAL_CREDITS_NPH": "00000000000000000000000000001000", + "VC0_TOTAL_CREDITS_PD": "00000000000000000000000100100000", + "VC0_TOTAL_CREDITS_PH": "00000000000000000000000000100000", + "VC0_TX_LASTPACKET": "00000000000000000000000000011111" + }, + "ports": { + "CFGCOMMANDBUSMASTERENABLE": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGCOMMANDINTERRUPTDISABLE": { + "direction": "output", + "bits": [ 3 ] + }, + "CFGCOMMANDIOENABLE": { + "direction": "output", + "bits": [ 4 ] + }, + "CFGCOMMANDMEMENABLE": { + "direction": "output", + "bits": [ 5 ] + }, + "CFGCOMMANDSERREN": { + "direction": "output", + "bits": [ 6 ] + }, + "CFGDEVCONTROLAUXPOWEREN": { + "direction": "output", + "bits": [ 7 ] + }, + "CFGDEVCONTROLCORRERRREPORTINGEN": { + "direction": "output", + "bits": [ 8 ] + }, + "CFGDEVCONTROLENABLERO": { + "direction": "output", + "bits": [ 9 ] + }, + "CFGDEVCONTROLEXTTAGEN": { + "direction": "output", + "bits": [ 10 ] + }, + "CFGDEVCONTROLFATALERRREPORTINGEN": { + "direction": "output", + "bits": [ 11 ] + }, + "CFGDEVCONTROLNONFATALREPORTINGEN": { + "direction": "output", + "bits": [ 12 ] + }, + "CFGDEVCONTROLNOSNOOPEN": { + "direction": "output", + "bits": [ 13 ] + }, + "CFGDEVCONTROLPHANTOMEN": { + "direction": "output", + "bits": [ 14 ] + }, + "CFGDEVCONTROLURERRREPORTINGEN": { + "direction": "output", + "bits": [ 15 ] + }, + "CFGDEVSTATUSCORRERRDETECTED": { + "direction": "output", + "bits": [ 16 ] + }, + "CFGDEVSTATUSFATALERRDETECTED": { + "direction": "output", + "bits": [ 17 ] + }, + "CFGDEVSTATUSNONFATALERRDETECTED": { + "direction": "output", + "bits": [ 18 ] + }, + "CFGDEVSTATUSURDETECTED": { + "direction": "output", + "bits": [ 19 ] + }, + "CFGERRCPLRDYN": { + "direction": "output", + "bits": [ 20 ] + }, + "CFGINTERRUPTMSIENABLE": { + "direction": "output", + "bits": [ 21 ] + }, + "CFGINTERRUPTRDYN": { + "direction": "output", + "bits": [ 22 ] + }, + "CFGLINKCONTOLRCB": { + "direction": "output", + "bits": [ 23 ] + }, + "CFGLINKCONTROLCOMMONCLOCK": { + "direction": "output", + "bits": [ 24 ] + }, + "CFGLINKCONTROLEXTENDEDSYNC": { + "direction": "output", + "bits": [ 25 ] + }, + "CFGRDWRDONEN": { + "direction": "output", + "bits": [ 26 ] + }, + "CFGTOTURNOFFN": { + "direction": "output", + "bits": [ 27 ] + }, + "DBGBADDLLPSTATUS": { + "direction": "output", + "bits": [ 28 ] + }, + "DBGBADTLPLCRC": { + "direction": "output", + "bits": [ 29 ] + }, + "DBGBADTLPSEQNUM": { + "direction": "output", + "bits": [ 30 ] + }, + "DBGBADTLPSTATUS": { + "direction": "output", + "bits": [ 31 ] + }, + "DBGDLPROTOCOLSTATUS": { + "direction": "output", + "bits": [ 32 ] + }, + "DBGFCPROTOCOLERRSTATUS": { + "direction": "output", + "bits": [ 33 ] + }, + "DBGMLFRMDLENGTH": { + "direction": "output", + "bits": [ 34 ] + }, + "DBGMLFRMDMPS": { + "direction": "output", + "bits": [ 35 ] + }, + "DBGMLFRMDTCVC": { + "direction": "output", + "bits": [ 36 ] + }, + "DBGMLFRMDTLPSTATUS": { + "direction": "output", + "bits": [ 37 ] + }, + "DBGMLFRMDUNRECTYPE": { + "direction": "output", + "bits": [ 38 ] + }, + "DBGPOISTLPSTATUS": { + "direction": "output", + "bits": [ 39 ] + }, + "DBGRCVROVERFLOWSTATUS": { + "direction": "output", + "bits": [ 40 ] + }, + "DBGREGDETECTEDCORRECTABLE": { + "direction": "output", + "bits": [ 41 ] + }, + "DBGREGDETECTEDFATAL": { + "direction": "output", + "bits": [ 42 ] + }, + "DBGREGDETECTEDNONFATAL": { + "direction": "output", + "bits": [ 43 ] + }, + "DBGREGDETECTEDUNSUPPORTED": { + "direction": "output", + "bits": [ 44 ] + }, + "DBGRPLYROLLOVERSTATUS": { + "direction": "output", + "bits": [ 45 ] + }, + "DBGRPLYTIMEOUTSTATUS": { + "direction": "output", + "bits": [ 46 ] + }, + "DBGURNOBARHIT": { + "direction": "output", + "bits": [ 47 ] + }, + "DBGURPOISCFGWR": { + "direction": "output", + "bits": [ 48 ] + }, + "DBGURSTATUS": { + "direction": "output", + "bits": [ 49 ] + }, + "DBGURUNSUPMSG": { + "direction": "output", + "bits": [ 50 ] + }, + "MIMRXREN": { + "direction": "output", + "bits": [ 51 ] + }, + "MIMRXWEN": { + "direction": "output", + "bits": [ 52 ] + }, + "MIMTXREN": { + "direction": "output", + "bits": [ 53 ] + }, + "MIMTXWEN": { + "direction": "output", + "bits": [ 54 ] + }, + "PIPEGTTXELECIDLEA": { + "direction": "output", + "bits": [ 55 ] + }, + "PIPEGTTXELECIDLEB": { + "direction": "output", + "bits": [ 56 ] + }, + "PIPERXPOLARITYA": { + "direction": "output", + "bits": [ 57 ] + }, + "PIPERXPOLARITYB": { + "direction": "output", + "bits": [ 58 ] + }, + "PIPERXRESETA": { + "direction": "output", + "bits": [ 59 ] + }, + "PIPERXRESETB": { + "direction": "output", + "bits": [ 60 ] + }, + "PIPETXRCVRDETA": { + "direction": "output", + "bits": [ 61 ] + }, + "PIPETXRCVRDETB": { + "direction": "output", + "bits": [ 62 ] + }, + "RECEIVEDHOTRESET": { + "direction": "output", + "bits": [ 63 ] + }, + "TRNLNKUPN": { + "direction": "output", + "bits": [ 64 ] + }, + "TRNREOFN": { + "direction": "output", + "bits": [ 65 ] + }, + "TRNRERRFWDN": { + "direction": "output", + "bits": [ 66 ] + }, + "TRNRSOFN": { + "direction": "output", + "bits": [ 67 ] + }, + "TRNRSRCDSCN": { + "direction": "output", + "bits": [ 68 ] + }, + "TRNRSRCRDYN": { + "direction": "output", + "bits": [ 69 ] + }, + "TRNTCFGREQN": { + "direction": "output", + "bits": [ 70 ] + }, + "TRNTDSTRDYN": { + "direction": "output", + "bits": [ 71 ] + }, + "TRNTERRDROPN": { + "direction": "output", + "bits": [ 72 ] + }, + "USERRSTN": { + "direction": "output", + "bits": [ 73 ] + }, + "MIMRXRADDR": { + "direction": "output", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "MIMRXWADDR": { + "direction": "output", + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ] + }, + "MIMTXRADDR": { + "direction": "output", + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ] + }, + "MIMTXWADDR": { + "direction": "output", + "bits": [ 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121 ] + }, + "TRNFCCPLD": { + "direction": "output", + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133 ] + }, + "TRNFCNPD": { + "direction": "output", + "bits": [ 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145 ] + }, + "TRNFCPD": { + "direction": "output", + "bits": [ 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157 ] + }, + "PIPETXDATAA": { + "direction": "output", + "bits": [ 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173 ] + }, + "PIPETXDATAB": { + "direction": "output", + "bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ] + }, + "CFGLINKCONTROLASPMCONTROL": { + "direction": "output", + "bits": [ 190, 191 ] + }, + "PIPEGTPOWERDOWNA": { + "direction": "output", + "bits": [ 192, 193 ] + }, + "PIPEGTPOWERDOWNB": { + "direction": "output", + "bits": [ 194, 195 ] + }, + "PIPETXCHARDISPMODEA": { + "direction": "output", + "bits": [ 196, 197 ] + }, + "PIPETXCHARDISPMODEB": { + "direction": "output", + "bits": [ 198, 199 ] + }, + "PIPETXCHARDISPVALA": { + "direction": "output", + "bits": [ 200, 201 ] + }, + "PIPETXCHARDISPVALB": { + "direction": "output", + "bits": [ 202, 203 ] + }, + "PIPETXCHARISKA": { + "direction": "output", + "bits": [ 204, 205 ] + }, + "PIPETXCHARISKB": { + "direction": "output", + "bits": [ 206, 207 ] + }, + "CFGDEVCONTROLMAXPAYLOAD": { + "direction": "output", + "bits": [ 208, 209, 210 ] + }, + "CFGDEVCONTROLMAXREADREQ": { + "direction": "output", + "bits": [ 211, 212, 213 ] + }, + "CFGFUNCTIONNUMBER": { + "direction": "output", + "bits": [ 214, 215, 216 ] + }, + "CFGINTERRUPTMMENABLE": { + "direction": "output", + "bits": [ 217, 218, 219 ] + }, + "CFGPCIELINKSTATEN": { + "direction": "output", + "bits": [ 220, 221, 222 ] + }, + "CFGDO": { + "direction": "output", + "bits": [ 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254 ] + }, + "TRNRD": { + "direction": "output", + "bits": [ 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286 ] + }, + "MIMRXWDATA": { + "direction": "output", + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321 ] + }, + "MIMTXWDATA": { + "direction": "output", + "bits": [ 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ] + }, + "CFGDEVICENUMBER": { + "direction": "output", + "bits": [ 358, 359, 360, 361, 362 ] + }, + "CFGLTSSMSTATE": { + "direction": "output", + "bits": [ 363, 364, 365, 366, 367 ] + }, + "TRNTBUFAV": { + "direction": "output", + "bits": [ 368, 369, 370, 371, 372, 373 ] + }, + "TRNRBARHITN": { + "direction": "output", + "bits": [ 374, 375, 376, 377, 378, 379, 380 ] + }, + "CFGBUSNUMBER": { + "direction": "output", + "bits": [ 381, 382, 383, 384, 385, 386, 387, 388 ] + }, + "CFGINTERRUPTDO": { + "direction": "output", + "bits": [ 389, 390, 391, 392, 393, 394, 395, 396 ] + }, + "TRNFCCPLH": { + "direction": "output", + "bits": [ 397, 398, 399, 400, 401, 402, 403, 404 ] + }, + "TRNFCNPH": { + "direction": "output", + "bits": [ 405, 406, 407, 408, 409, 410, 411, 412 ] + }, + "TRNFCPH": { + "direction": "output", + "bits": [ 413, 414, 415, 416, 417, 418, 419, 420 ] + }, + "CFGERRCORN": { + "direction": "input", + "bits": [ 421 ] + }, + "CFGERRCPLABORTN": { + "direction": "input", + "bits": [ 422 ] + }, + "CFGERRCPLTIMEOUTN": { + "direction": "input", + "bits": [ 423 ] + }, + "CFGERRECRCN": { + "direction": "input", + "bits": [ 424 ] + }, + "CFGERRLOCKEDN": { + "direction": "input", + "bits": [ 425 ] + }, + "CFGERRPOSTEDN": { + "direction": "input", + "bits": [ 426 ] + }, + "CFGERRURN": { + "direction": "input", + "bits": [ 427 ] + }, + "CFGINTERRUPTASSERTN": { + "direction": "input", + "bits": [ 428 ] + }, + "CFGINTERRUPTN": { + "direction": "input", + "bits": [ 429 ] + }, + "CFGPMWAKEN": { + "direction": "input", + "bits": [ 430 ] + }, + "CFGRDENN": { + "direction": "input", + "bits": [ 431 ] + }, + "CFGTRNPENDINGN": { + "direction": "input", + "bits": [ 432 ] + }, + "CFGTURNOFFOKN": { + "direction": "input", + "bits": [ 433 ] + }, + "CLOCKLOCKED": { + "direction": "input", + "bits": [ 434 ] + }, + "MGTCLK": { + "direction": "input", + "bits": [ 435 ] + }, + "PIPEGTRESETDONEA": { + "direction": "input", + "bits": [ 436 ] + }, + "PIPEGTRESETDONEB": { + "direction": "input", + "bits": [ 437 ] + }, + "PIPEPHYSTATUSA": { + "direction": "input", + "bits": [ 438 ] + }, + "PIPEPHYSTATUSB": { + "direction": "input", + "bits": [ 439 ] + }, + "PIPERXENTERELECIDLEA": { + "direction": "input", + "bits": [ 440 ] + }, + "PIPERXENTERELECIDLEB": { + "direction": "input", + "bits": [ 441 ] + }, + "SYSRESETN": { + "direction": "input", + "bits": [ 442 ] + }, + "TRNRDSTRDYN": { + "direction": "input", + "bits": [ 443 ] + }, + "TRNRNPOKN": { + "direction": "input", + "bits": [ 444 ] + }, + "TRNTCFGGNTN": { + "direction": "input", + "bits": [ 445 ] + }, + "TRNTEOFN": { + "direction": "input", + "bits": [ 446 ] + }, + "TRNTERRFWDN": { + "direction": "input", + "bits": [ 447 ] + }, + "TRNTSOFN": { + "direction": "input", + "bits": [ 448 ] + }, + "TRNTSRCDSCN": { + "direction": "input", + "bits": [ 449 ] + }, + "TRNTSRCRDYN": { + "direction": "input", + "bits": [ 450 ] + }, + "TRNTSTRN": { + "direction": "input", + "bits": [ 451 ] + }, + "USERCLK": { + "direction": "input", + "bits": [ 452 ] + }, + "CFGDEVID": { + "direction": "input", + "bits": [ 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468 ] + }, + "CFGSUBSYSID": { + "direction": "input", + "bits": [ 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484 ] + }, + "CFGSUBSYSVENID": { + "direction": "input", + "bits": [ 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500 ] + }, + "CFGVENID": { + "direction": "input", + "bits": [ 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516 ] + }, + "PIPERXDATAA": { + "direction": "input", + "bits": [ 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532 ] + }, + "PIPERXDATAB": { + "direction": "input", + "bits": [ 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548 ] + }, + "PIPERXCHARISKA": { + "direction": "input", + "bits": [ 549, 550 ] + }, + "PIPERXCHARISKB": { + "direction": "input", + "bits": [ 551, 552 ] + }, + "PIPERXSTATUSA": { + "direction": "input", + "bits": [ 553, 554, 555 ] + }, + "PIPERXSTATUSB": { + "direction": "input", + "bits": [ 556, 557, 558 ] + }, + "TRNFCSEL": { + "direction": "input", + "bits": [ 559, 560, 561 ] + }, + "TRNTD": { + "direction": "input", + "bits": [ 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593 ] + }, + "MIMRXRDATA": { + "direction": "input", + "bits": [ 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628 ] + }, + "MIMTXRDATA": { + "direction": "input", + "bits": [ 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664 ] + }, + "CFGERRTLPCPLHEADER": { + "direction": "input", + "bits": [ 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712 ] + }, + "CFGDSN": { + "direction": "input", + "bits": [ 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776 ] + }, + "CFGINTERRUPTDI": { + "direction": "input", + "bits": [ 777, 778, 779, 780, 781, 782, 783, 784 ] + }, + "CFGREVID": { + "direction": "input", + "bits": [ 785, 786, 787, 788, 789, 790, 791, 792 ] + }, + "CFGDWADDR": { + "direction": "input", + "bits": [ 793, 794, 795, 796, 797, 798, 799, 800, 801, 802 ] + } + }, + "cells": { + }, + "netnames": { + "CFGBUSNUMBER": { + "hide_name": 0, + "bits": [ 381, 382, 383, 384, 385, 386, 387, 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20039.18-20039.30" + } + }, + "CFGCOMMANDBUSMASTERENABLE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19936.12-19936.37" + } + }, + "CFGCOMMANDINTERRUPTDISABLE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19937.12-19937.38" + } + }, + "CFGCOMMANDIOENABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19938.12-19938.30" + } + }, + "CFGCOMMANDMEMENABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19939.12-19939.31" + } + }, + "CFGCOMMANDSERREN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19940.12-19940.28" + } + }, + "CFGDEVCONTROLAUXPOWEREN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19941.12-19941.35" + } + }, + "CFGDEVCONTROLCORRERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19942.12-19942.43" + } + }, + "CFGDEVCONTROLENABLERO": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19943.12-19943.33" + } + }, + "CFGDEVCONTROLEXTTAGEN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19944.12-19944.33" + } + }, + "CFGDEVCONTROLFATALERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19945.12-19945.44" + } + }, + "CFGDEVCONTROLMAXPAYLOAD": { + "hide_name": 0, + "bits": [ 208, 209, 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20026.18-20026.41" + } + }, + "CFGDEVCONTROLMAXREADREQ": { + "hide_name": 0, + "bits": [ 211, 212, 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20027.18-20027.41" + } + }, + "CFGDEVCONTROLNONFATALREPORTINGEN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19946.12-19946.44" + } + }, + "CFGDEVCONTROLNOSNOOPEN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19947.12-19947.34" + } + }, + "CFGDEVCONTROLPHANTOMEN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19948.12-19948.34" + } + }, + "CFGDEVCONTROLURERRREPORTINGEN": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19949.12-19949.41" + } + }, + "CFGDEVICENUMBER": { + "hide_name": 0, + "bits": [ 358, 359, 360, 361, 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20035.18-20035.33" + } + }, + "CFGDEVID": { + "hide_name": 0, + "bits": [ 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20076.18-20076.26" + } + }, + "CFGDEVSTATUSCORRERRDETECTED": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19950.12-19950.39" + } + }, + "CFGDEVSTATUSFATALERRDETECTED": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19951.12-19951.40" + } + }, + "CFGDEVSTATUSNONFATALERRDETECTED": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19952.12-19952.43" + } + }, + "CFGDEVSTATUSURDETECTED": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19953.12-19953.34" + } + }, + "CFGDO": { + "hide_name": 0, + "bits": [ 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20031.19-20031.24" + } + }, + "CFGDSN": { + "hide_name": 0, + "bits": [ 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20091.18-20091.24" + } + }, + "CFGDWADDR": { + "hide_name": 0, + "bits": [ 793, 794, 795, 796, 797, 798, 799, 800, 801, 802 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20094.17-20094.26" + } + }, + "CFGERRCORN": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20044.11-20044.21" + } + }, + "CFGERRCPLABORTN": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20045.11-20045.26" + } + }, + "CFGERRCPLRDYN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19954.12-19954.25" + } + }, + "CFGERRCPLTIMEOUTN": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20046.11-20046.28" + } + }, + "CFGERRECRCN": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20047.11-20047.22" + } + }, + "CFGERRLOCKEDN": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20048.11-20048.24" + } + }, + "CFGERRPOSTEDN": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20049.11-20049.24" + } + }, + "CFGERRTLPCPLHEADER": { + "hide_name": 0, + "bits": [ 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20090.18-20090.36" + } + }, + "CFGERRURN": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20050.11-20050.20" + } + }, + "CFGFUNCTIONNUMBER": { + "hide_name": 0, + "bits": [ 214, 215, 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20028.18-20028.35" + } + }, + "CFGINTERRUPTASSERTN": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20051.11-20051.30" + } + }, + "CFGINTERRUPTDI": { + "hide_name": 0, + "bits": [ 777, 778, 779, 780, 781, 782, 783, 784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20092.17-20092.31" + } + }, + "CFGINTERRUPTDO": { + "hide_name": 0, + "bits": [ 389, 390, 391, 392, 393, 394, 395, 396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20040.18-20040.32" + } + }, + "CFGINTERRUPTMMENABLE": { + "hide_name": 0, + "bits": [ 217, 218, 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20029.18-20029.38" + } + }, + "CFGINTERRUPTMSIENABLE": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19955.12-19955.33" + } + }, + "CFGINTERRUPTN": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20052.11-20052.24" + } + }, + "CFGINTERRUPTRDYN": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19956.12-19956.28" + } + }, + "CFGLINKCONTOLRCB": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19957.12-19957.28" + } + }, + "CFGLINKCONTROLASPMCONTROL": { + "hide_name": 0, + "bits": [ 190, 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20017.18-20017.43" + } + }, + "CFGLINKCONTROLCOMMONCLOCK": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19958.12-19958.37" + } + }, + "CFGLINKCONTROLEXTENDEDSYNC": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19959.12-19959.38" + } + }, + "CFGLTSSMSTATE": { + "hide_name": 0, + "bits": [ 363, 364, 365, 366, 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20036.18-20036.31" + } + }, + "CFGPCIELINKSTATEN": { + "hide_name": 0, + "bits": [ 220, 221, 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20030.18-20030.35" + } + }, + "CFGPMWAKEN": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20053.11-20053.21" + } + }, + "CFGRDENN": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20054.11-20054.19" + } + }, + "CFGRDWRDONEN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19960.12-19960.24" + } + }, + "CFGREVID": { + "hide_name": 0, + "bits": [ 785, 786, 787, 788, 789, 790, 791, 792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20093.17-20093.25" + } + }, + "CFGSUBSYSID": { + "hide_name": 0, + "bits": [ 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20077.18-20077.29" + } + }, + "CFGSUBSYSVENID": { + "hide_name": 0, + "bits": [ 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20078.18-20078.32" + } + }, + "CFGTOTURNOFFN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19961.12-19961.25" + } + }, + "CFGTRNPENDINGN": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20055.11-20055.25" + } + }, + "CFGTURNOFFOKN": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20056.11-20056.24" + } + }, + "CFGVENID": { + "hide_name": 0, + "bits": [ 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20079.18-20079.26" + } + }, + "CLOCKLOCKED": { + "hide_name": 0, + "bits": [ 434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20057.11-20057.22" + } + }, + "DBGBADDLLPSTATUS": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19962.12-19962.28" + } + }, + "DBGBADTLPLCRC": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19963.12-19963.25" + } + }, + "DBGBADTLPSEQNUM": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19964.12-19964.27" + } + }, + "DBGBADTLPSTATUS": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19965.12-19965.27" + } + }, + "DBGDLPROTOCOLSTATUS": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19966.12-19966.31" + } + }, + "DBGFCPROTOCOLERRSTATUS": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19967.12-19967.34" + } + }, + "DBGMLFRMDLENGTH": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19968.12-19968.27" + } + }, + "DBGMLFRMDMPS": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19969.12-19969.24" + } + }, + "DBGMLFRMDTCVC": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19970.12-19970.25" + } + }, + "DBGMLFRMDTLPSTATUS": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19971.12-19971.30" + } + }, + "DBGMLFRMDUNRECTYPE": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19972.12-19972.30" + } + }, + "DBGPOISTLPSTATUS": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19973.12-19973.28" + } + }, + "DBGRCVROVERFLOWSTATUS": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19974.12-19974.33" + } + }, + "DBGREGDETECTEDCORRECTABLE": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19975.12-19975.37" + } + }, + "DBGREGDETECTEDFATAL": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19976.12-19976.31" + } + }, + "DBGREGDETECTEDNONFATAL": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19977.12-19977.34" + } + }, + "DBGREGDETECTEDUNSUPPORTED": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19978.12-19978.37" + } + }, + "DBGRPLYROLLOVERSTATUS": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19979.12-19979.33" + } + }, + "DBGRPLYTIMEOUTSTATUS": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19980.12-19980.32" + } + }, + "DBGURNOBARHIT": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19981.12-19981.25" + } + }, + "DBGURPOISCFGWR": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19982.12-19982.26" + } + }, + "DBGURSTATUS": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19983.12-19983.23" + } + }, + "DBGURUNSUPMSG": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19984.12-19984.25" + } + }, + "MGTCLK": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20058.11-20058.17" + } + }, + "MIMRXRADDR": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20008.19-20008.29" + } + }, + "MIMRXRDATA": { + "hide_name": 0, + "bits": [ 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20088.18-20088.28" + } + }, + "MIMRXREN": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19985.12-19985.20" + } + }, + "MIMRXWADDR": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20009.19-20009.29" + } + }, + "MIMRXWDATA": { + "hide_name": 0, + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20033.19-20033.29" + } + }, + "MIMRXWEN": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19986.12-19986.20" + } + }, + "MIMTXRADDR": { + "hide_name": 0, + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20010.19-20010.29" + } + }, + "MIMTXRDATA": { + "hide_name": 0, + "bits": [ 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20089.18-20089.28" + } + }, + "MIMTXREN": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19987.12-19987.20" + } + }, + "MIMTXWADDR": { + "hide_name": 0, + "bits": [ 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20011.19-20011.29" + } + }, + "MIMTXWDATA": { + "hide_name": 0, + "bits": [ 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20034.19-20034.29" + } + }, + "MIMTXWEN": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19988.12-19988.20" + } + }, + "PIPEGTPOWERDOWNA": { + "hide_name": 0, + "bits": [ 192, 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20018.18-20018.34" + } + }, + "PIPEGTPOWERDOWNB": { + "hide_name": 0, + "bits": [ 194, 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20019.18-20019.34" + } + }, + "PIPEGTRESETDONEA": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20059.11-20059.27" + } + }, + "PIPEGTRESETDONEB": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20060.11-20060.27" + } + }, + "PIPEGTTXELECIDLEA": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19989.12-19989.29" + } + }, + "PIPEGTTXELECIDLEB": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19990.12-19990.29" + } + }, + "PIPEPHYSTATUSA": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20061.11-20061.25" + } + }, + "PIPEPHYSTATUSB": { + "hide_name": 0, + "bits": [ 439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20062.11-20062.25" + } + }, + "PIPERXCHARISKA": { + "hide_name": 0, + "bits": [ 549, 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20082.17-20082.31" + } + }, + "PIPERXCHARISKB": { + "hide_name": 0, + "bits": [ 551, 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20083.17-20083.31" + } + }, + "PIPERXDATAA": { + "hide_name": 0, + "bits": [ 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20080.18-20080.29" + } + }, + "PIPERXDATAB": { + "hide_name": 0, + "bits": [ 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20081.18-20081.29" + } + }, + "PIPERXENTERELECIDLEA": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20063.11-20063.31" + } + }, + "PIPERXENTERELECIDLEB": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20064.11-20064.31" + } + }, + "PIPERXPOLARITYA": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19991.12-19991.27" + } + }, + "PIPERXPOLARITYB": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19992.12-19992.27" + } + }, + "PIPERXRESETA": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19993.12-19993.24" + } + }, + "PIPERXRESETB": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19994.12-19994.24" + } + }, + "PIPERXSTATUSA": { + "hide_name": 0, + "bits": [ 553, 554, 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20084.17-20084.30" + } + }, + "PIPERXSTATUSB": { + "hide_name": 0, + "bits": [ 556, 557, 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20085.17-20085.30" + } + }, + "PIPETXCHARDISPMODEA": { + "hide_name": 0, + "bits": [ 196, 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20020.18-20020.37" + } + }, + "PIPETXCHARDISPMODEB": { + "hide_name": 0, + "bits": [ 198, 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20021.18-20021.37" + } + }, + "PIPETXCHARDISPVALA": { + "hide_name": 0, + "bits": [ 200, 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20022.18-20022.36" + } + }, + "PIPETXCHARDISPVALB": { + "hide_name": 0, + "bits": [ 202, 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20023.18-20023.36" + } + }, + "PIPETXCHARISKA": { + "hide_name": 0, + "bits": [ 204, 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20024.18-20024.32" + } + }, + "PIPETXCHARISKB": { + "hide_name": 0, + "bits": [ 206, 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20025.18-20025.32" + } + }, + "PIPETXDATAA": { + "hide_name": 0, + "bits": [ 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20015.19-20015.30" + } + }, + "PIPETXDATAB": { + "hide_name": 0, + "bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20016.19-20016.30" + } + }, + "PIPETXRCVRDETA": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19995.12-19995.26" + } + }, + "PIPETXRCVRDETB": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19996.12-19996.26" + } + }, + "RECEIVEDHOTRESET": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19997.12-19997.28" + } + }, + "SYSRESETN": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20065.11-20065.20" + } + }, + "TRNFCCPLD": { + "hide_name": 0, + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20012.19-20012.28" + } + }, + "TRNFCCPLH": { + "hide_name": 0, + "bits": [ 397, 398, 399, 400, 401, 402, 403, 404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20041.18-20041.27" + } + }, + "TRNFCNPD": { + "hide_name": 0, + "bits": [ 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20013.19-20013.27" + } + }, + "TRNFCNPH": { + "hide_name": 0, + "bits": [ 405, 406, 407, 408, 409, 410, 411, 412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20042.18-20042.26" + } + }, + "TRNFCPD": { + "hide_name": 0, + "bits": [ 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20014.19-20014.26" + } + }, + "TRNFCPH": { + "hide_name": 0, + "bits": [ 413, 414, 415, 416, 417, 418, 419, 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20043.18-20043.25" + } + }, + "TRNFCSEL": { + "hide_name": 0, + "bits": [ 559, 560, 561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20086.17-20086.25" + } + }, + "TRNLNKUPN": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19998.12-19998.21" + } + }, + "TRNRBARHITN": { + "hide_name": 0, + "bits": [ 374, 375, 376, 377, 378, 379, 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20038.18-20038.29" + } + }, + "TRNRD": { + "hide_name": 0, + "bits": [ 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20032.19-20032.24" + } + }, + "TRNRDSTRDYN": { + "hide_name": 0, + "bits": [ 443 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20066.11-20066.22" + } + }, + "TRNREOFN": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19999.12-19999.20" + } + }, + "TRNRERRFWDN": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20000.12-20000.23" + } + }, + "TRNRNPOKN": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20067.11-20067.20" + } + }, + "TRNRSOFN": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20001.12-20001.20" + } + }, + "TRNRSRCDSCN": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20002.12-20002.23" + } + }, + "TRNRSRCRDYN": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20003.12-20003.23" + } + }, + "TRNTBUFAV": { + "hide_name": 0, + "bits": [ 368, 369, 370, 371, 372, 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20037.18-20037.27" + } + }, + "TRNTCFGGNTN": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20068.11-20068.22" + } + }, + "TRNTCFGREQN": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20004.12-20004.23" + } + }, + "TRNTD": { + "hide_name": 0, + "bits": [ 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20087.18-20087.23" + } + }, + "TRNTDSTRDYN": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20005.12-20005.23" + } + }, + "TRNTEOFN": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20069.11-20069.19" + } + }, + "TRNTERRDROPN": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20006.12-20006.24" + } + }, + "TRNTERRFWDN": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20070.11-20070.22" + } + }, + "TRNTSOFN": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20071.11-20071.19" + } + }, + "TRNTSRCDSCN": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20072.11-20072.22" + } + }, + "TRNTSRCRDYN": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20073.11-20073.22" + } + }, + "TRNTSTRN": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20074.11-20074.19" + } + }, + "USERCLK": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20075.11-20075.18" + } + }, + "USERRSTN": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20007.12-20007.20" + } + } + } + }, + "PCIE_EP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20097.1-20536.10" + }, + "parameter_default_values": { + "ACTIVELANESIN": "00000001", + "AERBASEPTR": "000100010000", + "AERCAPABILITYNEXTPTR": "000100111000", + "BAR0ADDRWIDTH": "00000000000000000000000000000000", + "BAR0EXIST": "TRUE", + "BAR0IOMEMN": "00000000000000000000000000000000", + "BAR0MASKWIDTH": "010100", + "BAR0PREFETCHABLE": "TRUE", + "BAR1ADDRWIDTH": "00000000000000000000000000000000", + "BAR1EXIST": "FALSE", + "BAR1IOMEMN": "00000000000000000000000000000000", + "BAR1MASKWIDTH": "000000", + "BAR1PREFETCHABLE": "FALSE", + "BAR2ADDRWIDTH": "00000000000000000000000000000000", + "BAR2EXIST": "FALSE", + "BAR2IOMEMN": "00000000000000000000000000000000", + "BAR2MASKWIDTH": "000000", + "BAR2PREFETCHABLE": "FALSE", + "BAR3ADDRWIDTH": "00000000000000000000000000000000", + "BAR3EXIST": "FALSE", + "BAR3IOMEMN": "00000000000000000000000000000000", + "BAR3MASKWIDTH": "000000", + "BAR3PREFETCHABLE": "FALSE", + "BAR4ADDRWIDTH": "00000000000000000000000000000000", + "BAR4EXIST": "FALSE", + "BAR4IOMEMN": "00000000000000000000000000000000", + "BAR4MASKWIDTH": "000000", + "BAR4PREFETCHABLE": "FALSE", + "BAR5EXIST": "FALSE", + "BAR5IOMEMN": "00000000000000000000000000000000", + "BAR5MASKWIDTH": "000000", + "BAR5PREFETCHABLE": "FALSE", + "CAPABILITIESPOINTER": "01000000", + "CARDBUSCISPOINTER": "00000000000000000000000000000000", + "CLASSCODE": "000001011000000000000000", + "CLKDIVIDED": "FALSE", + "DEVICECAPABILITYENDPOINTL0SLATENCY": "000", + "DEVICECAPABILITYENDPOINTL1LATENCY": "000", + "DEVICEID": "0101000001010000", + "DEVICESERIALNUMBER": "1110000000000000000000000000000000000001000000000000101000110101", + "DSNBASEPTR": "000101001000", + "DSNCAPABILITYNEXTPTR": "000101010100", + "INFINITECOMPLETIONS": "TRUE", + "INTERRUPTPIN": "00000000", + "L0SEXITLATENCY": "00000000000000000000000000000111", + "L0SEXITLATENCYCOMCLK": "00000000000000000000000000000111", + "L1EXITLATENCY": "00000000000000000000000000000111", + "L1EXITLATENCYCOMCLK": "00000000000000000000000000000111", + "LINKCAPABILITYASPMSUPPORT": "01", + "LINKCAPABILITYMAXLINKWIDTH": "000001", + "LINKSTATUSSLOTCLOCKCONFIG": "FALSE", + "LOWPRIORITYVCCOUNT": "00000000000000000000000000000000", + "MSIBASEPTR": "000001001000", + "MSICAPABILITYMULTIMSGCAP": "000", + "MSICAPABILITYNEXTPTR": "01100000", + "PBBASEPTR": "000100111000", + "PBCAPABILITYDW0BASEPOWER": "00000000", + "PBCAPABILITYDW0DATASCALE": "00", + "PBCAPABILITYDW0PMSTATE": "00", + "PBCAPABILITYDW0PMSUBSTATE": "000", + "PBCAPABILITYDW0POWERRAIL": "000", + "PBCAPABILITYDW0TYPE": "000", + "PBCAPABILITYDW1BASEPOWER": "00000000", + "PBCAPABILITYDW1DATASCALE": "00", + "PBCAPABILITYDW1PMSTATE": "00", + "PBCAPABILITYDW1PMSUBSTATE": "000", + "PBCAPABILITYDW1POWERRAIL": "000", + "PBCAPABILITYDW1TYPE": "000", + "PBCAPABILITYDW2BASEPOWER": "00000000", + "PBCAPABILITYDW2DATASCALE": "00", + "PBCAPABILITYDW2PMSTATE": "00", + "PBCAPABILITYDW2PMSUBSTATE": "000", + "PBCAPABILITYDW2POWERRAIL": "000", + "PBCAPABILITYDW2TYPE": "000", + "PBCAPABILITYDW3BASEPOWER": "00000000", + "PBCAPABILITYDW3DATASCALE": "00", + "PBCAPABILITYDW3PMSTATE": "00", + "PBCAPABILITYDW3PMSUBSTATE": "000", + "PBCAPABILITYDW3POWERRAIL": "000", + "PBCAPABILITYDW3TYPE": "000", + "PBCAPABILITYNEXTPTR": "000101001000", + "PBCAPABILITYSYSTEMALLOCATED": "FALSE", + "PCIECAPABILITYNEXTPTR": "00000000", + "PMBASEPTR": "000001000000", + "PMCAPABILITYAUXCURRENT": "000", + "PMCAPABILITYD1SUPPORT": "FALSE", + "PMCAPABILITYD2SUPPORT": "FALSE", + "PMCAPABILITYDSI": "TRUE", + "PMCAPABILITYNEXTPTR": "01100000", + "PMCAPABILITYPMESUPPORT": "00000", + "PMDATA0": "00000000", + "PMDATA1": "00000000", + "PMDATA2": "00000000", + "PMDATA3": "00000000", + "PMDATA4": "00000000", + "PMDATA5": "00000000", + "PMDATA6": "00000000", + "PMDATA7": "00000000", + "PMDATASCALE0": "00000000000000000000000000000000", + "PMDATASCALE1": "00000000000000000000000000000000", + "PMDATASCALE2": "00000000000000000000000000000000", + "PMDATASCALE3": "00000000000000000000000000000000", + "PMDATASCALE4": "00000000000000000000000000000000", + "PMDATASCALE5": "00000000000000000000000000000000", + "PMDATASCALE6": "00000000000000000000000000000000", + "PMDATASCALE7": "00000000000000000000000000000000", + "PORTVCCAPABILITYEXTENDEDVCCOUNT": "000", + "PORTVCCAPABILITYVCARBCAP": "00000000", + "PORTVCCAPABILITYVCARBTABLEOFFSET": "00000000", + "RESETMODE": "FALSE", + "RETRYRAMREADLATENCY": "00000000000000000000000000000011", + "RETRYRAMSIZE": "000000001001", + "RETRYRAMWRITELATENCY": "00000000000000000000000000000001", + "REVISIONID": "00000000", + "SUBSYSTEMID": "0101000001010000", + "SUBSYSTEMVENDORID": "0001000011101110", + "TLRAMREADLATENCY": "00000000000000000000000000000011", + "TLRAMWRITELATENCY": "00000000000000000000000000000001", + "TXTSNFTS": "00000000000000000000000011111111", + "TXTSNFTSCOMCLK": "00000000000000000000000011111111", + "VC0RXFIFOBASEC": "0000010011000", + "VC0RXFIFOBASENP": "0000010000000", + "VC0RXFIFOBASEP": "0000000000000", + "VC0RXFIFOLIMITC": "0000100010111", + "VC0RXFIFOLIMITNP": "0000010010111", + "VC0RXFIFOLIMITP": "0000001111111", + "VC0TOTALCREDITSCD": "00000000000", + "VC0TOTALCREDITSCH": "0000000", + "VC0TOTALCREDITSNPH": "0001000", + "VC0TOTALCREDITSPD": "00000110100", + "VC0TOTALCREDITSPH": "0001000", + "VC0TXFIFOBASEC": "0000010011000", + "VC0TXFIFOBASENP": "0000010000000", + "VC0TXFIFOBASEP": "0000000000000", + "VC0TXFIFOLIMITC": "0000100010111", + "VC0TXFIFOLIMITNP": "0000010010111", + "VC0TXFIFOLIMITP": "0000001111111", + "VC1RXFIFOBASEC": "0000100011000", + "VC1RXFIFOBASENP": "0000100011000", + "VC1RXFIFOBASEP": "0000100011000", + "VC1RXFIFOLIMITC": "0000100011000", + "VC1RXFIFOLIMITNP": "0000100011000", + "VC1RXFIFOLIMITP": "0000100011000", + "VC1TOTALCREDITSCD": "00000000000", + "VC1TOTALCREDITSCH": "0000000", + "VC1TOTALCREDITSNPH": "0000000", + "VC1TOTALCREDITSPD": "00000000000", + "VC1TOTALCREDITSPH": "0000000", + "VC1TXFIFOBASEC": "0000100011000", + "VC1TXFIFOBASENP": "0000100011000", + "VC1TXFIFOBASEP": "0000100011000", + "VC1TXFIFOLIMITC": "0000100011000", + "VC1TXFIFOLIMITNP": "0000100011000", + "VC1TXFIFOLIMITP": "0000100011000", + "VCBASEPTR": "000101010100", + "VCCAPABILITYNEXTPTR": "000000000000", + "VENDORID": "0001000011101110", + "XPBASEPTR": "01100000", + "XPDEVICEPORTTYPE": "0000", + "XPMAXPAYLOAD": "00000000000000000000000000000000" + }, + "ports": { + "BUSMASTERENABLE": { + "direction": "output", + "bits": [ 2 ] + }, + "CRMDOHOTRESETN": { + "direction": "output", + "bits": [ 3 ] + }, + "CRMPWRSOFTRESETN": { + "direction": "output", + "bits": [ 4 ] + }, + "DLLTXPMDLLPOUTSTANDING": { + "direction": "output", + "bits": [ 5 ] + }, + "INTERRUPTDISABLE": { + "direction": "output", + "bits": [ 6 ] + }, + "IOSPACEENABLE": { + "direction": "output", + "bits": [ 7 ] + }, + "L0CFGLOOPBACKACK": { + "direction": "output", + "bits": [ 8 ] + }, + "L0DLLRXACKOUTSTANDING": { + "direction": "output", + "bits": [ 9 ] + }, + "L0DLLTXNONFCOUTSTANDING": { + "direction": "output", + "bits": [ 10 ] + }, + "L0DLLTXOUTSTANDING": { + "direction": "output", + "bits": [ 11 ] + }, + "L0FIRSTCFGWRITEOCCURRED": { + "direction": "output", + "bits": [ 12 ] + }, + "L0MACENTEREDL0": { + "direction": "output", + "bits": [ 13 ] + }, + "L0MACLINKTRAINING": { + "direction": "output", + "bits": [ 14 ] + }, + "L0MACLINKUP": { + "direction": "output", + "bits": [ 15 ] + }, + "L0MACNEWSTATEACK": { + "direction": "output", + "bits": [ 16 ] + }, + "L0MACRXL0SSTATE": { + "direction": "output", + "bits": [ 17 ] + }, + "L0MSIENABLE0": { + "direction": "output", + "bits": [ 18 ] + }, + "L0PMEACK": { + "direction": "output", + "bits": [ 19 ] + }, + "L0PMEEN": { + "direction": "output", + "bits": [ 20 ] + }, + "L0PMEREQOUT": { + "direction": "output", + "bits": [ 21 ] + }, + "L0PWRL1STATE": { + "direction": "output", + "bits": [ 22 ] + }, + "L0PWRL23READYSTATE": { + "direction": "output", + "bits": [ 23 ] + }, + "L0PWRTURNOFFREQ": { + "direction": "output", + "bits": [ 24 ] + }, + "L0PWRTXL0SSTATE": { + "direction": "output", + "bits": [ 25 ] + }, + "L0RXDLLPM": { + "direction": "output", + "bits": [ 26 ] + }, + "L0STATSCFGOTHERRECEIVED": { + "direction": "output", + "bits": [ 27 ] + }, + "L0STATSCFGOTHERTRANSMITTED": { + "direction": "output", + "bits": [ 28 ] + }, + "L0STATSCFGRECEIVED": { + "direction": "output", + "bits": [ 29 ] + }, + "L0STATSCFGTRANSMITTED": { + "direction": "output", + "bits": [ 30 ] + }, + "L0STATSDLLPRECEIVED": { + "direction": "output", + "bits": [ 31 ] + }, + "L0STATSDLLPTRANSMITTED": { + "direction": "output", + "bits": [ 32 ] + }, + "L0STATSOSRECEIVED": { + "direction": "output", + "bits": [ 33 ] + }, + "L0STATSOSTRANSMITTED": { + "direction": "output", + "bits": [ 34 ] + }, + "L0STATSTLPRECEIVED": { + "direction": "output", + "bits": [ 35 ] + }, + "L0STATSTLPTRANSMITTED": { + "direction": "output", + "bits": [ 36 ] + }, + "L0UNLOCKRECEIVED": { + "direction": "output", + "bits": [ 37 ] + }, + "LLKRXEOFN": { + "direction": "output", + "bits": [ 38 ] + }, + "LLKRXEOPN": { + "direction": "output", + "bits": [ 39 ] + }, + "LLKRXSOFN": { + "direction": "output", + "bits": [ 40 ] + }, + "LLKRXSOPN": { + "direction": "output", + "bits": [ 41 ] + }, + "LLKRXSRCLASTREQN": { + "direction": "output", + "bits": [ 42 ] + }, + "LLKRXSRCRDYN": { + "direction": "output", + "bits": [ 43 ] + }, + "LLKTXCONFIGREADYN": { + "direction": "output", + "bits": [ 44 ] + }, + "LLKTXDSTRDYN": { + "direction": "output", + "bits": [ 45 ] + }, + "MEMSPACEENABLE": { + "direction": "output", + "bits": [ 46 ] + }, + "MIMDLLBREN": { + "direction": "output", + "bits": [ 47 ] + }, + "MIMDLLBWEN": { + "direction": "output", + "bits": [ 48 ] + }, + "MIMRXBREN": { + "direction": "output", + "bits": [ 49 ] + }, + "MIMRXBWEN": { + "direction": "output", + "bits": [ 50 ] + }, + "MIMTXBREN": { + "direction": "output", + "bits": [ 51 ] + }, + "MIMTXBWEN": { + "direction": "output", + "bits": [ 52 ] + }, + "PARITYERRORRESPONSE": { + "direction": "output", + "bits": [ 53 ] + }, + "PIPEDESKEWLANESL0": { + "direction": "output", + "bits": [ 54 ] + }, + "PIPEDESKEWLANESL1": { + "direction": "output", + "bits": [ 55 ] + }, + "PIPEDESKEWLANESL2": { + "direction": "output", + "bits": [ 56 ] + }, + "PIPEDESKEWLANESL3": { + "direction": "output", + "bits": [ 57 ] + }, + "PIPEDESKEWLANESL4": { + "direction": "output", + "bits": [ 58 ] + }, + "PIPEDESKEWLANESL5": { + "direction": "output", + "bits": [ 59 ] + }, + "PIPEDESKEWLANESL6": { + "direction": "output", + "bits": [ 60 ] + }, + "PIPEDESKEWLANESL7": { + "direction": "output", + "bits": [ 61 ] + }, + "PIPERESETL0": { + "direction": "output", + "bits": [ 62 ] + }, + "PIPERESETL1": { + "direction": "output", + "bits": [ 63 ] + }, + "PIPERESETL2": { + "direction": "output", + "bits": [ 64 ] + }, + "PIPERESETL3": { + "direction": "output", + "bits": [ 65 ] + }, + "PIPERESETL4": { + "direction": "output", + "bits": [ 66 ] + }, + "PIPERESETL5": { + "direction": "output", + "bits": [ 67 ] + }, + "PIPERESETL6": { + "direction": "output", + "bits": [ 68 ] + }, + "PIPERESETL7": { + "direction": "output", + "bits": [ 69 ] + }, + "PIPERXPOLARITYL0": { + "direction": "output", + "bits": [ 70 ] + }, + "PIPERXPOLARITYL1": { + "direction": "output", + "bits": [ 71 ] + }, + "PIPERXPOLARITYL2": { + "direction": "output", + "bits": [ 72 ] + }, + "PIPERXPOLARITYL3": { + "direction": "output", + "bits": [ 73 ] + }, + "PIPERXPOLARITYL4": { + "direction": "output", + "bits": [ 74 ] + }, + "PIPERXPOLARITYL5": { + "direction": "output", + "bits": [ 75 ] + }, + "PIPERXPOLARITYL6": { + "direction": "output", + "bits": [ 76 ] + }, + "PIPERXPOLARITYL7": { + "direction": "output", + "bits": [ 77 ] + }, + "PIPETXCOMPLIANCEL0": { + "direction": "output", + "bits": [ 78 ] + }, + "PIPETXCOMPLIANCEL1": { + "direction": "output", + "bits": [ 79 ] + }, + "PIPETXCOMPLIANCEL2": { + "direction": "output", + "bits": [ 80 ] + }, + "PIPETXCOMPLIANCEL3": { + "direction": "output", + "bits": [ 81 ] + }, + "PIPETXCOMPLIANCEL4": { + "direction": "output", + "bits": [ 82 ] + }, + "PIPETXCOMPLIANCEL5": { + "direction": "output", + "bits": [ 83 ] + }, + "PIPETXCOMPLIANCEL6": { + "direction": "output", + "bits": [ 84 ] + }, + "PIPETXCOMPLIANCEL7": { + "direction": "output", + "bits": [ 85 ] + }, + "PIPETXDATAKL0": { + "direction": "output", + "bits": [ 86 ] + }, + "PIPETXDATAKL1": { + "direction": "output", + "bits": [ 87 ] + }, + "PIPETXDATAKL2": { + "direction": "output", + "bits": [ 88 ] + }, + "PIPETXDATAKL3": { + "direction": "output", + "bits": [ 89 ] + }, + "PIPETXDATAKL4": { + "direction": "output", + "bits": [ 90 ] + }, + "PIPETXDATAKL5": { + "direction": "output", + "bits": [ 91 ] + }, + "PIPETXDATAKL6": { + "direction": "output", + "bits": [ 92 ] + }, + "PIPETXDATAKL7": { + "direction": "output", + "bits": [ 93 ] + }, + "PIPETXDETECTRXLOOPBACKL0": { + "direction": "output", + "bits": [ 94 ] + }, + "PIPETXDETECTRXLOOPBACKL1": { + "direction": "output", + "bits": [ 95 ] + }, + "PIPETXDETECTRXLOOPBACKL2": { + "direction": "output", + "bits": [ 96 ] + }, + "PIPETXDETECTRXLOOPBACKL3": { + "direction": "output", + "bits": [ 97 ] + }, + "PIPETXDETECTRXLOOPBACKL4": { + "direction": "output", + "bits": [ 98 ] + }, + "PIPETXDETECTRXLOOPBACKL5": { + "direction": "output", + "bits": [ 99 ] + }, + "PIPETXDETECTRXLOOPBACKL6": { + "direction": "output", + "bits": [ 100 ] + }, + "PIPETXDETECTRXLOOPBACKL7": { + "direction": "output", + "bits": [ 101 ] + }, + "PIPETXELECIDLEL0": { + "direction": "output", + "bits": [ 102 ] + }, + "PIPETXELECIDLEL1": { + "direction": "output", + "bits": [ 103 ] + }, + "PIPETXELECIDLEL2": { + "direction": "output", + "bits": [ 104 ] + }, + "PIPETXELECIDLEL3": { + "direction": "output", + "bits": [ 105 ] + }, + "PIPETXELECIDLEL4": { + "direction": "output", + "bits": [ 106 ] + }, + "PIPETXELECIDLEL5": { + "direction": "output", + "bits": [ 107 ] + }, + "PIPETXELECIDLEL6": { + "direction": "output", + "bits": [ 108 ] + }, + "PIPETXELECIDLEL7": { + "direction": "output", + "bits": [ 109 ] + }, + "SERRENABLE": { + "direction": "output", + "bits": [ 110 ] + }, + "URREPORTINGENABLE": { + "direction": "output", + "bits": [ 111 ] + }, + "MGMTSTATSCREDIT": { + "direction": "output", + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123 ] + }, + "MIMDLLBRADD": { + "direction": "output", + "bits": [ 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135 ] + }, + "MIMDLLBWADD": { + "direction": "output", + "bits": [ 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147 ] + }, + "L0COMPLETERID": { + "direction": "output", + "bits": [ 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160 ] + }, + "MIMRXBRADD": { + "direction": "output", + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173 ] + }, + "MIMRXBWADD": { + "direction": "output", + "bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186 ] + }, + "MIMTXBRADD": { + "direction": "output", + "bits": [ 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199 ] + }, + "MIMTXBWADD": { + "direction": "output", + "bits": [ 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212 ] + }, + "LLKRXPREFERREDTYPE": { + "direction": "output", + "bits": [ 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ] + }, + "MGMTPSO": { + "direction": "output", + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245 ] + }, + "L0PWRSTATE0": { + "direction": "output", + "bits": [ 246, 247 ] + }, + "L0RXMACLINKERROR": { + "direction": "output", + "bits": [ 248, 249 ] + }, + "LLKRXVALIDN": { + "direction": "output", + "bits": [ 250, 251 ] + }, + "PIPEPOWERDOWNL0": { + "direction": "output", + "bits": [ 252, 253 ] + }, + "PIPEPOWERDOWNL1": { + "direction": "output", + "bits": [ 254, 255 ] + }, + "PIPEPOWERDOWNL2": { + "direction": "output", + "bits": [ 256, 257 ] + }, + "PIPEPOWERDOWNL3": { + "direction": "output", + "bits": [ 258, 259 ] + }, + "PIPEPOWERDOWNL4": { + "direction": "output", + "bits": [ 260, 261 ] + }, + "PIPEPOWERDOWNL5": { + "direction": "output", + "bits": [ 262, 263 ] + }, + "PIPEPOWERDOWNL6": { + "direction": "output", + "bits": [ 264, 265 ] + }, + "PIPEPOWERDOWNL7": { + "direction": "output", + "bits": [ 266, 267 ] + }, + "L0MULTIMSGEN0": { + "direction": "output", + "bits": [ 268, 269, 270 ] + }, + "L0RXDLLPMTYPE": { + "direction": "output", + "bits": [ 271, 272, 273 ] + }, + "MAXPAYLOADSIZE": { + "direction": "output", + "bits": [ 274, 275, 276 ] + }, + "MAXREADREQUESTSIZE": { + "direction": "output", + "bits": [ 277, 278, 279 ] + }, + "MGMTRDATA": { + "direction": "output", + "bits": [ 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311 ] + }, + "L0LTSSMSTATE": { + "direction": "output", + "bits": [ 312, 313, 314, 315 ] + }, + "L0MACNEGOTIATEDLINKWIDTH": { + "direction": "output", + "bits": [ 316, 317, 318, 319 ] + }, + "LLKRXDATA": { + "direction": "output", + "bits": [ 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383 ] + }, + "MIMDLLBWDATA": { + "direction": "output", + "bits": [ 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447 ] + }, + "MIMRXBWDATA": { + "direction": "output", + "bits": [ 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511 ] + }, + "MIMTXBWDATA": { + "direction": "output", + "bits": [ 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575 ] + }, + "L0DLLERRORVECTOR": { + "direction": "output", + "bits": [ 576, 577, 578, 579, 580, 581, 582 ] + }, + "L0DLLVCSTATUS": { + "direction": "output", + "bits": [ 583, 584, 585, 586, 587, 588, 589, 590 ] + }, + "L0DLUPDOWN": { + "direction": "output", + "bits": [ 591, 592, 593, 594, 595, 596, 597, 598 ] + }, + "LLKRXCHCOMPLETIONAVAILABLEN": { + "direction": "output", + "bits": [ 599, 600, 601, 602, 603, 604, 605, 606 ] + }, + "LLKRXCHNONPOSTEDAVAILABLEN": { + "direction": "output", + "bits": [ 607, 608, 609, 610, 611, 612, 613, 614 ] + }, + "LLKRXCHPOSTEDAVAILABLEN": { + "direction": "output", + "bits": [ 615, 616, 617, 618, 619, 620, 621, 622 ] + }, + "LLKTCSTATUS": { + "direction": "output", + "bits": [ 623, 624, 625, 626, 627, 628, 629, 630 ] + }, + "LLKTXCHCOMPLETIONREADYN": { + "direction": "output", + "bits": [ 631, 632, 633, 634, 635, 636, 637, 638 ] + }, + "LLKTXCHNONPOSTEDREADYN": { + "direction": "output", + "bits": [ 639, 640, 641, 642, 643, 644, 645, 646 ] + }, + "LLKTXCHPOSTEDREADYN": { + "direction": "output", + "bits": [ 647, 648, 649, 650, 651, 652, 653, 654 ] + }, + "PIPETXDATAL0": { + "direction": "output", + "bits": [ 655, 656, 657, 658, 659, 660, 661, 662 ] + }, + "PIPETXDATAL1": { + "direction": "output", + "bits": [ 663, 664, 665, 666, 667, 668, 669, 670 ] + }, + "PIPETXDATAL2": { + "direction": "output", + "bits": [ 671, 672, 673, 674, 675, 676, 677, 678 ] + }, + "PIPETXDATAL3": { + "direction": "output", + "bits": [ 679, 680, 681, 682, 683, 684, 685, 686 ] + }, + "PIPETXDATAL4": { + "direction": "output", + "bits": [ 687, 688, 689, 690, 691, 692, 693, 694 ] + }, + "PIPETXDATAL5": { + "direction": "output", + "bits": [ 695, 696, 697, 698, 699, 700, 701, 702 ] + }, + "PIPETXDATAL6": { + "direction": "output", + "bits": [ 703, 704, 705, 706, 707, 708, 709, 710 ] + }, + "PIPETXDATAL7": { + "direction": "output", + "bits": [ 711, 712, 713, 714, 715, 716, 717, 718 ] + }, + "LLKTXCHANSPACE": { + "direction": "output", + "bits": [ 719, 720, 721, 722, 723, 724, 725, 726, 727, 728 ] + }, + "AUXPOWER": { + "direction": "input", + "bits": [ 729 ] + }, + "COMPLIANCEAVOID": { + "direction": "input", + "bits": [ 730 ] + }, + "CRMCORECLK": { + "direction": "input", + "bits": [ 731 ] + }, + "CRMCORECLKDLO": { + "direction": "input", + "bits": [ 732 ] + }, + "CRMCORECLKRXO": { + "direction": "input", + "bits": [ 733 ] + }, + "CRMCORECLKTXO": { + "direction": "input", + "bits": [ 734 ] + }, + "CRMLINKRSTN": { + "direction": "input", + "bits": [ 735 ] + }, + "CRMMACRSTN": { + "direction": "input", + "bits": [ 736 ] + }, + "CRMMGMTRSTN": { + "direction": "input", + "bits": [ 737 ] + }, + "CRMNVRSTN": { + "direction": "input", + "bits": [ 738 ] + }, + "CRMURSTN": { + "direction": "input", + "bits": [ 739 ] + }, + "CRMUSERCFGRSTN": { + "direction": "input", + "bits": [ 740 ] + }, + "CRMUSERCLK": { + "direction": "input", + "bits": [ 741 ] + }, + "CRMUSERCLKRXO": { + "direction": "input", + "bits": [ 742 ] + }, + "CRMUSERCLKTXO": { + "direction": "input", + "bits": [ 743 ] + }, + "L0CFGDISABLESCRAMBLE": { + "direction": "input", + "bits": [ 744 ] + }, + "L0CFGLOOPBACKMASTER": { + "direction": "input", + "bits": [ 745 ] + }, + "L0LEGACYINTFUNCT0": { + "direction": "input", + "bits": [ 746 ] + }, + "L0PMEREQIN": { + "direction": "input", + "bits": [ 747 ] + }, + "L0SETCOMPLETERABORTERROR": { + "direction": "input", + "bits": [ 748 ] + }, + "L0SETCOMPLETIONTIMEOUTCORRERROR": { + "direction": "input", + "bits": [ 749 ] + }, + "L0SETCOMPLETIONTIMEOUTUNCORRERROR": { + "direction": "input", + "bits": [ 750 ] + }, + "L0SETDETECTEDCORRERROR": { + "direction": "input", + "bits": [ 751 ] + }, + "L0SETDETECTEDFATALERROR": { + "direction": "input", + "bits": [ 752 ] + }, + "L0SETDETECTEDNONFATALERROR": { + "direction": "input", + "bits": [ 753 ] + }, + "L0SETUNEXPECTEDCOMPLETIONCORRERROR": { + "direction": "input", + "bits": [ 754 ] + }, + "L0SETUNEXPECTEDCOMPLETIONUNCORRERROR": { + "direction": "input", + "bits": [ 755 ] + }, + "L0SETUNSUPPORTEDREQUESTNONPOSTEDERROR": { + "direction": "input", + "bits": [ 756 ] + }, + "L0SETUNSUPPORTEDREQUESTOTHERERROR": { + "direction": "input", + "bits": [ 757 ] + }, + "L0SETUSERDETECTEDPARITYERROR": { + "direction": "input", + "bits": [ 758 ] + }, + "L0SETUSERMASTERDATAPARITY": { + "direction": "input", + "bits": [ 759 ] + }, + "L0SETUSERRECEIVEDMASTERABORT": { + "direction": "input", + "bits": [ 760 ] + }, + "L0SETUSERRECEIVEDTARGETABORT": { + "direction": "input", + "bits": [ 761 ] + }, + "L0SETUSERSIGNALLEDTARGETABORT": { + "direction": "input", + "bits": [ 762 ] + }, + "L0SETUSERSYSTEMERROR": { + "direction": "input", + "bits": [ 763 ] + }, + "L0TRANSACTIONSPENDING": { + "direction": "input", + "bits": [ 764 ] + }, + "LLKRXDSTCONTREQN": { + "direction": "input", + "bits": [ 765 ] + }, + "LLKRXDSTREQN": { + "direction": "input", + "bits": [ 766 ] + }, + "LLKTXEOFN": { + "direction": "input", + "bits": [ 767 ] + }, + "LLKTXEOPN": { + "direction": "input", + "bits": [ 768 ] + }, + "LLKTXSOFN": { + "direction": "input", + "bits": [ 769 ] + }, + "LLKTXSOPN": { + "direction": "input", + "bits": [ 770 ] + }, + "LLKTXSRCDSCN": { + "direction": "input", + "bits": [ 771 ] + }, + "LLKTXSRCRDYN": { + "direction": "input", + "bits": [ 772 ] + }, + "MGMTRDEN": { + "direction": "input", + "bits": [ 773 ] + }, + "MGMTWREN": { + "direction": "input", + "bits": [ 774 ] + }, + "PIPEPHYSTATUSL0": { + "direction": "input", + "bits": [ 775 ] + }, + "PIPEPHYSTATUSL1": { + "direction": "input", + "bits": [ 776 ] + }, + "PIPEPHYSTATUSL2": { + "direction": "input", + "bits": [ 777 ] + }, + "PIPEPHYSTATUSL3": { + "direction": "input", + "bits": [ 778 ] + }, + "PIPEPHYSTATUSL4": { + "direction": "input", + "bits": [ 779 ] + }, + "PIPEPHYSTATUSL5": { + "direction": "input", + "bits": [ 780 ] + }, + "PIPEPHYSTATUSL6": { + "direction": "input", + "bits": [ 781 ] + }, + "PIPEPHYSTATUSL7": { + "direction": "input", + "bits": [ 782 ] + }, + "PIPERXCHANISALIGNEDL0": { + "direction": "input", + "bits": [ 783 ] + }, + "PIPERXCHANISALIGNEDL1": { + "direction": "input", + "bits": [ 784 ] + }, + "PIPERXCHANISALIGNEDL2": { + "direction": "input", + "bits": [ 785 ] + }, + "PIPERXCHANISALIGNEDL3": { + "direction": "input", + "bits": [ 786 ] + }, + "PIPERXCHANISALIGNEDL4": { + "direction": "input", + "bits": [ 787 ] + }, + "PIPERXCHANISALIGNEDL5": { + "direction": "input", + "bits": [ 788 ] + }, + "PIPERXCHANISALIGNEDL6": { + "direction": "input", + "bits": [ 789 ] + }, + "PIPERXCHANISALIGNEDL7": { + "direction": "input", + "bits": [ 790 ] + }, + "PIPERXDATAKL0": { + "direction": "input", + "bits": [ 791 ] + }, + "PIPERXDATAKL1": { + "direction": "input", + "bits": [ 792 ] + }, + "PIPERXDATAKL2": { + "direction": "input", + "bits": [ 793 ] + }, + "PIPERXDATAKL3": { + "direction": "input", + "bits": [ 794 ] + }, + "PIPERXDATAKL4": { + "direction": "input", + "bits": [ 795 ] + }, + "PIPERXDATAKL5": { + "direction": "input", + "bits": [ 796 ] + }, + "PIPERXDATAKL6": { + "direction": "input", + "bits": [ 797 ] + }, + "PIPERXDATAKL7": { + "direction": "input", + "bits": [ 798 ] + }, + "PIPERXELECIDLEL0": { + "direction": "input", + "bits": [ 799 ] + }, + "PIPERXELECIDLEL1": { + "direction": "input", + "bits": [ 800 ] + }, + "PIPERXELECIDLEL2": { + "direction": "input", + "bits": [ 801 ] + }, + "PIPERXELECIDLEL3": { + "direction": "input", + "bits": [ 802 ] + }, + "PIPERXELECIDLEL4": { + "direction": "input", + "bits": [ 803 ] + }, + "PIPERXELECIDLEL5": { + "direction": "input", + "bits": [ 804 ] + }, + "PIPERXELECIDLEL6": { + "direction": "input", + "bits": [ 805 ] + }, + "PIPERXELECIDLEL7": { + "direction": "input", + "bits": [ 806 ] + }, + "PIPERXVALIDL0": { + "direction": "input", + "bits": [ 807 ] + }, + "PIPERXVALIDL1": { + "direction": "input", + "bits": [ 808 ] + }, + "PIPERXVALIDL2": { + "direction": "input", + "bits": [ 809 ] + }, + "PIPERXVALIDL3": { + "direction": "input", + "bits": [ 810 ] + }, + "PIPERXVALIDL4": { + "direction": "input", + "bits": [ 811 ] + }, + "PIPERXVALIDL5": { + "direction": "input", + "bits": [ 812 ] + }, + "PIPERXVALIDL6": { + "direction": "input", + "bits": [ 813 ] + }, + "PIPERXVALIDL7": { + "direction": "input", + "bits": [ 814 ] + }, + "MGMTADDR": { + "direction": "input", + "bits": [ 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825 ] + }, + "L0PACKETHEADERFROMUSER": { + "direction": "input", + "bits": [ 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953 ] + }, + "LLKRXCHFIFO": { + "direction": "input", + "bits": [ 954, 955 ] + }, + "LLKTXCHFIFO": { + "direction": "input", + "bits": [ 956, 957 ] + }, + "LLKTXENABLEN": { + "direction": "input", + "bits": [ 958, 959 ] + }, + "LLKRXCHTC": { + "direction": "input", + "bits": [ 960, 961, 962 ] + }, + "LLKTXCHTC": { + "direction": "input", + "bits": [ 963, 964, 965 ] + }, + "PIPERXSTATUSL0": { + "direction": "input", + "bits": [ 966, 967, 968 ] + }, + "PIPERXSTATUSL1": { + "direction": "input", + "bits": [ 969, 970, 971 ] + }, + "PIPERXSTATUSL2": { + "direction": "input", + "bits": [ 972, 973, 974 ] + }, + "PIPERXSTATUSL3": { + "direction": "input", + "bits": [ 975, 976, 977 ] + }, + "PIPERXSTATUSL4": { + "direction": "input", + "bits": [ 978, 979, 980 ] + }, + "PIPERXSTATUSL5": { + "direction": "input", + "bits": [ 981, 982, 983 ] + }, + "PIPERXSTATUSL6": { + "direction": "input", + "bits": [ 984, 985, 986 ] + }, + "PIPERXSTATUSL7": { + "direction": "input", + "bits": [ 987, 988, 989 ] + }, + "MGMTWDATA": { + "direction": "input", + "bits": [ 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021 ] + }, + "L0MSIREQUEST0": { + "direction": "input", + "bits": [ 1022, 1023, 1024, 1025 ] + }, + "MGMTBWREN": { + "direction": "input", + "bits": [ 1026, 1027, 1028, 1029 ] + }, + "LLKTXDATA": { + "direction": "input", + "bits": [ 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093 ] + }, + "MIMDLLBRDATA": { + "direction": "input", + "bits": [ 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157 ] + }, + "MIMRXBRDATA": { + "direction": "input", + "bits": [ 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221 ] + }, + "MIMTXBRDATA": { + "direction": "input", + "bits": [ 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285 ] + }, + "MGMTSTATSCREDITSEL": { + "direction": "input", + "bits": [ 1286, 1287, 1288, 1289, 1290, 1291, 1292 ] + }, + "PIPERXDATAL0": { + "direction": "input", + "bits": [ 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300 ] + }, + "PIPERXDATAL1": { + "direction": "input", + "bits": [ 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308 ] + }, + "PIPERXDATAL2": { + "direction": "input", + "bits": [ 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316 ] + }, + "PIPERXDATAL3": { + "direction": "input", + "bits": [ 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324 ] + }, + "PIPERXDATAL4": { + "direction": "input", + "bits": [ 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332 ] + }, + "PIPERXDATAL5": { + "direction": "input", + "bits": [ 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340 ] + }, + "PIPERXDATAL6": { + "direction": "input", + "bits": [ 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348 ] + }, + "PIPERXDATAL7": { + "direction": "input", + "bits": [ 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356 ] + } + }, + "cells": { + }, + "netnames": { + "AUXPOWER": { + "hide_name": 0, + "bits": [ 729 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20419.11-20419.19" + } + }, + "BUSMASTERENABLE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20258.12-20258.27" + } + }, + "COMPLIANCEAVOID": { + "hide_name": 0, + "bits": [ 730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20420.11-20420.26" + } + }, + "CRMCORECLK": { + "hide_name": 0, + "bits": [ 731 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20421.11-20421.21" + } + }, + "CRMCORECLKDLO": { + "hide_name": 0, + "bits": [ 732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20422.11-20422.24" + } + }, + "CRMCORECLKRXO": { + "hide_name": 0, + "bits": [ 733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20423.11-20423.24" + } + }, + "CRMCORECLKTXO": { + "hide_name": 0, + "bits": [ 734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20424.11-20424.24" + } + }, + "CRMDOHOTRESETN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20259.12-20259.26" + } + }, + "CRMLINKRSTN": { + "hide_name": 0, + "bits": [ 735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20425.11-20425.22" + } + }, + "CRMMACRSTN": { + "hide_name": 0, + "bits": [ 736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20426.11-20426.21" + } + }, + "CRMMGMTRSTN": { + "hide_name": 0, + "bits": [ 737 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20427.11-20427.22" + } + }, + "CRMNVRSTN": { + "hide_name": 0, + "bits": [ 738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20428.11-20428.20" + } + }, + "CRMPWRSOFTRESETN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20260.12-20260.28" + } + }, + "CRMURSTN": { + "hide_name": 0, + "bits": [ 739 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20429.11-20429.19" + } + }, + "CRMUSERCFGRSTN": { + "hide_name": 0, + "bits": [ 740 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20430.11-20430.25" + } + }, + "CRMUSERCLK": { + "hide_name": 0, + "bits": [ 741 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20431.11-20431.21" + } + }, + "CRMUSERCLKRXO": { + "hide_name": 0, + "bits": [ 742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20432.11-20432.24" + } + }, + "CRMUSERCLKTXO": { + "hide_name": 0, + "bits": [ 743 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20433.11-20433.24" + } + }, + "DLLTXPMDLLPOUTSTANDING": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20261.12-20261.34" + } + }, + "INTERRUPTDISABLE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20262.12-20262.28" + } + }, + "IOSPACEENABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20263.12-20263.25" + } + }, + "L0CFGDISABLESCRAMBLE": { + "hide_name": 0, + "bits": [ 744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20434.11-20434.31" + } + }, + "L0CFGLOOPBACKACK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20264.12-20264.28" + } + }, + "L0CFGLOOPBACKMASTER": { + "hide_name": 0, + "bits": [ 745 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20435.11-20435.30" + } + }, + "L0COMPLETERID": { + "hide_name": 0, + "bits": [ 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20371.19-20371.32" + } + }, + "L0DLLERRORVECTOR": { + "hide_name": 0, + "bits": [ 576, 577, 578, 579, 580, 581, 582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20400.18-20400.34" + } + }, + "L0DLLRXACKOUTSTANDING": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20265.12-20265.33" + } + }, + "L0DLLTXNONFCOUTSTANDING": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20266.12-20266.35" + } + }, + "L0DLLTXOUTSTANDING": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20267.12-20267.30" + } + }, + "L0DLLVCSTATUS": { + "hide_name": 0, + "bits": [ 583, 584, 585, 586, 587, 588, 589, 590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20401.18-20401.31" + } + }, + "L0DLUPDOWN": { + "hide_name": 0, + "bits": [ 591, 592, 593, 594, 595, 596, 597, 598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20402.18-20402.28" + } + }, + "L0FIRSTCFGWRITEOCCURRED": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20268.12-20268.35" + } + }, + "L0LEGACYINTFUNCT0": { + "hide_name": 0, + "bits": [ 746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20436.11-20436.28" + } + }, + "L0LTSSMSTATE": { + "hide_name": 0, + "bits": [ 312, 313, 314, 315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20394.18-20394.30" + } + }, + "L0MACENTEREDL0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20269.12-20269.26" + } + }, + "L0MACLINKTRAINING": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20270.12-20270.29" + } + }, + "L0MACLINKUP": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20271.12-20271.23" + } + }, + "L0MACNEGOTIATEDLINKWIDTH": { + "hide_name": 0, + "bits": [ 316, 317, 318, 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20395.18-20395.42" + } + }, + "L0MACNEWSTATEACK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20272.12-20272.28" + } + }, + "L0MACRXL0SSTATE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20273.12-20273.27" + } + }, + "L0MSIENABLE0": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20274.12-20274.24" + } + }, + "L0MSIREQUEST0": { + "hide_name": 0, + "bits": [ 1022, 1023, 1024, 1025 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20521.17-20521.30" + } + }, + "L0MULTIMSGEN0": { + "hide_name": 0, + "bits": [ 268, 269, 270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20389.18-20389.31" + } + }, + "L0PACKETHEADERFROMUSER": { + "hide_name": 0, + "bits": [ 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20506.19-20506.41" + } + }, + "L0PMEACK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20275.12-20275.20" + } + }, + "L0PMEEN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20276.12-20276.19" + } + }, + "L0PMEREQIN": { + "hide_name": 0, + "bits": [ 747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20437.11-20437.21" + } + }, + "L0PMEREQOUT": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20277.12-20277.23" + } + }, + "L0PWRL1STATE": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20278.12-20278.24" + } + }, + "L0PWRL23READYSTATE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20279.12-20279.30" + } + }, + "L0PWRSTATE0": { + "hide_name": 0, + "bits": [ 246, 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20378.18-20378.29" + } + }, + "L0PWRTURNOFFREQ": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20280.12-20280.27" + } + }, + "L0PWRTXL0SSTATE": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20281.12-20281.27" + } + }, + "L0RXDLLPM": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20282.12-20282.21" + } + }, + "L0RXDLLPMTYPE": { + "hide_name": 0, + "bits": [ 271, 272, 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20390.18-20390.31" + } + }, + "L0RXMACLINKERROR": { + "hide_name": 0, + "bits": [ 248, 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20379.18-20379.34" + } + }, + "L0SETCOMPLETERABORTERROR": { + "hide_name": 0, + "bits": [ 748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20438.11-20438.35" + } + }, + "L0SETCOMPLETIONTIMEOUTCORRERROR": { + "hide_name": 0, + "bits": [ 749 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20439.11-20439.42" + } + }, + "L0SETCOMPLETIONTIMEOUTUNCORRERROR": { + "hide_name": 0, + "bits": [ 750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20440.11-20440.44" + } + }, + "L0SETDETECTEDCORRERROR": { + "hide_name": 0, + "bits": [ 751 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20441.11-20441.33" + } + }, + "L0SETDETECTEDFATALERROR": { + "hide_name": 0, + "bits": [ 752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20442.11-20442.34" + } + }, + "L0SETDETECTEDNONFATALERROR": { + "hide_name": 0, + "bits": [ 753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20443.11-20443.37" + } + }, + "L0SETUNEXPECTEDCOMPLETIONCORRERROR": { + "hide_name": 0, + "bits": [ 754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20444.11-20444.45" + } + }, + "L0SETUNEXPECTEDCOMPLETIONUNCORRERROR": { + "hide_name": 0, + "bits": [ 755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20445.11-20445.47" + } + }, + "L0SETUNSUPPORTEDREQUESTNONPOSTEDERROR": { + "hide_name": 0, + "bits": [ 756 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20446.11-20446.48" + } + }, + "L0SETUNSUPPORTEDREQUESTOTHERERROR": { + "hide_name": 0, + "bits": [ 757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20447.11-20447.44" + } + }, + "L0SETUSERDETECTEDPARITYERROR": { + "hide_name": 0, + "bits": [ 758 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20448.11-20448.39" + } + }, + "L0SETUSERMASTERDATAPARITY": { + "hide_name": 0, + "bits": [ 759 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20449.11-20449.36" + } + }, + "L0SETUSERRECEIVEDMASTERABORT": { + "hide_name": 0, + "bits": [ 760 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20450.11-20450.39" + } + }, + "L0SETUSERRECEIVEDTARGETABORT": { + "hide_name": 0, + "bits": [ 761 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20451.11-20451.39" + } + }, + "L0SETUSERSIGNALLEDTARGETABORT": { + "hide_name": 0, + "bits": [ 762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20452.11-20452.40" + } + }, + "L0SETUSERSYSTEMERROR": { + "hide_name": 0, + "bits": [ 763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20453.11-20453.31" + } + }, + "L0STATSCFGOTHERRECEIVED": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20283.12-20283.35" + } + }, + "L0STATSCFGOTHERTRANSMITTED": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20284.12-20284.38" + } + }, + "L0STATSCFGRECEIVED": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20285.12-20285.30" + } + }, + "L0STATSCFGTRANSMITTED": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20286.12-20286.33" + } + }, + "L0STATSDLLPRECEIVED": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20287.12-20287.31" + } + }, + "L0STATSDLLPTRANSMITTED": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20288.12-20288.34" + } + }, + "L0STATSOSRECEIVED": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20289.12-20289.29" + } + }, + "L0STATSOSTRANSMITTED": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20290.12-20290.32" + } + }, + "L0STATSTLPRECEIVED": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20291.12-20291.30" + } + }, + "L0STATSTLPTRANSMITTED": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20292.12-20292.33" + } + }, + "L0TRANSACTIONSPENDING": { + "hide_name": 0, + "bits": [ 764 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20454.11-20454.32" + } + }, + "L0UNLOCKRECEIVED": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20293.12-20293.28" + } + }, + "LLKRXCHCOMPLETIONAVAILABLEN": { + "hide_name": 0, + "bits": [ 599, 600, 601, 602, 603, 604, 605, 606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20403.18-20403.45" + } + }, + "LLKRXCHFIFO": { + "hide_name": 0, + "bits": [ 954, 955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20507.17-20507.28" + } + }, + "LLKRXCHNONPOSTEDAVAILABLEN": { + "hide_name": 0, + "bits": [ 607, 608, 609, 610, 611, 612, 613, 614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20404.18-20404.44" + } + }, + "LLKRXCHPOSTEDAVAILABLEN": { + "hide_name": 0, + "bits": [ 615, 616, 617, 618, 619, 620, 621, 622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20405.18-20405.41" + } + }, + "LLKRXCHTC": { + "hide_name": 0, + "bits": [ 960, 961, 962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20510.17-20510.26" + } + }, + "LLKRXDATA": { + "hide_name": 0, + "bits": [ 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20396.19-20396.28" + } + }, + "LLKRXDSTCONTREQN": { + "hide_name": 0, + "bits": [ 765 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20455.11-20455.27" + } + }, + "LLKRXDSTREQN": { + "hide_name": 0, + "bits": [ 766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20456.11-20456.23" + } + }, + "LLKRXEOFN": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20294.12-20294.21" + } + }, + "LLKRXEOPN": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20295.12-20295.21" + } + }, + "LLKRXPREFERREDTYPE": { + "hide_name": 0, + "bits": [ 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20376.19-20376.37" + } + }, + "LLKRXSOFN": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20296.12-20296.21" + } + }, + "LLKRXSOPN": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20297.12-20297.21" + } + }, + "LLKRXSRCLASTREQN": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20298.12-20298.28" + } + }, + "LLKRXSRCRDYN": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20299.12-20299.24" + } + }, + "LLKRXVALIDN": { + "hide_name": 0, + "bits": [ 250, 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20380.18-20380.29" + } + }, + "LLKTCSTATUS": { + "hide_name": 0, + "bits": [ 623, 624, 625, 626, 627, 628, 629, 630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20406.18-20406.29" + } + }, + "LLKTXCHANSPACE": { + "hide_name": 0, + "bits": [ 719, 720, 721, 722, 723, 724, 725, 726, 727, 728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20418.18-20418.32" + } + }, + "LLKTXCHCOMPLETIONREADYN": { + "hide_name": 0, + "bits": [ 631, 632, 633, 634, 635, 636, 637, 638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20407.18-20407.41" + } + }, + "LLKTXCHFIFO": { + "hide_name": 0, + "bits": [ 956, 957 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20508.17-20508.28" + } + }, + "LLKTXCHNONPOSTEDREADYN": { + "hide_name": 0, + "bits": [ 639, 640, 641, 642, 643, 644, 645, 646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20408.18-20408.40" + } + }, + "LLKTXCHPOSTEDREADYN": { + "hide_name": 0, + "bits": [ 647, 648, 649, 650, 651, 652, 653, 654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20409.18-20409.37" + } + }, + "LLKTXCHTC": { + "hide_name": 0, + "bits": [ 963, 964, 965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20511.17-20511.26" + } + }, + "LLKTXCONFIGREADYN": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20300.12-20300.29" + } + }, + "LLKTXDATA": { + "hide_name": 0, + "bits": [ 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20523.18-20523.27" + } + }, + "LLKTXDSTRDYN": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20301.12-20301.24" + } + }, + "LLKTXENABLEN": { + "hide_name": 0, + "bits": [ 958, 959 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20509.17-20509.29" + } + }, + "LLKTXEOFN": { + "hide_name": 0, + "bits": [ 767 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20457.11-20457.20" + } + }, + "LLKTXEOPN": { + "hide_name": 0, + "bits": [ 768 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20458.11-20458.20" + } + }, + "LLKTXSOFN": { + "hide_name": 0, + "bits": [ 769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20459.11-20459.20" + } + }, + "LLKTXSOPN": { + "hide_name": 0, + "bits": [ 770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20460.11-20460.20" + } + }, + "LLKTXSRCDSCN": { + "hide_name": 0, + "bits": [ 771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20461.11-20461.23" + } + }, + "LLKTXSRCRDYN": { + "hide_name": 0, + "bits": [ 772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20462.11-20462.23" + } + }, + "MAXPAYLOADSIZE": { + "hide_name": 0, + "bits": [ 274, 275, 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20391.18-20391.32" + } + }, + "MAXREADREQUESTSIZE": { + "hide_name": 0, + "bits": [ 277, 278, 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20392.18-20392.36" + } + }, + "MEMSPACEENABLE": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20302.12-20302.26" + } + }, + "MGMTADDR": { + "hide_name": 0, + "bits": [ 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20505.18-20505.26" + } + }, + "MGMTBWREN": { + "hide_name": 0, + "bits": [ 1026, 1027, 1028, 1029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20522.17-20522.26" + } + }, + "MGMTPSO": { + "hide_name": 0, + "bits": [ 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20377.19-20377.26" + } + }, + "MGMTRDATA": { + "hide_name": 0, + "bits": [ 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20393.19-20393.28" + } + }, + "MGMTRDEN": { + "hide_name": 0, + "bits": [ 773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20463.11-20463.19" + } + }, + "MGMTSTATSCREDIT": { + "hide_name": 0, + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20368.19-20368.34" + } + }, + "MGMTSTATSCREDITSEL": { + "hide_name": 0, + "bits": [ 1286, 1287, 1288, 1289, 1290, 1291, 1292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20527.17-20527.35" + } + }, + "MGMTWDATA": { + "hide_name": 0, + "bits": [ 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20520.18-20520.27" + } + }, + "MGMTWREN": { + "hide_name": 0, + "bits": [ 774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20464.11-20464.19" + } + }, + "MIMDLLBRADD": { + "hide_name": 0, + "bits": [ 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20369.19-20369.30" + } + }, + "MIMDLLBRDATA": { + "hide_name": 0, + "bits": [ 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20524.18-20524.30" + } + }, + "MIMDLLBREN": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20303.12-20303.22" + } + }, + "MIMDLLBWADD": { + "hide_name": 0, + "bits": [ 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20370.19-20370.30" + } + }, + "MIMDLLBWDATA": { + "hide_name": 0, + "bits": [ 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20397.19-20397.31" + } + }, + "MIMDLLBWEN": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20304.12-20304.22" + } + }, + "MIMRXBRADD": { + "hide_name": 0, + "bits": [ 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20372.19-20372.29" + } + }, + "MIMRXBRDATA": { + "hide_name": 0, + "bits": [ 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20525.18-20525.29" + } + }, + "MIMRXBREN": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20305.12-20305.21" + } + }, + "MIMRXBWADD": { + "hide_name": 0, + "bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20373.19-20373.29" + } + }, + "MIMRXBWDATA": { + "hide_name": 0, + "bits": [ 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20398.19-20398.30" + } + }, + "MIMRXBWEN": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20306.12-20306.21" + } + }, + "MIMTXBRADD": { + "hide_name": 0, + "bits": [ 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20374.19-20374.29" + } + }, + "MIMTXBRDATA": { + "hide_name": 0, + "bits": [ 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20526.18-20526.29" + } + }, + "MIMTXBREN": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20307.12-20307.21" + } + }, + "MIMTXBWADD": { + "hide_name": 0, + "bits": [ 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20375.19-20375.29" + } + }, + "MIMTXBWDATA": { + "hide_name": 0, + "bits": [ 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20399.19-20399.30" + } + }, + "MIMTXBWEN": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20308.12-20308.21" + } + }, + "PARITYERRORRESPONSE": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20309.12-20309.31" + } + }, + "PIPEDESKEWLANESL0": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20310.12-20310.29" + } + }, + "PIPEDESKEWLANESL1": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20311.12-20311.29" + } + }, + "PIPEDESKEWLANESL2": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20312.12-20312.29" + } + }, + "PIPEDESKEWLANESL3": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20313.12-20313.29" + } + }, + "PIPEDESKEWLANESL4": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20314.12-20314.29" + } + }, + "PIPEDESKEWLANESL5": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20315.12-20315.29" + } + }, + "PIPEDESKEWLANESL6": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20316.12-20316.29" + } + }, + "PIPEDESKEWLANESL7": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20317.12-20317.29" + } + }, + "PIPEPHYSTATUSL0": { + "hide_name": 0, + "bits": [ 775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20465.11-20465.26" + } + }, + "PIPEPHYSTATUSL1": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20466.11-20466.26" + } + }, + "PIPEPHYSTATUSL2": { + "hide_name": 0, + "bits": [ 777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20467.11-20467.26" + } + }, + "PIPEPHYSTATUSL3": { + "hide_name": 0, + "bits": [ 778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20468.11-20468.26" + } + }, + "PIPEPHYSTATUSL4": { + "hide_name": 0, + "bits": [ 779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20469.11-20469.26" + } + }, + "PIPEPHYSTATUSL5": { + "hide_name": 0, + "bits": [ 780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20470.11-20470.26" + } + }, + "PIPEPHYSTATUSL6": { + "hide_name": 0, + "bits": [ 781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20471.11-20471.26" + } + }, + "PIPEPHYSTATUSL7": { + "hide_name": 0, + "bits": [ 782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20472.11-20472.26" + } + }, + "PIPEPOWERDOWNL0": { + "hide_name": 0, + "bits": [ 252, 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20381.18-20381.33" + } + }, + "PIPEPOWERDOWNL1": { + "hide_name": 0, + "bits": [ 254, 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20382.18-20382.33" + } + }, + "PIPEPOWERDOWNL2": { + "hide_name": 0, + "bits": [ 256, 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20383.18-20383.33" + } + }, + "PIPEPOWERDOWNL3": { + "hide_name": 0, + "bits": [ 258, 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20384.18-20384.33" + } + }, + "PIPEPOWERDOWNL4": { + "hide_name": 0, + "bits": [ 260, 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20385.18-20385.33" + } + }, + "PIPEPOWERDOWNL5": { + "hide_name": 0, + "bits": [ 262, 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20386.18-20386.33" + } + }, + "PIPEPOWERDOWNL6": { + "hide_name": 0, + "bits": [ 264, 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20387.18-20387.33" + } + }, + "PIPEPOWERDOWNL7": { + "hide_name": 0, + "bits": [ 266, 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20388.18-20388.33" + } + }, + "PIPERESETL0": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20318.12-20318.23" + } + }, + "PIPERESETL1": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20319.12-20319.23" + } + }, + "PIPERESETL2": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20320.12-20320.23" + } + }, + "PIPERESETL3": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20321.12-20321.23" + } + }, + "PIPERESETL4": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20322.12-20322.23" + } + }, + "PIPERESETL5": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20323.12-20323.23" + } + }, + "PIPERESETL6": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20324.12-20324.23" + } + }, + "PIPERESETL7": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20325.12-20325.23" + } + }, + "PIPERXCHANISALIGNEDL0": { + "hide_name": 0, + "bits": [ 783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20473.11-20473.32" + } + }, + "PIPERXCHANISALIGNEDL1": { + "hide_name": 0, + "bits": [ 784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20474.11-20474.32" + } + }, + "PIPERXCHANISALIGNEDL2": { + "hide_name": 0, + "bits": [ 785 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20475.11-20475.32" + } + }, + "PIPERXCHANISALIGNEDL3": { + "hide_name": 0, + "bits": [ 786 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20476.11-20476.32" + } + }, + "PIPERXCHANISALIGNEDL4": { + "hide_name": 0, + "bits": [ 787 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20477.11-20477.32" + } + }, + "PIPERXCHANISALIGNEDL5": { + "hide_name": 0, + "bits": [ 788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20478.11-20478.32" + } + }, + "PIPERXCHANISALIGNEDL6": { + "hide_name": 0, + "bits": [ 789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20479.11-20479.32" + } + }, + "PIPERXCHANISALIGNEDL7": { + "hide_name": 0, + "bits": [ 790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20480.11-20480.32" + } + }, + "PIPERXDATAKL0": { + "hide_name": 0, + "bits": [ 791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20481.11-20481.24" + } + }, + "PIPERXDATAKL1": { + "hide_name": 0, + "bits": [ 792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20482.11-20482.24" + } + }, + "PIPERXDATAKL2": { + "hide_name": 0, + "bits": [ 793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20483.11-20483.24" + } + }, + "PIPERXDATAKL3": { + "hide_name": 0, + "bits": [ 794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20484.11-20484.24" + } + }, + "PIPERXDATAKL4": { + "hide_name": 0, + "bits": [ 795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20485.11-20485.24" + } + }, + "PIPERXDATAKL5": { + "hide_name": 0, + "bits": [ 796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20486.11-20486.24" + } + }, + "PIPERXDATAKL6": { + "hide_name": 0, + "bits": [ 797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20487.11-20487.24" + } + }, + "PIPERXDATAKL7": { + "hide_name": 0, + "bits": [ 798 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20488.11-20488.24" + } + }, + "PIPERXDATAL0": { + "hide_name": 0, + "bits": [ 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20528.17-20528.29" + } + }, + "PIPERXDATAL1": { + "hide_name": 0, + "bits": [ 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20529.17-20529.29" + } + }, + "PIPERXDATAL2": { + "hide_name": 0, + "bits": [ 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20530.17-20530.29" + } + }, + "PIPERXDATAL3": { + "hide_name": 0, + "bits": [ 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20531.17-20531.29" + } + }, + "PIPERXDATAL4": { + "hide_name": 0, + "bits": [ 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20532.17-20532.29" + } + }, + "PIPERXDATAL5": { + "hide_name": 0, + "bits": [ 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20533.17-20533.29" + } + }, + "PIPERXDATAL6": { + "hide_name": 0, + "bits": [ 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20534.17-20534.29" + } + }, + "PIPERXDATAL7": { + "hide_name": 0, + "bits": [ 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20535.17-20535.29" + } + }, + "PIPERXELECIDLEL0": { + "hide_name": 0, + "bits": [ 799 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20489.11-20489.27" + } + }, + "PIPERXELECIDLEL1": { + "hide_name": 0, + "bits": [ 800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20490.11-20490.27" + } + }, + "PIPERXELECIDLEL2": { + "hide_name": 0, + "bits": [ 801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20491.11-20491.27" + } + }, + "PIPERXELECIDLEL3": { + "hide_name": 0, + "bits": [ 802 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20492.11-20492.27" + } + }, + "PIPERXELECIDLEL4": { + "hide_name": 0, + "bits": [ 803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20493.11-20493.27" + } + }, + "PIPERXELECIDLEL5": { + "hide_name": 0, + "bits": [ 804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20494.11-20494.27" + } + }, + "PIPERXELECIDLEL6": { + "hide_name": 0, + "bits": [ 805 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20495.11-20495.27" + } + }, + "PIPERXELECIDLEL7": { + "hide_name": 0, + "bits": [ 806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20496.11-20496.27" + } + }, + "PIPERXPOLARITYL0": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20326.12-20326.28" + } + }, + "PIPERXPOLARITYL1": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20327.12-20327.28" + } + }, + "PIPERXPOLARITYL2": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20328.12-20328.28" + } + }, + "PIPERXPOLARITYL3": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20329.12-20329.28" + } + }, + "PIPERXPOLARITYL4": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20330.12-20330.28" + } + }, + "PIPERXPOLARITYL5": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20331.12-20331.28" + } + }, + "PIPERXPOLARITYL6": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20332.12-20332.28" + } + }, + "PIPERXPOLARITYL7": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20333.12-20333.28" + } + }, + "PIPERXSTATUSL0": { + "hide_name": 0, + "bits": [ 966, 967, 968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20512.17-20512.31" + } + }, + "PIPERXSTATUSL1": { + "hide_name": 0, + "bits": [ 969, 970, 971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20513.17-20513.31" + } + }, + "PIPERXSTATUSL2": { + "hide_name": 0, + "bits": [ 972, 973, 974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20514.17-20514.31" + } + }, + "PIPERXSTATUSL3": { + "hide_name": 0, + "bits": [ 975, 976, 977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20515.17-20515.31" + } + }, + "PIPERXSTATUSL4": { + "hide_name": 0, + "bits": [ 978, 979, 980 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20516.17-20516.31" + } + }, + "PIPERXSTATUSL5": { + "hide_name": 0, + "bits": [ 981, 982, 983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20517.17-20517.31" + } + }, + "PIPERXSTATUSL6": { + "hide_name": 0, + "bits": [ 984, 985, 986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20518.17-20518.31" + } + }, + "PIPERXSTATUSL7": { + "hide_name": 0, + "bits": [ 987, 988, 989 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20519.17-20519.31" + } + }, + "PIPERXVALIDL0": { + "hide_name": 0, + "bits": [ 807 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20497.11-20497.24" + } + }, + "PIPERXVALIDL1": { + "hide_name": 0, + "bits": [ 808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20498.11-20498.24" + } + }, + "PIPERXVALIDL2": { + "hide_name": 0, + "bits": [ 809 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20499.11-20499.24" + } + }, + "PIPERXVALIDL3": { + "hide_name": 0, + "bits": [ 810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20500.11-20500.24" + } + }, + "PIPERXVALIDL4": { + "hide_name": 0, + "bits": [ 811 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20501.11-20501.24" + } + }, + "PIPERXVALIDL5": { + "hide_name": 0, + "bits": [ 812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20502.11-20502.24" + } + }, + "PIPERXVALIDL6": { + "hide_name": 0, + "bits": [ 813 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20503.11-20503.24" + } + }, + "PIPERXVALIDL7": { + "hide_name": 0, + "bits": [ 814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20504.11-20504.24" + } + }, + "PIPETXCOMPLIANCEL0": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20334.12-20334.30" + } + }, + "PIPETXCOMPLIANCEL1": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20335.12-20335.30" + } + }, + "PIPETXCOMPLIANCEL2": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20336.12-20336.30" + } + }, + "PIPETXCOMPLIANCEL3": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20337.12-20337.30" + } + }, + "PIPETXCOMPLIANCEL4": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20338.12-20338.30" + } + }, + "PIPETXCOMPLIANCEL5": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20339.12-20339.30" + } + }, + "PIPETXCOMPLIANCEL6": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20340.12-20340.30" + } + }, + "PIPETXCOMPLIANCEL7": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20341.12-20341.30" + } + }, + "PIPETXDATAKL0": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20342.12-20342.25" + } + }, + "PIPETXDATAKL1": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20343.12-20343.25" + } + }, + "PIPETXDATAKL2": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20344.12-20344.25" + } + }, + "PIPETXDATAKL3": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20345.12-20345.25" + } + }, + "PIPETXDATAKL4": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20346.12-20346.25" + } + }, + "PIPETXDATAKL5": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20347.12-20347.25" + } + }, + "PIPETXDATAKL6": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20348.12-20348.25" + } + }, + "PIPETXDATAKL7": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20349.12-20349.25" + } + }, + "PIPETXDATAL0": { + "hide_name": 0, + "bits": [ 655, 656, 657, 658, 659, 660, 661, 662 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20410.18-20410.30" + } + }, + "PIPETXDATAL1": { + "hide_name": 0, + "bits": [ 663, 664, 665, 666, 667, 668, 669, 670 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20411.18-20411.30" + } + }, + "PIPETXDATAL2": { + "hide_name": 0, + "bits": [ 671, 672, 673, 674, 675, 676, 677, 678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20412.18-20412.30" + } + }, + "PIPETXDATAL3": { + "hide_name": 0, + "bits": [ 679, 680, 681, 682, 683, 684, 685, 686 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20413.18-20413.30" + } + }, + "PIPETXDATAL4": { + "hide_name": 0, + "bits": [ 687, 688, 689, 690, 691, 692, 693, 694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20414.18-20414.30" + } + }, + "PIPETXDATAL5": { + "hide_name": 0, + "bits": [ 695, 696, 697, 698, 699, 700, 701, 702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20415.18-20415.30" + } + }, + "PIPETXDATAL6": { + "hide_name": 0, + "bits": [ 703, 704, 705, 706, 707, 708, 709, 710 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20416.18-20416.30" + } + }, + "PIPETXDATAL7": { + "hide_name": 0, + "bits": [ 711, 712, 713, 714, 715, 716, 717, 718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20417.18-20417.30" + } + }, + "PIPETXDETECTRXLOOPBACKL0": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20350.12-20350.36" + } + }, + "PIPETXDETECTRXLOOPBACKL1": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20351.12-20351.36" + } + }, + "PIPETXDETECTRXLOOPBACKL2": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20352.12-20352.36" + } + }, + "PIPETXDETECTRXLOOPBACKL3": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20353.12-20353.36" + } + }, + "PIPETXDETECTRXLOOPBACKL4": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20354.12-20354.36" + } + }, + "PIPETXDETECTRXLOOPBACKL5": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20355.12-20355.36" + } + }, + "PIPETXDETECTRXLOOPBACKL6": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20356.12-20356.36" + } + }, + "PIPETXDETECTRXLOOPBACKL7": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20357.12-20357.36" + } + }, + "PIPETXELECIDLEL0": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20358.12-20358.28" + } + }, + "PIPETXELECIDLEL1": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20359.12-20359.28" + } + }, + "PIPETXELECIDLEL2": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20360.12-20360.28" + } + }, + "PIPETXELECIDLEL3": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20361.12-20361.28" + } + }, + "PIPETXELECIDLEL4": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20362.12-20362.28" + } + }, + "PIPETXELECIDLEL5": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20363.12-20363.28" + } + }, + "PIPETXELECIDLEL6": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20364.12-20364.28" + } + }, + "PIPETXELECIDLEL7": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20365.12-20365.28" + } + }, + "SERRENABLE": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20366.12-20366.22" + } + }, + "URREPORTINGENABLE": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20367.12-20367.29" + } + } + } + }, + "PHASER_IN": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6673.1-6707.10" + }, + "parameter_default_values": { + "CLKOUT_DIV": "00000000000000000000000000000100", + "DQS_BIAS_MODE": "FALSE", + "EN_ISERDES_RST": "FALSE", + "FINE_DELAY": "00000000000000000000000000000000", + "FREQ_REF_DIV": "NONE", + "IS_RST_INVERTED": "0", + "OUTPUT_CLK_SRC": "PHASE_REF", + "SEL_CLK_OFFSET": "00000000000000000000000000000101", + "SYNC_IN_DIV_RST": "FALSE" + }, + "ports": { + "FINEOVERFLOW": { + "direction": "output", + "bits": [ 2 ] + }, + "ICLK": { + "direction": "output", + "bits": [ 3 ] + }, + "ICLKDIV": { + "direction": "output", + "bits": [ 4 ] + }, + "ISERDESRST": { + "direction": "output", + "bits": [ 5 ] + }, + "RCLK": { + "direction": "output", + "bits": [ 6 ] + }, + "COUNTERREADVAL": { + "direction": "output", + "bits": [ 7, 8, 9, 10, 11, 12 ] + }, + "COUNTERLOADEN": { + "direction": "input", + "bits": [ 13 ] + }, + "COUNTERREADEN": { + "direction": "input", + "bits": [ 14 ] + }, + "DIVIDERST": { + "direction": "input", + "bits": [ 15 ] + }, + "EDGEADV": { + "direction": "input", + "bits": [ 16 ] + }, + "FINEENABLE": { + "direction": "input", + "bits": [ 17 ] + }, + "FINEINC": { + "direction": "input", + "bits": [ 18 ] + }, + "FREQREFCLK": { + "direction": "input", + "bits": [ 19 ] + }, + "MEMREFCLK": { + "direction": "input", + "bits": [ 20 ] + }, + "PHASEREFCLK": { + "direction": "input", + "bits": [ 21 ] + }, + "RST": { + "direction": "input", + "bits": [ 22 ] + }, + "SYNCIN": { + "direction": "input", + "bits": [ 23 ] + }, + "SYSCLK": { + "direction": "input", + "bits": [ 24 ] + }, + "RANKSEL": { + "direction": "input", + "bits": [ 25, 26 ] + }, + "COUNTERLOADVAL": { + "direction": "input", + "bits": [ 27, 28, 29, 30, 31, 32 ] + } + }, + "cells": { + }, + "netnames": { + "COUNTERLOADEN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6692.11-6692.24" + } + }, + "COUNTERLOADVAL": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6706.17-6706.31" + } + }, + "COUNTERREADEN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6693.11-6693.24" + } + }, + "COUNTERREADVAL": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6691.18-6691.32" + } + }, + "DIVIDERST": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6694.11-6694.20" + } + }, + "EDGEADV": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6695.11-6695.18" + } + }, + "FINEENABLE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6696.11-6696.21" + } + }, + "FINEINC": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6697.11-6697.18" + } + }, + "FINEOVERFLOW": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6686.12-6686.24" + } + }, + "FREQREFCLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6698.11-6698.21" + } + }, + "ICLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6687.12-6687.16" + } + }, + "ICLKDIV": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6688.12-6688.19" + } + }, + "ISERDESRST": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6689.12-6689.22" + } + }, + "MEMREFCLK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6699.11-6699.20" + } + }, + "PHASEREFCLK": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6700.11-6700.22" + } + }, + "RANKSEL": { + "hide_name": 0, + "bits": [ 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6705.17-6705.24" + } + }, + "RCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6690.12-6690.16" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6702.11-6702.14" + } + }, + "SYNCIN": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6703.11-6703.17" + } + }, + "SYSCLK": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6704.11-6704.17" + } + } + } + }, + "PHASER_IN_PHY": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6710.1-6752.10" + }, + "parameter_default_values": { + "BURST_MODE": "FALSE", + "CLKOUT_DIV": "00000000000000000000000000000100", + "DQS_AUTO_RECAL": "1", + "DQS_BIAS_MODE": "FALSE", + "DQS_FIND_PATTERN": "001", + "FINE_DELAY": "00000000000000000000000000000000", + "FREQ_REF_DIV": "NONE", + "IS_RST_INVERTED": "0", + "OUTPUT_CLK_SRC": "PHASE_REF", + "SEL_CLK_OFFSET": "00000000000000000000000000000101", + "SYNC_IN_DIV_RST": "FALSE", + "WR_CYCLES": "FALSE" + }, + "ports": { + "DQSFOUND": { + "direction": "output", + "bits": [ 2 ] + }, + "DQSOUTOFRANGE": { + "direction": "output", + "bits": [ 3 ] + }, + "FINEOVERFLOW": { + "direction": "output", + "bits": [ 4 ] + }, + "ICLK": { + "direction": "output", + "bits": [ 5 ] + }, + "ICLKDIV": { + "direction": "output", + "bits": [ 6 ] + }, + "ISERDESRST": { + "direction": "output", + "bits": [ 7 ] + }, + "PHASELOCKED": { + "direction": "output", + "bits": [ 8 ] + }, + "RCLK": { + "direction": "output", + "bits": [ 9 ] + }, + "WRENABLE": { + "direction": "output", + "bits": [ 10 ] + }, + "COUNTERREADVAL": { + "direction": "output", + "bits": [ 11, 12, 13, 14, 15, 16 ] + }, + "BURSTPENDINGPHY": { + "direction": "input", + "bits": [ 17 ] + }, + "COUNTERLOADEN": { + "direction": "input", + "bits": [ 18 ] + }, + "COUNTERREADEN": { + "direction": "input", + "bits": [ 19 ] + }, + "FINEENABLE": { + "direction": "input", + "bits": [ 20 ] + }, + "FINEINC": { + "direction": "input", + "bits": [ 21 ] + }, + "FREQREFCLK": { + "direction": "input", + "bits": [ 22 ] + }, + "MEMREFCLK": { + "direction": "input", + "bits": [ 23 ] + }, + "PHASEREFCLK": { + "direction": "input", + "bits": [ 24 ] + }, + "RST": { + "direction": "input", + "bits": [ 25 ] + }, + "RSTDQSFIND": { + "direction": "input", + "bits": [ 26 ] + }, + "SYNCIN": { + "direction": "input", + "bits": [ 27 ] + }, + "SYSCLK": { + "direction": "input", + "bits": [ 28 ] + }, + "ENCALIBPHY": { + "direction": "input", + "bits": [ 29, 30 ] + }, + "RANKSELPHY": { + "direction": "input", + "bits": [ 31, 32 ] + }, + "COUNTERLOADVAL": { + "direction": "input", + "bits": [ 33, 34, 35, 36, 37, 38 ] + } + }, + "cells": { + }, + "netnames": { + "BURSTPENDINGPHY": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6736.11-6736.26" + } + }, + "COUNTERLOADEN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6737.11-6737.24" + } + }, + "COUNTERLOADVAL": { + "hide_name": 0, + "bits": [ 33, 34, 35, 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6751.17-6751.31" + } + }, + "COUNTERREADEN": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6738.11-6738.24" + } + }, + "COUNTERREADVAL": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6735.18-6735.32" + } + }, + "DQSFOUND": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6726.12-6726.20" + } + }, + "DQSOUTOFRANGE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6727.12-6727.25" + } + }, + "ENCALIBPHY": { + "hide_name": 0, + "bits": [ 29, 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6749.17-6749.27" + } + }, + "FINEENABLE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6739.11-6739.21" + } + }, + "FINEINC": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6740.11-6740.18" + } + }, + "FINEOVERFLOW": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6728.12-6728.24" + } + }, + "FREQREFCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6741.11-6741.21" + } + }, + "ICLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6729.12-6729.16" + } + }, + "ICLKDIV": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6730.12-6730.19" + } + }, + "ISERDESRST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6731.12-6731.22" + } + }, + "MEMREFCLK": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6742.11-6742.20" + } + }, + "PHASELOCKED": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6732.12-6732.23" + } + }, + "PHASEREFCLK": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6743.11-6743.22" + } + }, + "RANKSELPHY": { + "hide_name": 0, + "bits": [ 31, 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6750.17-6750.27" + } + }, + "RCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6733.12-6733.16" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6745.11-6745.14" + } + }, + "RSTDQSFIND": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6746.11-6746.21" + } + }, + "SYNCIN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6747.11-6747.17" + } + }, + "SYSCLK": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6748.11-6748.17" + } + }, + "WRENABLE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6734.12-6734.20" + } + } + } + }, + "PHASER_OUT": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6755.1-6794.10" + }, + "parameter_default_values": { + "CLKOUT_DIV": "00000000000000000000000000000100", + "COARSE_BYPASS": "FALSE", + "COARSE_DELAY": "00000000000000000000000000000000", + "EN_OSERDES_RST": "FALSE", + "FINE_DELAY": "00000000000000000000000000000000", + "IS_RST_INVERTED": "0", + "OCLKDELAY_INV": "FALSE", + "OCLK_DELAY": "00000000000000000000000000000000", + "OUTPUT_CLK_SRC": "PHASE_REF", + "PO": "000", + "SYNC_IN_DIV_RST": "FALSE" + }, + "ports": { + "COARSEOVERFLOW": { + "direction": "output", + "bits": [ 2 ] + }, + "FINEOVERFLOW": { + "direction": "output", + "bits": [ 3 ] + }, + "OCLK": { + "direction": "output", + "bits": [ 4 ] + }, + "OCLKDELAYED": { + "direction": "output", + "bits": [ 5 ] + }, + "OCLKDIV": { + "direction": "output", + "bits": [ 6 ] + }, + "OSERDESRST": { + "direction": "output", + "bits": [ 7 ] + }, + "COUNTERREADVAL": { + "direction": "output", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "COARSEENABLE": { + "direction": "input", + "bits": [ 17 ] + }, + "COARSEINC": { + "direction": "input", + "bits": [ 18 ] + }, + "COUNTERLOADEN": { + "direction": "input", + "bits": [ 19 ] + }, + "COUNTERREADEN": { + "direction": "input", + "bits": [ 20 ] + }, + "DIVIDERST": { + "direction": "input", + "bits": [ 21 ] + }, + "EDGEADV": { + "direction": "input", + "bits": [ 22 ] + }, + "FINEENABLE": { + "direction": "input", + "bits": [ 23 ] + }, + "FINEINC": { + "direction": "input", + "bits": [ 24 ] + }, + "FREQREFCLK": { + "direction": "input", + "bits": [ 25 ] + }, + "MEMREFCLK": { + "direction": "input", + "bits": [ 26 ] + }, + "PHASEREFCLK": { + "direction": "input", + "bits": [ 27 ] + }, + "RST": { + "direction": "input", + "bits": [ 28 ] + }, + "SELFINEOCLKDELAY": { + "direction": "input", + "bits": [ 29 ] + }, + "SYNCIN": { + "direction": "input", + "bits": [ 30 ] + }, + "SYSCLK": { + "direction": "input", + "bits": [ 31 ] + }, + "COUNTERLOADVAL": { + "direction": "input", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40 ] + } + }, + "cells": { + }, + "netnames": { + "COARSEENABLE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6777.11-6777.23" + } + }, + "COARSEINC": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6778.11-6778.20" + } + }, + "COARSEOVERFLOW": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6770.12-6770.26" + } + }, + "COUNTERLOADEN": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6779.11-6779.24" + } + }, + "COUNTERLOADVAL": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6793.17-6793.31" + } + }, + "COUNTERREADEN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6780.11-6780.24" + } + }, + "COUNTERREADVAL": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6776.18-6776.32" + } + }, + "DIVIDERST": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6781.11-6781.20" + } + }, + "EDGEADV": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6782.11-6782.18" + } + }, + "FINEENABLE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6783.11-6783.21" + } + }, + "FINEINC": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6784.11-6784.18" + } + }, + "FINEOVERFLOW": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6771.12-6771.24" + } + }, + "FREQREFCLK": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6785.11-6785.21" + } + }, + "MEMREFCLK": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6786.11-6786.20" + } + }, + "OCLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6772.12-6772.16" + } + }, + "OCLKDELAYED": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6773.12-6773.23" + } + }, + "OCLKDIV": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6774.12-6774.19" + } + }, + "OSERDESRST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6775.12-6775.22" + } + }, + "PHASEREFCLK": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6787.11-6787.22" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6789.11-6789.14" + } + }, + "SELFINEOCLKDELAY": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6790.11-6790.27" + } + }, + "SYNCIN": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6791.11-6791.17" + } + }, + "SYSCLK": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6792.11-6792.17" + } + } + } + }, + "PHASER_OUT_PHY": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6797.1-6841.10" + }, + "parameter_default_values": { + "CLKOUT_DIV": "00000000000000000000000000000100", + "COARSE_BYPASS": "FALSE", + "COARSE_DELAY": "00000000000000000000000000000000", + "DATA_CTL_N": "FALSE", + "DATA_RD_CYCLES": "FALSE", + "FINE_DELAY": "00000000000000000000000000000000", + "IS_RST_INVERTED": "0", + "OCLKDELAY_INV": "FALSE", + "OCLK_DELAY": "00000000000000000000000000000000", + "OUTPUT_CLK_SRC": "PHASE_REF", + "PO": "000", + "SYNC_IN_DIV_RST": "FALSE" + }, + "ports": { + "COARSEOVERFLOW": { + "direction": "output", + "bits": [ 2 ] + }, + "FINEOVERFLOW": { + "direction": "output", + "bits": [ 3 ] + }, + "OCLK": { + "direction": "output", + "bits": [ 4 ] + }, + "OCLKDELAYED": { + "direction": "output", + "bits": [ 5 ] + }, + "OCLKDIV": { + "direction": "output", + "bits": [ 6 ] + }, + "OSERDESRST": { + "direction": "output", + "bits": [ 7 ] + }, + "RDENABLE": { + "direction": "output", + "bits": [ 8 ] + }, + "CTSBUS": { + "direction": "output", + "bits": [ 9, 10 ] + }, + "DQSBUS": { + "direction": "output", + "bits": [ 11, 12 ] + }, + "DTSBUS": { + "direction": "output", + "bits": [ 13, 14 ] + }, + "COUNTERREADVAL": { + "direction": "output", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22, 23 ] + }, + "BURSTPENDINGPHY": { + "direction": "input", + "bits": [ 24 ] + }, + "COARSEENABLE": { + "direction": "input", + "bits": [ 25 ] + }, + "COARSEINC": { + "direction": "input", + "bits": [ 26 ] + }, + "COUNTERLOADEN": { + "direction": "input", + "bits": [ 27 ] + }, + "COUNTERREADEN": { + "direction": "input", + "bits": [ 28 ] + }, + "FINEENABLE": { + "direction": "input", + "bits": [ 29 ] + }, + "FINEINC": { + "direction": "input", + "bits": [ 30 ] + }, + "FREQREFCLK": { + "direction": "input", + "bits": [ 31 ] + }, + "MEMREFCLK": { + "direction": "input", + "bits": [ 32 ] + }, + "PHASEREFCLK": { + "direction": "input", + "bits": [ 33 ] + }, + "RST": { + "direction": "input", + "bits": [ 34 ] + }, + "SELFINEOCLKDELAY": { + "direction": "input", + "bits": [ 35 ] + }, + "SYNCIN": { + "direction": "input", + "bits": [ 36 ] + }, + "SYSCLK": { + "direction": "input", + "bits": [ 37 ] + }, + "ENCALIBPHY": { + "direction": "input", + "bits": [ 38, 39 ] + }, + "COUNTERLOADVAL": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48 ] + } + }, + "cells": { + }, + "netnames": { + "BURSTPENDINGPHY": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6824.11-6824.26" + } + }, + "COARSEENABLE": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6825.11-6825.23" + } + }, + "COARSEINC": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6826.11-6826.20" + } + }, + "COARSEOVERFLOW": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6813.12-6813.26" + } + }, + "COUNTERLOADEN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6827.11-6827.24" + } + }, + "COUNTERLOADVAL": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6840.17-6840.31" + } + }, + "COUNTERREADEN": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6828.11-6828.24" + } + }, + "COUNTERREADVAL": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22, 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6823.18-6823.32" + } + }, + "CTSBUS": { + "hide_name": 0, + "bits": [ 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6820.18-6820.24" + } + }, + "DQSBUS": { + "hide_name": 0, + "bits": [ 11, 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6821.18-6821.24" + } + }, + "DTSBUS": { + "hide_name": 0, + "bits": [ 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6822.18-6822.24" + } + }, + "ENCALIBPHY": { + "hide_name": 0, + "bits": [ 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6839.17-6839.27" + } + }, + "FINEENABLE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6829.11-6829.21" + } + }, + "FINEINC": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6830.11-6830.18" + } + }, + "FINEOVERFLOW": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6814.12-6814.24" + } + }, + "FREQREFCLK": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6831.11-6831.21" + } + }, + "MEMREFCLK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6832.11-6832.20" + } + }, + "OCLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6815.12-6815.16" + } + }, + "OCLKDELAYED": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6816.12-6816.23" + } + }, + "OCLKDIV": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6817.12-6817.19" + } + }, + "OSERDESRST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6818.12-6818.22" + } + }, + "PHASEREFCLK": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6833.11-6833.22" + } + }, + "RDENABLE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6819.12-6819.20" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6835.11-6835.14" + } + }, + "SELFINEOCLKDELAY": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6836.11-6836.27" + } + }, + "SYNCIN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6837.11-6837.17" + } + }, + "SYSCLK": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6838.11-6838.17" + } + } + } + }, + "PHASER_REF": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6844.1-6853.10" + }, + "parameter_default_values": { + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0" + }, + "ports": { + "LOCKED": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 3 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 4 ] + }, + "RST": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLKIN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6848.11-6848.16" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6847.12-6847.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6850.11-6850.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6852.11-6852.14" + } + } + } + }, + "PHY_CONTROL": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6856.1-6914.10" + }, + "parameter_default_values": { + "AO_TOGGLE": "00000000000000000000000000000000", + "AO_WRLVL_EN": "0000", + "BURST_MODE": "FALSE", + "CLK_RATIO": "00000000000000000000000000000001", + "CMD_OFFSET": "00000000000000000000000000000000", + "CO_DURATION": "00000000000000000000000000000000", + "DATA_CTL_A_N": "FALSE", + "DATA_CTL_B_N": "FALSE", + "DATA_CTL_C_N": "FALSE", + "DATA_CTL_D_N": "FALSE", + "DISABLE_SEQ_MATCH": "TRUE", + "DI_DURATION": "00000000000000000000000000000000", + "DO_DURATION": "00000000000000000000000000000000", + "EVENTS_DELAY": "00000000000000000000000000111111", + "FOUR_WINDOW_CLOCKS": "00000000000000000000000000111111", + "MULTI_REGION": "FALSE", + "PHY_COUNT_ENABLE": "FALSE", + "RD_CMD_OFFSET_0": "00000000000000000000000000000000", + "RD_CMD_OFFSET_1": "00000000000000000000000000000000", + "RD_CMD_OFFSET_2": "00000000000000000000000000000000", + "RD_CMD_OFFSET_3": "00000000000000000000000000000000", + "RD_DURATION_0": "00000000000000000000000000000000", + "RD_DURATION_1": "00000000000000000000000000000000", + "RD_DURATION_2": "00000000000000000000000000000000", + "RD_DURATION_3": "00000000000000000000000000000000", + "SYNC_MODE": "FALSE", + "WR_CMD_OFFSET_0": "00000000000000000000000000000000", + "WR_CMD_OFFSET_1": "00000000000000000000000000000000", + "WR_CMD_OFFSET_2": "00000000000000000000000000000000", + "WR_CMD_OFFSET_3": "00000000000000000000000000000000", + "WR_DURATION_0": "00000000000000000000000000000000", + "WR_DURATION_1": "00000000000000000000000000000000", + "WR_DURATION_2": "00000000000000000000000000000000", + "WR_DURATION_3": "00000000000000000000000000000000" + }, + "ports": { + "PHYCTLALMOSTFULL": { + "direction": "output", + "bits": [ 2 ] + }, + "PHYCTLEMPTY": { + "direction": "output", + "bits": [ 3 ] + }, + "PHYCTLFULL": { + "direction": "output", + "bits": [ 4 ] + }, + "PHYCTLREADY": { + "direction": "output", + "bits": [ 5 ] + }, + "INRANKA": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "INRANKB": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "INRANKC": { + "direction": "output", + "bits": [ 10, 11 ] + }, + "INRANKD": { + "direction": "output", + "bits": [ 12, 13 ] + }, + "PCENABLECALIB": { + "direction": "output", + "bits": [ 14, 15 ] + }, + "AUXOUTPUT": { + "direction": "output", + "bits": [ 16, 17, 18, 19 ] + }, + "INBURSTPENDING": { + "direction": "output", + "bits": [ 20, 21, 22, 23 ] + }, + "OUTBURSTPENDING": { + "direction": "output", + "bits": [ 24, 25, 26, 27 ] + }, + "MEMREFCLK": { + "direction": "input", + "bits": [ 28 ] + }, + "PHYCLK": { + "direction": "input", + "bits": [ 29 ] + }, + "PHYCTLMSTREMPTY": { + "direction": "input", + "bits": [ 30 ] + }, + "PHYCTLWRENABLE": { + "direction": "input", + "bits": [ 31 ] + }, + "PLLLOCK": { + "direction": "input", + "bits": [ 32 ] + }, + "READCALIBENABLE": { + "direction": "input", + "bits": [ 33 ] + }, + "REFDLLLOCK": { + "direction": "input", + "bits": [ 34 ] + }, + "RESET": { + "direction": "input", + "bits": [ 35 ] + }, + "SYNCIN": { + "direction": "input", + "bits": [ 36 ] + }, + "WRITECALIBENABLE": { + "direction": "input", + "bits": [ 37 ] + }, + "PHYCTLWD": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + } + }, + "cells": { + }, + "netnames": { + "AUXOUTPUT": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6900.18-6900.27" + } + }, + "INBURSTPENDING": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6901.18-6901.32" + } + }, + "INRANKA": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6895.18-6895.25" + } + }, + "INRANKB": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6896.18-6896.25" + } + }, + "INRANKC": { + "hide_name": 0, + "bits": [ 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6897.18-6897.25" + } + }, + "INRANKD": { + "hide_name": 0, + "bits": [ 12, 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6898.18-6898.25" + } + }, + "MEMREFCLK": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6903.11-6903.20" + } + }, + "OUTBURSTPENDING": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6902.18-6902.33" + } + }, + "PCENABLECALIB": { + "hide_name": 0, + "bits": [ 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6899.18-6899.31" + } + }, + "PHYCLK": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6904.11-6904.17" + } + }, + "PHYCTLALMOSTFULL": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6891.12-6891.28" + } + }, + "PHYCTLEMPTY": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6892.12-6892.23" + } + }, + "PHYCTLFULL": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6893.12-6893.22" + } + }, + "PHYCTLMSTREMPTY": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6905.11-6905.26" + } + }, + "PHYCTLREADY": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6894.12-6894.23" + } + }, + "PHYCTLWD": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6913.18-6913.26" + } + }, + "PHYCTLWRENABLE": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6906.11-6906.25" + } + }, + "PLLLOCK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6907.11-6907.18" + } + }, + "READCALIBENABLE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6908.11-6908.26" + } + }, + "REFDLLLOCK": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6909.11-6909.21" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6910.11-6910.16" + } + }, + "SYNCIN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6911.11-6911.17" + } + }, + "WRITECALIBENABLE": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:6912.11-6912.27" + } + } + } + }, + "PLLE2_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8800.1-8862.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKFBOUT_MULT": "00000000000000000000000000000101", + "CLKOUT0_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "COMPENSATION": "ZHOLD", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKINSEL_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 8 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 9 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 10 ] + }, + "DO": { + "direction": "output", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 27 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 28 ] + }, + "CLKIN2": { + "direction": "input", + "bits": [ 29 ] + }, + "CLKINSEL": { + "direction": "input", + "bits": [ 30 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 31 ] + }, + "DEN": { + "direction": "input", + "bits": [ 32 ] + }, + "DWE": { + "direction": "input", + "bits": [ 33 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 34 ] + }, + "RST": { + "direction": "input", + "bits": [ 35 ] + }, + "DI": { + "direction": "input", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57, 58 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8848.11-8848.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8838.12-8838.20" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8849.11-8849.17" + } + }, + "CLKIN2": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8850.11-8850.17" + } + }, + "CLKINSEL": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "invertible_pin": "IS_CLKINSEL_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8852.11-8852.19" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8839.12-8839.19" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8840.12-8840.19" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8841.12-8841.19" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8842.12-8842.19" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8843.12-8843.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8844.12-8844.19" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8861.17-8861.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8853.11-8853.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8854.11-8854.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8860.18-8860.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8847.19-8847.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8845.12-8845.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8855.11-8855.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8846.12-8846.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8857.11-8857.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8859.11-8859.14" + } + } + } + }, + "PLLE2_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8864.1-8902.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKFBOUT_MULT": "00000000000000000000000000000101", + "CLKOUT0_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 8 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 11 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 12 ] + }, + "RST": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8898.11-8898.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8890.12-8890.20" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8899.11-8899.17" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8891.12-8891.19" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8892.12-8892.19" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8893.12-8893.19" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8894.12-8894.19" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8895.12-8895.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8896.12-8896.19" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8897.12-8897.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8900.11-8900.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8901.11-8901.14" + } + } + } + }, + "PLLE3_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9065.1-9113.10" + }, + "parameter_default_values": { + "CLKFBOUT_MULT": "00000000000000000000000000000101", + "CLKOUT0_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUTPHY_MODE": "VCO_2X", + "COMPENSATION": "AUTO", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKFBIN_INVERTED": "0", + "IS_CLKIN_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUTPHY": { + "direction": "output", + "bits": [ 7 ] + }, + "DO": { + "direction": "output", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 24 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 25 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 26 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 27 ] + }, + "CLKOUTPHYEN": { + "direction": "input", + "bits": [ 28 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34, 35 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 36 ] + }, + "DEN": { + "direction": "input", + "bits": [ 37 ] + }, + "DI": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DWE": { + "direction": "input", + "bits": [ 54 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 55 ] + }, + "RST": { + "direction": "input", + "bits": [ 56 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "invertible_pin": "IS_CLKFBIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9100.11-9100.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9090.12-9090.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "invertible_pin": "IS_CLKIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9102.11-9102.16" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9091.12-9091.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9092.12-9092.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9093.12-9093.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9094.12-9094.20" + } + }, + "CLKOUTPHY": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9095.12-9095.21" + } + }, + "CLKOUTPHYEN": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9103.11-9103.22" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9104.17-9104.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9105.11-9105.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9106.11-9106.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9107.18-9107.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9096.19-9096.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9097.12-9097.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9108.11-9108.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9098.12-9098.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9110.11-9110.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9112.11-9112.14" + } + } + } + }, + "PLLE3_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9115.1-9149.10" + }, + "parameter_default_values": { + "CLKFBOUT_MULT": "00000000000000000000000000000101", + "CLKOUT0_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUTPHY_MODE": "VCO_2X", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKFBIN_INVERTED": "0", + "IS_CLKIN_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUTPHY": { + "direction": "output", + "bits": [ 7 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 9 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKOUTPHYEN": { + "direction": "input", + "bits": [ 11 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 12 ] + }, + "RST": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_CLKFBIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9141.11-9141.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9133.12-9133.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_CLKIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9143.11-9143.16" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9134.12-9134.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9135.12-9135.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9136.12-9136.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9137.12-9137.20" + } + }, + "CLKOUTPHY": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9138.12-9138.21" + } + }, + "CLKOUTPHYEN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9144.11-9144.22" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9139.12-9139.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9146.11-9146.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9148.11-9148.14" + } + } + } + }, + "PLLE4_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9312.1-9360.10" + }, + "parameter_default_values": { + "CLKFBOUT_MULT": "00000000000000000000000000000101", + "CLKOUT0_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUTPHY_MODE": "VCO_2X", + "COMPENSATION": "AUTO", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKFBIN_INVERTED": "0", + "IS_CLKIN_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUTPHY": { + "direction": "output", + "bits": [ 7 ] + }, + "DO": { + "direction": "output", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 24 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 25 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 26 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 27 ] + }, + "CLKOUTPHYEN": { + "direction": "input", + "bits": [ 28 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34, 35 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 36 ] + }, + "DEN": { + "direction": "input", + "bits": [ 37 ] + }, + "DI": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DWE": { + "direction": "input", + "bits": [ 54 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 55 ] + }, + "RST": { + "direction": "input", + "bits": [ 56 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "invertible_pin": "IS_CLKFBIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9347.11-9347.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9337.12-9337.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "invertible_pin": "IS_CLKIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9349.11-9349.16" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9338.12-9338.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9339.12-9339.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9340.12-9340.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9341.12-9341.20" + } + }, + "CLKOUTPHY": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9342.12-9342.21" + } + }, + "CLKOUTPHYEN": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9350.11-9350.22" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9351.17-9351.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9352.11-9352.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9353.11-9353.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9354.18-9354.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9343.19-9343.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9344.12-9344.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9355.11-9355.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9345.12-9345.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9357.11-9357.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9359.11-9359.14" + } + } + } + }, + "PLLE4_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9362.1-9396.10" + }, + "parameter_default_values": { + "CLKFBOUT_MULT": "00000000000000000000000000000101", + "CLKOUT0_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUTPHY_MODE": "VCO_2X", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "IS_CLKFBIN_INVERTED": "0", + "IS_CLKIN_INVERTED": "0", + "IS_PWRDWN_INVERTED": "0", + "IS_RST_INVERTED": "0", + "STARTUP_WAIT": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0B": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT1B": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUTPHY": { + "direction": "output", + "bits": [ 7 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 9 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKOUTPHYEN": { + "direction": "input", + "bits": [ 11 ] + }, + "PWRDWN": { + "direction": "input", + "bits": [ 12 ] + }, + "RST": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_CLKFBIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9388.11-9388.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9380.12-9380.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_CLKIN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9390.11-9390.16" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9381.12-9381.19" + } + }, + "CLKOUT0B": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9382.12-9382.20" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9383.12-9383.19" + } + }, + "CLKOUT1B": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9384.12-9384.20" + } + }, + "CLKOUTPHY": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9385.12-9385.21" + } + }, + "CLKOUTPHYEN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9391.11-9391.22" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9386.12-9386.18" + } + }, + "PWRDWN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "invertible_pin": "IS_PWRDWN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9393.11-9393.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9395.11-9395.14" + } + } + } + }, + "PLL_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8404.1-8478.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKFBOUT_DESKEW_ADJUST": "NONE", + "CLKFBOUT_MULT": "00000000000000000000000000000001", + "CLKOUT0_DESKEW_ADJUST": "NONE", + "CLKOUT0_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_DESKEW_ADJUST": "NONE", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_DESKEW_ADJUST": "NONE", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_DESKEW_ADJUST": "NONE", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_DESKEW_ADJUST": "NONE", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_DESKEW_ADJUST": "NONE", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLK_FEEDBACK": "CLKFBOUT", + "COMPENSATION": "SYSTEM_SYNCHRONOUS", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "EN_REL": "FALSE", + "PLL_PMCD_MODE": "FALSE", + "RESET_ON_LOSS_OF_LOCK": "FALSE", + "RST_DEASSERT_CLK": "CLKIN1", + "SIM_DEVICE": "VIRTEX5" + }, + "ports": { + "CLKFBDCM": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKFBOUT": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKOUTDCM0": { + "direction": "output", + "bits": [ 10 ] + }, + "CLKOUTDCM1": { + "direction": "output", + "bits": [ 11 ] + }, + "CLKOUTDCM2": { + "direction": "output", + "bits": [ 12 ] + }, + "CLKOUTDCM3": { + "direction": "output", + "bits": [ 13 ] + }, + "CLKOUTDCM4": { + "direction": "output", + "bits": [ 14 ] + }, + "CLKOUTDCM5": { + "direction": "output", + "bits": [ 15 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 16 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 17 ] + }, + "DO": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 34 ] + }, + "CLKIN1": { + "direction": "input", + "bits": [ 35 ] + }, + "CLKIN2": { + "direction": "input", + "bits": [ 36 ] + }, + "CLKINSEL": { + "direction": "input", + "bits": [ 37 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 38 ] + }, + "DEN": { + "direction": "input", + "bits": [ 39 ] + }, + "DWE": { + "direction": "input", + "bits": [ 40 ] + }, + "REL": { + "direction": "input", + "bits": [ 41 ] + }, + "RST": { + "direction": "input", + "bits": [ 42 ] + }, + "DI": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 59, 60, 61, 62, 63 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBDCM": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8450.12-8450.20" + } + }, + "CLKFBIN": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8467.11-8467.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8451.12-8451.20" + } + }, + "CLKIN1": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8468.11-8468.17" + } + }, + "CLKIN2": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8469.11-8469.17" + } + }, + "CLKINSEL": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8470.11-8470.19" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8452.12-8452.19" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8453.12-8453.19" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8454.12-8454.19" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8455.12-8455.19" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8456.12-8456.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8457.12-8457.19" + } + }, + "CLKOUTDCM0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8458.12-8458.22" + } + }, + "CLKOUTDCM1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8459.12-8459.22" + } + }, + "CLKOUTDCM2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8460.12-8460.22" + } + }, + "CLKOUTDCM3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8461.12-8461.22" + } + }, + "CLKOUTDCM4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8462.12-8462.22" + } + }, + "CLKOUTDCM5": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8463.12-8463.22" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 59, 60, 61, 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8477.17-8477.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8471.11-8471.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8472.11-8472.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8476.18-8476.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8466.19-8466.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8464.12-8464.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8473.11-8473.14" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8465.12-8465.18" + } + }, + "REL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8474.11-8474.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8475.11-8475.14" + } + } + } + }, + "PLL_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8480.1-8519.10" + }, + "parameter_default_values": { + "BANDWIDTH": "OPTIMIZED", + "CLKFBOUT_MULT": "00000000000000000000000000000001", + "CLKOUT0_DIVIDE": "00000000000000000000000000000001", + "CLKOUT1_DIVIDE": "00000000000000000000000000000001", + "CLKOUT2_DIVIDE": "00000000000000000000000000000001", + "CLKOUT3_DIVIDE": "00000000000000000000000000000001", + "CLKOUT4_DIVIDE": "00000000000000000000000000000001", + "CLKOUT5_DIVIDE": "00000000000000000000000000000001", + "CLK_FEEDBACK": "CLKFBOUT", + "COMPENSATION": "SYSTEM_SYNCHRONOUS", + "DIVCLK_DIVIDE": "00000000000000000000000000000001", + "RESET_ON_LOSS_OF_LOCK": "FALSE" + }, + "ports": { + "CLKFBOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUT0": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUT1": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUT2": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKOUT3": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKOUT4": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKOUT5": { + "direction": "output", + "bits": [ 8 ] + }, + "LOCKED": { + "direction": "output", + "bits": [ 9 ] + }, + "CLKFBIN": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 11 ] + }, + "RST": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFBIN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8516.11-8516.18" + } + }, + "CLKFBOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8508.12-8508.20" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8517.11-8517.16" + } + }, + "CLKOUT0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8509.12-8509.19" + } + }, + "CLKOUT1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8510.12-8510.19" + } + }, + "CLKOUT2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8511.12-8511.19" + } + }, + "CLKOUT3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8512.12-8512.19" + } + }, + "CLKOUT4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8513.12-8513.19" + } + }, + "CLKOUT5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8514.12-8514.19" + } + }, + "LOCKED": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8515.12-8515.18" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8518.11-8518.14" + } + } + } + }, + "PMCD": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8386.1-8402.10" + }, + "parameter_default_values": { + "EN_REL": "FALSE", + "RST_DEASSERT_CLK": "CLKA" + }, + "ports": { + "CLKA1": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKA1D2": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKA1D4": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKA1D8": { + "direction": "output", + "bits": [ 5 ] + }, + "CLKB1": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKC1": { + "direction": "output", + "bits": [ 7 ] + }, + "CLKD1": { + "direction": "output", + "bits": [ 8 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 9 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKC": { + "direction": "input", + "bits": [ 11 ] + }, + "CLKD": { + "direction": "input", + "bits": [ 12 ] + }, + "REL": { + "direction": "input", + "bits": [ 13 ] + }, + "RST": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "CLKA": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8396.11-8396.15" + } + }, + "CLKA1": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8389.12-8389.17" + } + }, + "CLKA1D2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8390.12-8390.19" + } + }, + "CLKA1D4": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8391.12-8391.19" + } + }, + "CLKA1D8": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8392.12-8392.19" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8397.11-8397.15" + } + }, + "CLKB1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8393.12-8393.17" + } + }, + "CLKC": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8398.11-8398.15" + } + }, + "CLKC1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8394.12-8394.17" + } + }, + "CLKD": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8399.11-8399.15" + } + }, + "CLKD1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8395.12-8395.17" + } + }, + "REL": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8400.11-8400.14" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:8401.11-8401.14" + } + } + } + }, + "POST_CRC_INTERNAL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9953.1-9955.10" + }, + "ports": { + "CRCERROR": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "CRCERROR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9954.12-9954.20" + } + } + } + }, + "PPC405_ADV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31094.1-31298.10" + }, + "parameter_default_values": { + "in_delay": "00000000000000000000000001100100", + "out_delay": "00000000000000000000000001100100" + }, + "ports": { + "APUFCMDECODED": { + "direction": "output", + "bits": [ 2 ] + }, + "APUFCMDECUDIVALID": { + "direction": "output", + "bits": [ 3 ] + }, + "APUFCMENDIAN": { + "direction": "output", + "bits": [ 4 ] + }, + "APUFCMFLUSH": { + "direction": "output", + "bits": [ 5 ] + }, + "APUFCMINSTRVALID": { + "direction": "output", + "bits": [ 6 ] + }, + "APUFCMLOADDVALID": { + "direction": "output", + "bits": [ 7 ] + }, + "APUFCMOPERANDVALID": { + "direction": "output", + "bits": [ 8 ] + }, + "APUFCMWRITEBACKOK": { + "direction": "output", + "bits": [ 9 ] + }, + "APUFCMXERCA": { + "direction": "output", + "bits": [ 10 ] + }, + "C405CPMCORESLEEPREQ": { + "direction": "output", + "bits": [ 11 ] + }, + "C405CPMMSRCE": { + "direction": "output", + "bits": [ 12 ] + }, + "C405CPMMSREE": { + "direction": "output", + "bits": [ 13 ] + }, + "C405CPMTIMERIRQ": { + "direction": "output", + "bits": [ 14 ] + }, + "C405CPMTIMERRESETREQ": { + "direction": "output", + "bits": [ 15 ] + }, + "C405DBGLOADDATAONAPUDBUS": { + "direction": "output", + "bits": [ 16 ] + }, + "C405DBGMSRWE": { + "direction": "output", + "bits": [ 17 ] + }, + "C405DBGSTOPACK": { + "direction": "output", + "bits": [ 18 ] + }, + "C405DBGWBCOMPLETE": { + "direction": "output", + "bits": [ 19 ] + }, + "C405DBGWBFULL": { + "direction": "output", + "bits": [ 20 ] + }, + "C405JTGCAPTUREDR": { + "direction": "output", + "bits": [ 21 ] + }, + "C405JTGEXTEST": { + "direction": "output", + "bits": [ 22 ] + }, + "C405JTGPGMOUT": { + "direction": "output", + "bits": [ 23 ] + }, + "C405JTGSHIFTDR": { + "direction": "output", + "bits": [ 24 ] + }, + "C405JTGTDO": { + "direction": "output", + "bits": [ 25 ] + }, + "C405JTGTDOEN": { + "direction": "output", + "bits": [ 26 ] + }, + "C405JTGUPDATEDR": { + "direction": "output", + "bits": [ 27 ] + }, + "C405PLBDCUABORT": { + "direction": "output", + "bits": [ 28 ] + }, + "C405PLBDCUCACHEABLE": { + "direction": "output", + "bits": [ 29 ] + }, + "C405PLBDCUGUARDED": { + "direction": "output", + "bits": [ 30 ] + }, + "C405PLBDCUREQUEST": { + "direction": "output", + "bits": [ 31 ] + }, + "C405PLBDCURNW": { + "direction": "output", + "bits": [ 32 ] + }, + "C405PLBDCUSIZE2": { + "direction": "output", + "bits": [ 33 ] + }, + "C405PLBDCUU0ATTR": { + "direction": "output", + "bits": [ 34 ] + }, + "C405PLBDCUWRITETHRU": { + "direction": "output", + "bits": [ 35 ] + }, + "C405PLBICUABORT": { + "direction": "output", + "bits": [ 36 ] + }, + "C405PLBICUCACHEABLE": { + "direction": "output", + "bits": [ 37 ] + }, + "C405PLBICUREQUEST": { + "direction": "output", + "bits": [ 38 ] + }, + "C405PLBICUU0ATTR": { + "direction": "output", + "bits": [ 39 ] + }, + "C405RSTCHIPRESETREQ": { + "direction": "output", + "bits": [ 40 ] + }, + "C405RSTCORERESETREQ": { + "direction": "output", + "bits": [ 41 ] + }, + "C405RSTSYSRESETREQ": { + "direction": "output", + "bits": [ 42 ] + }, + "C405TRCCYCLE": { + "direction": "output", + "bits": [ 43 ] + }, + "C405TRCTRIGGEREVENTOUT": { + "direction": "output", + "bits": [ 44 ] + }, + "C405XXXMACHINECHECK": { + "direction": "output", + "bits": [ 45 ] + }, + "DCREMACCLK": { + "direction": "output", + "bits": [ 46 ] + }, + "DCREMACENABLER": { + "direction": "output", + "bits": [ 47 ] + }, + "DCREMACREAD": { + "direction": "output", + "bits": [ 48 ] + }, + "DCREMACWRITE": { + "direction": "output", + "bits": [ 49 ] + }, + "DSOCMBRAMEN": { + "direction": "output", + "bits": [ 50 ] + }, + "DSOCMBUSY": { + "direction": "output", + "bits": [ 51 ] + }, + "DSOCMRDADDRVALID": { + "direction": "output", + "bits": [ 52 ] + }, + "DSOCMWRADDRVALID": { + "direction": "output", + "bits": [ 53 ] + }, + "EXTDCRREAD": { + "direction": "output", + "bits": [ 54 ] + }, + "EXTDCRWRITE": { + "direction": "output", + "bits": [ 55 ] + }, + "ISOCMBRAMEN": { + "direction": "output", + "bits": [ 56 ] + }, + "ISOCMBRAMEVENWRITEEN": { + "direction": "output", + "bits": [ 57 ] + }, + "ISOCMBRAMODDWRITEEN": { + "direction": "output", + "bits": [ 58 ] + }, + "ISOCMDCRBRAMEVENEN": { + "direction": "output", + "bits": [ 59 ] + }, + "ISOCMDCRBRAMODDEN": { + "direction": "output", + "bits": [ 60 ] + }, + "ISOCMDCRBRAMRDSELECT": { + "direction": "output", + "bits": [ 61 ] + }, + "C405TRCTRIGGEREVENTTYPE": { + "direction": "output", + "upto": 1, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72 ] + }, + "C405PLBDCUPRIORITY": { + "direction": "output", + "upto": 1, + "bits": [ 73, 74 ] + }, + "C405PLBICUPRIORITY": { + "direction": "output", + "upto": 1, + "bits": [ 75, 76 ] + }, + "C405TRCEVENEXECUTIONSTATUS": { + "direction": "output", + "upto": 1, + "bits": [ 77, 78 ] + }, + "C405TRCODDEXECUTIONSTATUS": { + "direction": "output", + "upto": 1, + "bits": [ 79, 80 ] + }, + "C405DBGWBIAR": { + "direction": "output", + "upto": 1, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ] + }, + "C405PLBICUABUS": { + "direction": "output", + "upto": 1, + "bits": [ 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "APUFCMDECUDI": { + "direction": "output", + "upto": 1, + "bits": [ 141, 142, 143 ] + }, + "APUFCMINSTRUCTION": { + "direction": "output", + "upto": 1, + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175 ] + }, + "APUFCMLOADDATA": { + "direction": "output", + "upto": 1, + "bits": [ 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ] + }, + "APUFCMRADATA": { + "direction": "output", + "upto": 1, + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239 ] + }, + "APUFCMRBDATA": { + "direction": "output", + "upto": 1, + "bits": [ 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271 ] + }, + "C405PLBDCUABUS": { + "direction": "output", + "upto": 1, + "bits": [ 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "DCREMACDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335 ] + }, + "DSOCMBRAMWRDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367 ] + }, + "EXTDCRDBUSOUT": { + "direction": "output", + "upto": 1, + "bits": [ 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399 ] + }, + "ISOCMBRAMWRDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431 ] + }, + "APUFCMLOADBYTEEN": { + "direction": "output", + "upto": 1, + "bits": [ 432, 433, 434, 435 ] + }, + "C405TRCTRACESTATUS": { + "direction": "output", + "upto": 1, + "bits": [ 436, 437, 438, 439 ] + }, + "DSOCMBRAMBYTEWRITE": { + "direction": "output", + "upto": 1, + "bits": [ 440, 441, 442, 443 ] + }, + "C405PLBDCUWRDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507 ] + }, + "C405PLBDCUBE": { + "direction": "output", + "upto": 1, + "bits": [ 508, 509, 510, 511, 512, 513, 514, 515 ] + }, + "EXTDCRABUS": { + "direction": "output", + "upto": 1, + "bits": [ 516, 517, 518, 519, 520, 521, 522, 523, 524, 525 ] + }, + "C405PLBICUSIZE": { + "direction": "output", + "offset": 2, + "upto": 1, + "bits": [ 526, 527 ] + }, + "ISOCMBRAMRDABUS": { + "direction": "output", + "offset": 8, + "upto": 1, + "bits": [ 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548 ] + }, + "ISOCMBRAMWRABUS": { + "direction": "output", + "offset": 8, + "upto": 1, + "bits": [ 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569 ] + }, + "DSOCMBRAMABUS": { + "direction": "output", + "offset": 8, + "upto": 1, + "bits": [ 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591 ] + }, + "DCREMACABUS": { + "direction": "output", + "offset": 8, + "upto": 1, + "bits": [ 592, 593 ] + }, + "BRAMDSOCMCLK": { + "direction": "input", + "bits": [ 594 ] + }, + "BRAMISOCMCLK": { + "direction": "input", + "bits": [ 595 ] + }, + "CPMC405CLOCK": { + "direction": "input", + "bits": [ 596 ] + }, + "CPMC405CORECLKINACTIVE": { + "direction": "input", + "bits": [ 597 ] + }, + "CPMC405CPUCLKEN": { + "direction": "input", + "bits": [ 598 ] + }, + "CPMC405JTAGCLKEN": { + "direction": "input", + "bits": [ 599 ] + }, + "CPMC405SYNCBYPASS": { + "direction": "input", + "bits": [ 600 ] + }, + "CPMC405TIMERCLKEN": { + "direction": "input", + "bits": [ 601 ] + }, + "CPMC405TIMERTICK": { + "direction": "input", + "bits": [ 602 ] + }, + "CPMDCRCLK": { + "direction": "input", + "bits": [ 603 ] + }, + "CPMFCMCLK": { + "direction": "input", + "bits": [ 604 ] + }, + "DBGC405DEBUGHALT": { + "direction": "input", + "bits": [ 605 ] + }, + "DBGC405EXTBUSHOLDACK": { + "direction": "input", + "bits": [ 606 ] + }, + "DBGC405UNCONDDEBUGEVENT": { + "direction": "input", + "bits": [ 607 ] + }, + "DSOCMRWCOMPLETE": { + "direction": "input", + "bits": [ 608 ] + }, + "EICC405CRITINPUTIRQ": { + "direction": "input", + "bits": [ 609 ] + }, + "EICC405EXTINPUTIRQ": { + "direction": "input", + "bits": [ 610 ] + }, + "EMACDCRACK": { + "direction": "input", + "bits": [ 611 ] + }, + "EXTDCRACK": { + "direction": "input", + "bits": [ 612 ] + }, + "FCMAPUDCDCREN": { + "direction": "input", + "bits": [ 613 ] + }, + "FCMAPUDCDFORCEALIGN": { + "direction": "input", + "bits": [ 614 ] + }, + "FCMAPUDCDFORCEBESTEERING": { + "direction": "input", + "bits": [ 615 ] + }, + "FCMAPUDCDFPUOP": { + "direction": "input", + "bits": [ 616 ] + }, + "FCMAPUDCDGPRWRITE": { + "direction": "input", + "bits": [ 617 ] + }, + "FCMAPUDCDLDSTBYTE": { + "direction": "input", + "bits": [ 618 ] + }, + "FCMAPUDCDLDSTDW": { + "direction": "input", + "bits": [ 619 ] + }, + "FCMAPUDCDLDSTHW": { + "direction": "input", + "bits": [ 620 ] + }, + "FCMAPUDCDLDSTQW": { + "direction": "input", + "bits": [ 621 ] + }, + "FCMAPUDCDLDSTWD": { + "direction": "input", + "bits": [ 622 ] + }, + "FCMAPUDCDLOAD": { + "direction": "input", + "bits": [ 623 ] + }, + "FCMAPUDCDPRIVOP": { + "direction": "input", + "bits": [ 624 ] + }, + "FCMAPUDCDRAEN": { + "direction": "input", + "bits": [ 625 ] + }, + "FCMAPUDCDRBEN": { + "direction": "input", + "bits": [ 626 ] + }, + "FCMAPUDCDSTORE": { + "direction": "input", + "bits": [ 627 ] + }, + "FCMAPUDCDTRAPBE": { + "direction": "input", + "bits": [ 628 ] + }, + "FCMAPUDCDTRAPLE": { + "direction": "input", + "bits": [ 629 ] + }, + "FCMAPUDCDUPDATE": { + "direction": "input", + "bits": [ 630 ] + }, + "FCMAPUDCDXERCAEN": { + "direction": "input", + "bits": [ 631 ] + }, + "FCMAPUDCDXEROVEN": { + "direction": "input", + "bits": [ 632 ] + }, + "FCMAPUDECODEBUSY": { + "direction": "input", + "bits": [ 633 ] + }, + "FCMAPUDONE": { + "direction": "input", + "bits": [ 634 ] + }, + "FCMAPUEXCEPTION": { + "direction": "input", + "bits": [ 635 ] + }, + "FCMAPUEXEBLOCKINGMCO": { + "direction": "input", + "bits": [ 636 ] + }, + "FCMAPUEXENONBLOCKINGMCO": { + "direction": "input", + "bits": [ 637 ] + }, + "FCMAPUINSTRACK": { + "direction": "input", + "bits": [ 638 ] + }, + "FCMAPULOADWAIT": { + "direction": "input", + "bits": [ 639 ] + }, + "FCMAPURESULTVALID": { + "direction": "input", + "bits": [ 640 ] + }, + "FCMAPUSLEEPNOTREADY": { + "direction": "input", + "bits": [ 641 ] + }, + "FCMAPUXERCA": { + "direction": "input", + "bits": [ 642 ] + }, + "FCMAPUXEROV": { + "direction": "input", + "bits": [ 643 ] + }, + "JTGC405BNDSCANTDO": { + "direction": "input", + "bits": [ 644 ] + }, + "JTGC405TCK": { + "direction": "input", + "bits": [ 645 ] + }, + "JTGC405TDI": { + "direction": "input", + "bits": [ 646 ] + }, + "JTGC405TMS": { + "direction": "input", + "bits": [ 647 ] + }, + "JTGC405TRSTNEG": { + "direction": "input", + "bits": [ 648 ] + }, + "MCBCPUCLKEN": { + "direction": "input", + "bits": [ 649 ] + }, + "MCBJTAGEN": { + "direction": "input", + "bits": [ 650 ] + }, + "MCBTIMEREN": { + "direction": "input", + "bits": [ 651 ] + }, + "MCPPCRST": { + "direction": "input", + "bits": [ 652 ] + }, + "PLBC405DCUADDRACK": { + "direction": "input", + "bits": [ 653 ] + }, + "PLBC405DCUBUSY": { + "direction": "input", + "bits": [ 654 ] + }, + "PLBC405DCUERR": { + "direction": "input", + "bits": [ 655 ] + }, + "PLBC405DCURDDACK": { + "direction": "input", + "bits": [ 656 ] + }, + "PLBC405DCUSSIZE1": { + "direction": "input", + "bits": [ 657 ] + }, + "PLBC405DCUWRDACK": { + "direction": "input", + "bits": [ 658 ] + }, + "PLBC405ICUADDRACK": { + "direction": "input", + "bits": [ 659 ] + }, + "PLBC405ICUBUSY": { + "direction": "input", + "bits": [ 660 ] + }, + "PLBC405ICUERR": { + "direction": "input", + "bits": [ 661 ] + }, + "PLBC405ICURDDACK": { + "direction": "input", + "bits": [ 662 ] + }, + "PLBC405ICUSSIZE1": { + "direction": "input", + "bits": [ 663 ] + }, + "PLBCLK": { + "direction": "input", + "bits": [ 664 ] + }, + "RSTC405RESETCHIP": { + "direction": "input", + "bits": [ 665 ] + }, + "RSTC405RESETCORE": { + "direction": "input", + "bits": [ 666 ] + }, + "RSTC405RESETSYS": { + "direction": "input", + "bits": [ 667 ] + }, + "TIEC405DETERMINISTICMULT": { + "direction": "input", + "bits": [ 668 ] + }, + "TIEC405DISOPERANDFWD": { + "direction": "input", + "bits": [ 669 ] + }, + "TIEC405MMUEN": { + "direction": "input", + "bits": [ 670 ] + }, + "TIEPVRBIT10": { + "direction": "input", + "bits": [ 671 ] + }, + "TIEPVRBIT11": { + "direction": "input", + "bits": [ 672 ] + }, + "TIEPVRBIT28": { + "direction": "input", + "bits": [ 673 ] + }, + "TIEPVRBIT29": { + "direction": "input", + "bits": [ 674 ] + }, + "TIEPVRBIT30": { + "direction": "input", + "bits": [ 675 ] + }, + "TIEPVRBIT31": { + "direction": "input", + "bits": [ 676 ] + }, + "TIEPVRBIT8": { + "direction": "input", + "bits": [ 677 ] + }, + "TIEPVRBIT9": { + "direction": "input", + "bits": [ 678 ] + }, + "TRCC405TRACEDISABLE": { + "direction": "input", + "bits": [ 679 ] + }, + "TRCC405TRIGGEREVENTIN": { + "direction": "input", + "bits": [ 680 ] + }, + "TIEAPUCONTROL": { + "direction": "input", + "upto": 1, + "bits": [ 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696 ] + }, + "TIEAPUUDI1": { + "direction": "input", + "upto": 1, + "bits": [ 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720 ] + }, + "TIEAPUUDI2": { + "direction": "input", + "upto": 1, + "bits": [ 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744 ] + }, + "TIEAPUUDI3": { + "direction": "input", + "upto": 1, + "bits": [ 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768 ] + }, + "TIEAPUUDI4": { + "direction": "input", + "upto": 1, + "bits": [ 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792 ] + }, + "TIEAPUUDI5": { + "direction": "input", + "upto": 1, + "bits": [ 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816 ] + }, + "TIEAPUUDI6": { + "direction": "input", + "upto": 1, + "bits": [ 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840 ] + }, + "TIEAPUUDI7": { + "direction": "input", + "upto": 1, + "bits": [ 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864 ] + }, + "TIEAPUUDI8": { + "direction": "input", + "upto": 1, + "bits": [ 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888 ] + }, + "FCMAPUEXECRFIELD": { + "direction": "input", + "upto": 1, + "bits": [ 889, 890, 891 ] + }, + "BRAMDSOCMRDDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923 ] + }, + "BRAMISOCMDCRRDDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955 ] + }, + "EMACDCRDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987 ] + }, + "EXTDCRDBUSIN": { + "direction": "input", + "upto": 1, + "bits": [ 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019 ] + }, + "FCMAPURESULT": { + "direction": "input", + "upto": 1, + "bits": [ 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051 ] + }, + "FCMAPUCR": { + "direction": "input", + "upto": 1, + "bits": [ 1052, 1053, 1054, 1055 ] + }, + "TIEDCRADDR": { + "direction": "input", + "upto": 1, + "bits": [ 1056, 1057, 1058, 1059, 1060, 1061 ] + }, + "BRAMISOCMRDDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125 ] + }, + "PLBC405DCURDDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189 ] + }, + "PLBC405ICURDDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253 ] + }, + "DSARCVALUE": { + "direction": "input", + "upto": 1, + "bits": [ 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261 ] + }, + "DSCNTLVALUE": { + "direction": "input", + "upto": 1, + "bits": [ 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269 ] + }, + "ISARCVALUE": { + "direction": "input", + "upto": 1, + "bits": [ 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277 ] + }, + "ISCNTLVALUE": { + "direction": "input", + "upto": 1, + "bits": [ 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285 ] + }, + "PLBC405DCURDWDADDR": { + "direction": "input", + "offset": 1, + "upto": 1, + "bits": [ 1286, 1287, 1288 ] + }, + "PLBC405ICURDWDADDR": { + "direction": "input", + "offset": 1, + "upto": 1, + "bits": [ 1289, 1290, 1291 ] + } + }, + "cells": { + }, + "netnames": { + "APUFCMDECODED": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31097.12-31097.25" + } + }, + "APUFCMDECUDI": { + "hide_name": 0, + "bits": [ 141, 142, 143 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31164.18-31164.30" + } + }, + "APUFCMDECUDIVALID": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31098.12-31098.29" + } + }, + "APUFCMENDIAN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31099.12-31099.24" + } + }, + "APUFCMFLUSH": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31100.12-31100.23" + } + }, + "APUFCMINSTRUCTION": { + "hide_name": 0, + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31165.19-31165.36" + } + }, + "APUFCMINSTRVALID": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31101.12-31101.28" + } + }, + "APUFCMLOADBYTEEN": { + "hide_name": 0, + "bits": [ 432, 433, 434, 435 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31174.18-31174.34" + } + }, + "APUFCMLOADDATA": { + "hide_name": 0, + "bits": [ 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31166.19-31166.33" + } + }, + "APUFCMLOADDVALID": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31102.12-31102.28" + } + }, + "APUFCMOPERANDVALID": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31103.12-31103.30" + } + }, + "APUFCMRADATA": { + "hide_name": 0, + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31167.19-31167.31" + } + }, + "APUFCMRBDATA": { + "hide_name": 0, + "bits": [ 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31168.19-31168.31" + } + }, + "APUFCMWRITEBACKOK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31104.12-31104.29" + } + }, + "APUFCMXERCA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31105.12-31105.23" + } + }, + "BRAMDSOCMCLK": { + "hide_name": 0, + "bits": [ 594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31185.11-31185.23" + } + }, + "BRAMDSOCMRDDBUS": { + "hide_name": 0, + "bits": [ 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31282.18-31282.33" + } + }, + "BRAMISOCMCLK": { + "hide_name": 0, + "bits": [ 595 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31186.11-31186.23" + } + }, + "BRAMISOCMDCRRDDBUS": { + "hide_name": 0, + "bits": [ 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31283.18-31283.36" + } + }, + "BRAMISOCMRDDBUS": { + "hide_name": 0, + "bits": [ 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31289.18-31289.33" + } + }, + "C405CPMCORESLEEPREQ": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31106.12-31106.31" + } + }, + "C405CPMMSRCE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31107.12-31107.24" + } + }, + "C405CPMMSREE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31108.12-31108.24" + } + }, + "C405CPMTIMERIRQ": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31109.12-31109.27" + } + }, + "C405CPMTIMERRESETREQ": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31110.12-31110.32" + } + }, + "C405DBGLOADDATAONAPUDBUS": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31111.12-31111.36" + } + }, + "C405DBGMSRWE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31112.12-31112.24" + } + }, + "C405DBGSTOPACK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31113.12-31113.26" + } + }, + "C405DBGWBCOMPLETE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31114.12-31114.29" + } + }, + "C405DBGWBFULL": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31115.12-31115.25" + } + }, + "C405DBGWBIAR": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31162.19-31162.31" + } + }, + "C405JTGCAPTUREDR": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31116.12-31116.28" + } + }, + "C405JTGEXTEST": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31117.12-31117.25" + } + }, + "C405JTGPGMOUT": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31118.12-31118.25" + } + }, + "C405JTGSHIFTDR": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31119.12-31119.26" + } + }, + "C405JTGTDO": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31120.12-31120.22" + } + }, + "C405JTGTDOEN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31121.12-31121.24" + } + }, + "C405JTGUPDATEDR": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31122.12-31122.27" + } + }, + "C405PLBDCUABORT": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31123.12-31123.27" + } + }, + "C405PLBDCUABUS": { + "hide_name": 0, + "bits": [ 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31169.19-31169.33" + } + }, + "C405PLBDCUBE": { + "hide_name": 0, + "bits": [ 508, 509, 510, 511, 512, 513, 514, 515 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31178.18-31178.30" + } + }, + "C405PLBDCUCACHEABLE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31124.12-31124.31" + } + }, + "C405PLBDCUGUARDED": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31125.12-31125.29" + } + }, + "C405PLBDCUPRIORITY": { + "hide_name": 0, + "bits": [ 73, 74 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31158.18-31158.36" + } + }, + "C405PLBDCUREQUEST": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31126.12-31126.29" + } + }, + "C405PLBDCURNW": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31127.12-31127.25" + } + }, + "C405PLBDCUSIZE2": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31128.12-31128.27" + } + }, + "C405PLBDCUU0ATTR": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31129.12-31129.28" + } + }, + "C405PLBDCUWRDBUS": { + "hide_name": 0, + "bits": [ 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31177.19-31177.35" + } + }, + "C405PLBDCUWRITETHRU": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31130.12-31130.31" + } + }, + "C405PLBICUABORT": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31131.12-31131.27" + } + }, + "C405PLBICUABUS": { + "hide_name": 0, + "bits": [ 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31163.19-31163.33" + } + }, + "C405PLBICUCACHEABLE": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31132.12-31132.31" + } + }, + "C405PLBICUPRIORITY": { + "hide_name": 0, + "bits": [ 75, 76 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31159.18-31159.36" + } + }, + "C405PLBICUREQUEST": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31133.12-31133.29" + } + }, + "C405PLBICUSIZE": { + "hide_name": 0, + "bits": [ 526, 527 ], + "offset": 2, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31180.18-31180.32" + } + }, + "C405PLBICUU0ATTR": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31134.12-31134.28" + } + }, + "C405RSTCHIPRESETREQ": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31135.12-31135.31" + } + }, + "C405RSTCORERESETREQ": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31136.12-31136.31" + } + }, + "C405RSTSYSRESETREQ": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31137.12-31137.30" + } + }, + "C405TRCCYCLE": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31138.12-31138.24" + } + }, + "C405TRCEVENEXECUTIONSTATUS": { + "hide_name": 0, + "bits": [ 77, 78 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31160.18-31160.44" + } + }, + "C405TRCODDEXECUTIONSTATUS": { + "hide_name": 0, + "bits": [ 79, 80 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31161.18-31161.43" + } + }, + "C405TRCTRACESTATUS": { + "hide_name": 0, + "bits": [ 436, 437, 438, 439 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31175.18-31175.36" + } + }, + "C405TRCTRIGGEREVENTOUT": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31139.12-31139.34" + } + }, + "C405TRCTRIGGEREVENTTYPE": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31157.19-31157.42" + } + }, + "C405XXXMACHINECHECK": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31140.12-31140.31" + } + }, + "CPMC405CLOCK": { + "hide_name": 0, + "bits": [ 596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31187.11-31187.23" + } + }, + "CPMC405CORECLKINACTIVE": { + "hide_name": 0, + "bits": [ 597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31188.11-31188.33" + } + }, + "CPMC405CPUCLKEN": { + "hide_name": 0, + "bits": [ 598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31189.11-31189.26" + } + }, + "CPMC405JTAGCLKEN": { + "hide_name": 0, + "bits": [ 599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31190.11-31190.27" + } + }, + "CPMC405SYNCBYPASS": { + "hide_name": 0, + "bits": [ 600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31191.11-31191.28" + } + }, + "CPMC405TIMERCLKEN": { + "hide_name": 0, + "bits": [ 601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31192.11-31192.28" + } + }, + "CPMC405TIMERTICK": { + "hide_name": 0, + "bits": [ 602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31193.11-31193.27" + } + }, + "CPMDCRCLK": { + "hide_name": 0, + "bits": [ 603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31194.11-31194.20" + } + }, + "CPMFCMCLK": { + "hide_name": 0, + "bits": [ 604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31195.11-31195.20" + } + }, + "DBGC405DEBUGHALT": { + "hide_name": 0, + "bits": [ 605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31196.11-31196.27" + } + }, + "DBGC405EXTBUSHOLDACK": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31197.11-31197.31" + } + }, + "DBGC405UNCONDDEBUGEVENT": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31198.11-31198.34" + } + }, + "DCREMACABUS": { + "hide_name": 0, + "bits": [ 592, 593 ], + "offset": 8, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31184.18-31184.29" + } + }, + "DCREMACCLK": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31141.12-31141.22" + } + }, + "DCREMACDBUS": { + "hide_name": 0, + "bits": [ 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31170.19-31170.30" + } + }, + "DCREMACENABLER": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31142.12-31142.26" + } + }, + "DCREMACREAD": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31143.12-31143.23" + } + }, + "DCREMACWRITE": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31144.12-31144.24" + } + }, + "DSARCVALUE": { + "hide_name": 0, + "bits": [ 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31292.17-31292.27" + } + }, + "DSCNTLVALUE": { + "hide_name": 0, + "bits": [ 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31293.17-31293.28" + } + }, + "DSOCMBRAMABUS": { + "hide_name": 0, + "bits": [ 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591 ], + "offset": 8, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31183.19-31183.32" + } + }, + "DSOCMBRAMBYTEWRITE": { + "hide_name": 0, + "bits": [ 440, 441, 442, 443 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31176.18-31176.36" + } + }, + "DSOCMBRAMEN": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31145.12-31145.23" + } + }, + "DSOCMBRAMWRDBUS": { + "hide_name": 0, + "bits": [ 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31171.19-31171.34" + } + }, + "DSOCMBUSY": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31146.12-31146.21" + } + }, + "DSOCMRDADDRVALID": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31147.12-31147.28" + } + }, + "DSOCMRWCOMPLETE": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31199.11-31199.26" + } + }, + "DSOCMWRADDRVALID": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31148.12-31148.28" + } + }, + "EICC405CRITINPUTIRQ": { + "hide_name": 0, + "bits": [ 609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31200.11-31200.30" + } + }, + "EICC405EXTINPUTIRQ": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31201.11-31201.29" + } + }, + "EMACDCRACK": { + "hide_name": 0, + "bits": [ 611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31202.11-31202.21" + } + }, + "EMACDCRDBUS": { + "hide_name": 0, + "bits": [ 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31284.18-31284.29" + } + }, + "EXTDCRABUS": { + "hide_name": 0, + "bits": [ 516, 517, 518, 519, 520, 521, 522, 523, 524, 525 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31179.18-31179.28" + } + }, + "EXTDCRACK": { + "hide_name": 0, + "bits": [ 612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31203.11-31203.20" + } + }, + "EXTDCRDBUSIN": { + "hide_name": 0, + "bits": [ 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31285.18-31285.30" + } + }, + "EXTDCRDBUSOUT": { + "hide_name": 0, + "bits": [ 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31172.19-31172.32" + } + }, + "EXTDCRREAD": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31149.12-31149.22" + } + }, + "EXTDCRWRITE": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31150.12-31150.23" + } + }, + "FCMAPUCR": { + "hide_name": 0, + "bits": [ 1052, 1053, 1054, 1055 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31287.17-31287.25" + } + }, + "FCMAPUDCDCREN": { + "hide_name": 0, + "bits": [ 613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31204.11-31204.24" + } + }, + "FCMAPUDCDFORCEALIGN": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31205.11-31205.30" + } + }, + "FCMAPUDCDFORCEBESTEERING": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31206.11-31206.35" + } + }, + "FCMAPUDCDFPUOP": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31207.11-31207.25" + } + }, + "FCMAPUDCDGPRWRITE": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31208.11-31208.28" + } + }, + "FCMAPUDCDLDSTBYTE": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31209.11-31209.28" + } + }, + "FCMAPUDCDLDSTDW": { + "hide_name": 0, + "bits": [ 619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31210.11-31210.26" + } + }, + "FCMAPUDCDLDSTHW": { + "hide_name": 0, + "bits": [ 620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31211.11-31211.26" + } + }, + "FCMAPUDCDLDSTQW": { + "hide_name": 0, + "bits": [ 621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31212.11-31212.26" + } + }, + "FCMAPUDCDLDSTWD": { + "hide_name": 0, + "bits": [ 622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31213.11-31213.26" + } + }, + "FCMAPUDCDLOAD": { + "hide_name": 0, + "bits": [ 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31214.11-31214.24" + } + }, + "FCMAPUDCDPRIVOP": { + "hide_name": 0, + "bits": [ 624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31215.11-31215.26" + } + }, + "FCMAPUDCDRAEN": { + "hide_name": 0, + "bits": [ 625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31216.11-31216.24" + } + }, + "FCMAPUDCDRBEN": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31217.11-31217.24" + } + }, + "FCMAPUDCDSTORE": { + "hide_name": 0, + "bits": [ 627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31218.11-31218.25" + } + }, + "FCMAPUDCDTRAPBE": { + "hide_name": 0, + "bits": [ 628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31219.11-31219.26" + } + }, + "FCMAPUDCDTRAPLE": { + "hide_name": 0, + "bits": [ 629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31220.11-31220.26" + } + }, + "FCMAPUDCDUPDATE": { + "hide_name": 0, + "bits": [ 630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31221.11-31221.26" + } + }, + "FCMAPUDCDXERCAEN": { + "hide_name": 0, + "bits": [ 631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31222.11-31222.27" + } + }, + "FCMAPUDCDXEROVEN": { + "hide_name": 0, + "bits": [ 632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31223.11-31223.27" + } + }, + "FCMAPUDECODEBUSY": { + "hide_name": 0, + "bits": [ 633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31224.11-31224.27" + } + }, + "FCMAPUDONE": { + "hide_name": 0, + "bits": [ 634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31225.11-31225.21" + } + }, + "FCMAPUEXCEPTION": { + "hide_name": 0, + "bits": [ 635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31226.11-31226.26" + } + }, + "FCMAPUEXEBLOCKINGMCO": { + "hide_name": 0, + "bits": [ 636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31227.11-31227.31" + } + }, + "FCMAPUEXECRFIELD": { + "hide_name": 0, + "bits": [ 889, 890, 891 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31281.17-31281.33" + } + }, + "FCMAPUEXENONBLOCKINGMCO": { + "hide_name": 0, + "bits": [ 637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31228.11-31228.34" + } + }, + "FCMAPUINSTRACK": { + "hide_name": 0, + "bits": [ 638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31229.11-31229.25" + } + }, + "FCMAPULOADWAIT": { + "hide_name": 0, + "bits": [ 639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31230.11-31230.25" + } + }, + "FCMAPURESULT": { + "hide_name": 0, + "bits": [ 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31286.18-31286.30" + } + }, + "FCMAPURESULTVALID": { + "hide_name": 0, + "bits": [ 640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31231.11-31231.28" + } + }, + "FCMAPUSLEEPNOTREADY": { + "hide_name": 0, + "bits": [ 641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31232.11-31232.30" + } + }, + "FCMAPUXERCA": { + "hide_name": 0, + "bits": [ 642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31233.11-31233.22" + } + }, + "FCMAPUXEROV": { + "hide_name": 0, + "bits": [ 643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31234.11-31234.22" + } + }, + "ISARCVALUE": { + "hide_name": 0, + "bits": [ 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31294.17-31294.27" + } + }, + "ISCNTLVALUE": { + "hide_name": 0, + "bits": [ 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31295.17-31295.28" + } + }, + "ISOCMBRAMEN": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31151.12-31151.23" + } + }, + "ISOCMBRAMEVENWRITEEN": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31152.12-31152.32" + } + }, + "ISOCMBRAMODDWRITEEN": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31153.12-31153.31" + } + }, + "ISOCMBRAMRDABUS": { + "hide_name": 0, + "bits": [ 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548 ], + "offset": 8, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31181.19-31181.34" + } + }, + "ISOCMBRAMWRABUS": { + "hide_name": 0, + "bits": [ 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569 ], + "offset": 8, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31182.19-31182.34" + } + }, + "ISOCMBRAMWRDBUS": { + "hide_name": 0, + "bits": [ 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31173.19-31173.34" + } + }, + "ISOCMDCRBRAMEVENEN": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31154.12-31154.30" + } + }, + "ISOCMDCRBRAMODDEN": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31155.12-31155.29" + } + }, + "ISOCMDCRBRAMRDSELECT": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31156.12-31156.32" + } + }, + "JTGC405BNDSCANTDO": { + "hide_name": 0, + "bits": [ 644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31235.11-31235.28" + } + }, + "JTGC405TCK": { + "hide_name": 0, + "bits": [ 645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31236.11-31236.21" + } + }, + "JTGC405TDI": { + "hide_name": 0, + "bits": [ 646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31237.11-31237.21" + } + }, + "JTGC405TMS": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31238.11-31238.21" + } + }, + "JTGC405TRSTNEG": { + "hide_name": 0, + "bits": [ 648 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31239.11-31239.25" + } + }, + "MCBCPUCLKEN": { + "hide_name": 0, + "bits": [ 649 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31240.11-31240.22" + } + }, + "MCBJTAGEN": { + "hide_name": 0, + "bits": [ 650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31241.11-31241.20" + } + }, + "MCBTIMEREN": { + "hide_name": 0, + "bits": [ 651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31242.11-31242.21" + } + }, + "MCPPCRST": { + "hide_name": 0, + "bits": [ 652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31243.11-31243.19" + } + }, + "PLBC405DCUADDRACK": { + "hide_name": 0, + "bits": [ 653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31244.11-31244.28" + } + }, + "PLBC405DCUBUSY": { + "hide_name": 0, + "bits": [ 654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31245.11-31245.25" + } + }, + "PLBC405DCUERR": { + "hide_name": 0, + "bits": [ 655 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31246.11-31246.24" + } + }, + "PLBC405DCURDDACK": { + "hide_name": 0, + "bits": [ 656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31247.11-31247.27" + } + }, + "PLBC405DCURDDBUS": { + "hide_name": 0, + "bits": [ 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31290.18-31290.34" + } + }, + "PLBC405DCURDWDADDR": { + "hide_name": 0, + "bits": [ 1286, 1287, 1288 ], + "offset": 1, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31296.17-31296.35" + } + }, + "PLBC405DCUSSIZE1": { + "hide_name": 0, + "bits": [ 657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31248.11-31248.27" + } + }, + "PLBC405DCUWRDACK": { + "hide_name": 0, + "bits": [ 658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31249.11-31249.27" + } + }, + "PLBC405ICUADDRACK": { + "hide_name": 0, + "bits": [ 659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31250.11-31250.28" + } + }, + "PLBC405ICUBUSY": { + "hide_name": 0, + "bits": [ 660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31251.11-31251.25" + } + }, + "PLBC405ICUERR": { + "hide_name": 0, + "bits": [ 661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31252.11-31252.24" + } + }, + "PLBC405ICURDDACK": { + "hide_name": 0, + "bits": [ 662 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31253.11-31253.27" + } + }, + "PLBC405ICURDDBUS": { + "hide_name": 0, + "bits": [ 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31291.18-31291.34" + } + }, + "PLBC405ICURDWDADDR": { + "hide_name": 0, + "bits": [ 1289, 1290, 1291 ], + "offset": 1, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31297.17-31297.35" + } + }, + "PLBC405ICUSSIZE1": { + "hide_name": 0, + "bits": [ 663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31254.11-31254.27" + } + }, + "PLBCLK": { + "hide_name": 0, + "bits": [ 664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31255.11-31255.17" + } + }, + "RSTC405RESETCHIP": { + "hide_name": 0, + "bits": [ 665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31256.11-31256.27" + } + }, + "RSTC405RESETCORE": { + "hide_name": 0, + "bits": [ 666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31257.11-31257.27" + } + }, + "RSTC405RESETSYS": { + "hide_name": 0, + "bits": [ 667 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31258.11-31258.26" + } + }, + "TIEAPUCONTROL": { + "hide_name": 0, + "bits": [ 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31272.18-31272.31" + } + }, + "TIEAPUUDI1": { + "hide_name": 0, + "bits": [ 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31273.18-31273.28" + } + }, + "TIEAPUUDI2": { + "hide_name": 0, + "bits": [ 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31274.18-31274.28" + } + }, + "TIEAPUUDI3": { + "hide_name": 0, + "bits": [ 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31275.18-31275.28" + } + }, + "TIEAPUUDI4": { + "hide_name": 0, + "bits": [ 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31276.18-31276.28" + } + }, + "TIEAPUUDI5": { + "hide_name": 0, + "bits": [ 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31277.18-31277.28" + } + }, + "TIEAPUUDI6": { + "hide_name": 0, + "bits": [ 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31278.18-31278.28" + } + }, + "TIEAPUUDI7": { + "hide_name": 0, + "bits": [ 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31279.18-31279.28" + } + }, + "TIEAPUUDI8": { + "hide_name": 0, + "bits": [ 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31280.18-31280.28" + } + }, + "TIEC405DETERMINISTICMULT": { + "hide_name": 0, + "bits": [ 668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31259.11-31259.35" + } + }, + "TIEC405DISOPERANDFWD": { + "hide_name": 0, + "bits": [ 669 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31260.11-31260.31" + } + }, + "TIEC405MMUEN": { + "hide_name": 0, + "bits": [ 670 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31261.11-31261.23" + } + }, + "TIEDCRADDR": { + "hide_name": 0, + "bits": [ 1056, 1057, 1058, 1059, 1060, 1061 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31288.17-31288.27" + } + }, + "TIEPVRBIT10": { + "hide_name": 0, + "bits": [ 671 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31262.11-31262.22" + } + }, + "TIEPVRBIT11": { + "hide_name": 0, + "bits": [ 672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31263.11-31263.22" + } + }, + "TIEPVRBIT28": { + "hide_name": 0, + "bits": [ 673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31264.11-31264.22" + } + }, + "TIEPVRBIT29": { + "hide_name": 0, + "bits": [ 674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31265.11-31265.22" + } + }, + "TIEPVRBIT30": { + "hide_name": 0, + "bits": [ 675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31266.11-31266.22" + } + }, + "TIEPVRBIT31": { + "hide_name": 0, + "bits": [ 676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31267.11-31267.22" + } + }, + "TIEPVRBIT8": { + "hide_name": 0, + "bits": [ 677 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31268.11-31268.21" + } + }, + "TIEPVRBIT9": { + "hide_name": 0, + "bits": [ 678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31269.11-31269.21" + } + }, + "TRCC405TRACEDISABLE": { + "hide_name": 0, + "bits": [ 679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31270.11-31270.30" + } + }, + "TRCC405TRIGGEREVENTIN": { + "hide_name": 0, + "bits": [ 680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31271.11-31271.32" + } + } + } + }, + "PPC440": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31300.1-31687.10" + }, + "parameter_default_values": { + "APU_CONTROL": "00010000000000000", + "APU_UDI0": "000000000000000000000000", + "APU_UDI1": "000000000000000000000000", + "APU_UDI10": "000000000000000000000000", + "APU_UDI11": "000000000000000000000000", + "APU_UDI12": "000000000000000000000000", + "APU_UDI13": "000000000000000000000000", + "APU_UDI14": "000000000000000000000000", + "APU_UDI15": "000000000000000000000000", + "APU_UDI2": "000000000000000000000000", + "APU_UDI3": "000000000000000000000000", + "APU_UDI4": "000000000000000000000000", + "APU_UDI5": "000000000000000000000000", + "APU_UDI6": "000000000000000000000000", + "APU_UDI7": "000000000000000000000000", + "APU_UDI8": "000000000000000000000000", + "APU_UDI9": "000000000000000000000000", + "CLOCK_DELAY": "FALSE", + "DCR_AUTOLOCK_ENABLE": "TRUE", + "DMA0_CONTROL": "00000000", + "DMA0_RXCHANNELCTRL": "00000001000000010000000000000000", + "DMA0_RXIRQTIMER": "1111111111", + "DMA0_TXCHANNELCTRL": "00000001000000010000000000000000", + "DMA0_TXIRQTIMER": "1111111111", + "DMA1_CONTROL": "00000000", + "DMA1_RXCHANNELCTRL": "00000001000000010000000000000000", + "DMA1_RXIRQTIMER": "1111111111", + "DMA1_TXCHANNELCTRL": "00000001000000010000000000000000", + "DMA1_TXIRQTIMER": "1111111111", + "DMA2_CONTROL": "00000000", + "DMA2_RXCHANNELCTRL": "00000001000000010000000000000000", + "DMA2_RXIRQTIMER": "1111111111", + "DMA2_TXCHANNELCTRL": "00000001000000010000000000000000", + "DMA2_TXIRQTIMER": "1111111111", + "DMA3_CONTROL": "00000000", + "DMA3_RXCHANNELCTRL": "00000001000000010000000000000000", + "DMA3_RXIRQTIMER": "1111111111", + "DMA3_TXCHANNELCTRL": "00000001000000010000000000000000", + "DMA3_TXIRQTIMER": "1111111111", + "INTERCONNECT_IMASK": "11111111111111111111111111111111", + "INTERCONNECT_TMPL_SEL": "00111111111111111111111111111111", + "MI_ARBCONFIG": "00000000010000110010000000010000", + "MI_BANKCONFLICT_MASK": "00000000000000000000000000000000", + "MI_CONTROL": "00000000000000000000000010001111", + "MI_ROWCONFLICT_MASK": "00000000000000000000000000000000", + "PPCDM_ASYNCMODE": "FALSE", + "PPCDS_ASYNCMODE": "FALSE", + "PPCM_ARBCONFIG": "00000000010000110010000000010000", + "PPCM_CONTROL": "10000000000000000000000110011111", + "PPCM_COUNTER": "00000000000000000000010100000000", + "PPCS0_ADDRMAP_TMPL0": "11111111111111111111111111111111", + "PPCS0_ADDRMAP_TMPL1": "11111111111111111111111111111111", + "PPCS0_ADDRMAP_TMPL2": "11111111111111111111111111111111", + "PPCS0_ADDRMAP_TMPL3": "11111111111111111111111111111111", + "PPCS0_CONTROL": "10000000001100110011001101101100", + "PPCS0_WIDTH_128N64": "TRUE", + "PPCS1_ADDRMAP_TMPL0": "11111111111111111111111111111111", + "PPCS1_ADDRMAP_TMPL1": "11111111111111111111111111111111", + "PPCS1_ADDRMAP_TMPL2": "11111111111111111111111111111111", + "PPCS1_ADDRMAP_TMPL3": "11111111111111111111111111111111", + "PPCS1_CONTROL": "10000000001100110011001101101100", + "PPCS1_WIDTH_128N64": "TRUE", + "XBAR_ADDRMAP_TMPL0": "11111111111111110000000000000000", + "XBAR_ADDRMAP_TMPL1": "00000000000000000000000000000000", + "XBAR_ADDRMAP_TMPL2": "00000000000000000000000000000000", + "XBAR_ADDRMAP_TMPL3": "00000000000000000000000000000000" + }, + "ports": { + "APUFCMDECFPUOP": { + "direction": "output", + "bits": [ 2 ] + }, + "APUFCMDECLOAD": { + "direction": "output", + "bits": [ 3 ] + }, + "APUFCMDECNONAUTON": { + "direction": "output", + "bits": [ 4 ] + }, + "APUFCMDECSTORE": { + "direction": "output", + "bits": [ 5 ] + }, + "APUFCMDECUDIVALID": { + "direction": "output", + "bits": [ 6 ] + }, + "APUFCMENDIAN": { + "direction": "output", + "bits": [ 7 ] + }, + "APUFCMFLUSH": { + "direction": "output", + "bits": [ 8 ] + }, + "APUFCMINSTRVALID": { + "direction": "output", + "bits": [ 9 ] + }, + "APUFCMLOADDVALID": { + "direction": "output", + "bits": [ 10 ] + }, + "APUFCMMSRFE0": { + "direction": "output", + "bits": [ 11 ] + }, + "APUFCMMSRFE1": { + "direction": "output", + "bits": [ 12 ] + }, + "APUFCMNEXTINSTRREADY": { + "direction": "output", + "bits": [ 13 ] + }, + "APUFCMOPERANDVALID": { + "direction": "output", + "bits": [ 14 ] + }, + "APUFCMWRITEBACKOK": { + "direction": "output", + "bits": [ 15 ] + }, + "C440CPMCORESLEEPREQ": { + "direction": "output", + "bits": [ 16 ] + }, + "C440CPMDECIRPTREQ": { + "direction": "output", + "bits": [ 17 ] + }, + "C440CPMFITIRPTREQ": { + "direction": "output", + "bits": [ 18 ] + }, + "C440CPMMSRCE": { + "direction": "output", + "bits": [ 19 ] + }, + "C440CPMMSREE": { + "direction": "output", + "bits": [ 20 ] + }, + "C440CPMTIMERRESETREQ": { + "direction": "output", + "bits": [ 21 ] + }, + "C440CPMWDIRPTREQ": { + "direction": "output", + "bits": [ 22 ] + }, + "C440JTGTDO": { + "direction": "output", + "bits": [ 23 ] + }, + "C440JTGTDOEN": { + "direction": "output", + "bits": [ 24 ] + }, + "C440MACHINECHECK": { + "direction": "output", + "bits": [ 25 ] + }, + "C440RSTCHIPRESETREQ": { + "direction": "output", + "bits": [ 26 ] + }, + "C440RSTCORERESETREQ": { + "direction": "output", + "bits": [ 27 ] + }, + "C440RSTSYSTEMRESETREQ": { + "direction": "output", + "bits": [ 28 ] + }, + "C440TRCCYCLE": { + "direction": "output", + "bits": [ 29 ] + }, + "C440TRCTRIGGEREVENTOUT": { + "direction": "output", + "bits": [ 30 ] + }, + "DMA0LLRSTENGINEACK": { + "direction": "output", + "bits": [ 31 ] + }, + "DMA0LLRXDSTRDYN": { + "direction": "output", + "bits": [ 32 ] + }, + "DMA0LLTXEOFN": { + "direction": "output", + "bits": [ 33 ] + }, + "DMA0LLTXEOPN": { + "direction": "output", + "bits": [ 34 ] + }, + "DMA0LLTXSOFN": { + "direction": "output", + "bits": [ 35 ] + }, + "DMA0LLTXSOPN": { + "direction": "output", + "bits": [ 36 ] + }, + "DMA0LLTXSRCRDYN": { + "direction": "output", + "bits": [ 37 ] + }, + "DMA0RXIRQ": { + "direction": "output", + "bits": [ 38 ] + }, + "DMA0TXIRQ": { + "direction": "output", + "bits": [ 39 ] + }, + "DMA1LLRSTENGINEACK": { + "direction": "output", + "bits": [ 40 ] + }, + "DMA1LLRXDSTRDYN": { + "direction": "output", + "bits": [ 41 ] + }, + "DMA1LLTXEOFN": { + "direction": "output", + "bits": [ 42 ] + }, + "DMA1LLTXEOPN": { + "direction": "output", + "bits": [ 43 ] + }, + "DMA1LLTXSOFN": { + "direction": "output", + "bits": [ 44 ] + }, + "DMA1LLTXSOPN": { + "direction": "output", + "bits": [ 45 ] + }, + "DMA1LLTXSRCRDYN": { + "direction": "output", + "bits": [ 46 ] + }, + "DMA1RXIRQ": { + "direction": "output", + "bits": [ 47 ] + }, + "DMA1TXIRQ": { + "direction": "output", + "bits": [ 48 ] + }, + "DMA2LLRSTENGINEACK": { + "direction": "output", + "bits": [ 49 ] + }, + "DMA2LLRXDSTRDYN": { + "direction": "output", + "bits": [ 50 ] + }, + "DMA2LLTXEOFN": { + "direction": "output", + "bits": [ 51 ] + }, + "DMA2LLTXEOPN": { + "direction": "output", + "bits": [ 52 ] + }, + "DMA2LLTXSOFN": { + "direction": "output", + "bits": [ 53 ] + }, + "DMA2LLTXSOPN": { + "direction": "output", + "bits": [ 54 ] + }, + "DMA2LLTXSRCRDYN": { + "direction": "output", + "bits": [ 55 ] + }, + "DMA2RXIRQ": { + "direction": "output", + "bits": [ 56 ] + }, + "DMA2TXIRQ": { + "direction": "output", + "bits": [ 57 ] + }, + "DMA3LLRSTENGINEACK": { + "direction": "output", + "bits": [ 58 ] + }, + "DMA3LLRXDSTRDYN": { + "direction": "output", + "bits": [ 59 ] + }, + "DMA3LLTXEOFN": { + "direction": "output", + "bits": [ 60 ] + }, + "DMA3LLTXEOPN": { + "direction": "output", + "bits": [ 61 ] + }, + "DMA3LLTXSOFN": { + "direction": "output", + "bits": [ 62 ] + }, + "DMA3LLTXSOPN": { + "direction": "output", + "bits": [ 63 ] + }, + "DMA3LLTXSRCRDYN": { + "direction": "output", + "bits": [ 64 ] + }, + "DMA3RXIRQ": { + "direction": "output", + "bits": [ 65 ] + }, + "DMA3TXIRQ": { + "direction": "output", + "bits": [ 66 ] + }, + "MIMCADDRESSVALID": { + "direction": "output", + "bits": [ 67 ] + }, + "MIMCBANKCONFLICT": { + "direction": "output", + "bits": [ 68 ] + }, + "MIMCREADNOTWRITE": { + "direction": "output", + "bits": [ 69 ] + }, + "MIMCROWCONFLICT": { + "direction": "output", + "bits": [ 70 ] + }, + "MIMCWRITEDATAVALID": { + "direction": "output", + "bits": [ 71 ] + }, + "PPCCPMINTERCONNECTBUSY": { + "direction": "output", + "bits": [ 72 ] + }, + "PPCDMDCRREAD": { + "direction": "output", + "bits": [ 73 ] + }, + "PPCDMDCRWRITE": { + "direction": "output", + "bits": [ 74 ] + }, + "PPCDSDCRACK": { + "direction": "output", + "bits": [ 75 ] + }, + "PPCDSDCRTIMEOUTWAIT": { + "direction": "output", + "bits": [ 76 ] + }, + "PPCEICINTERCONNECTIRQ": { + "direction": "output", + "bits": [ 77 ] + }, + "PPCMPLBABORT": { + "direction": "output", + "bits": [ 78 ] + }, + "PPCMPLBBUSLOCK": { + "direction": "output", + "bits": [ 79 ] + }, + "PPCMPLBLOCKERR": { + "direction": "output", + "bits": [ 80 ] + }, + "PPCMPLBRDBURST": { + "direction": "output", + "bits": [ 81 ] + }, + "PPCMPLBREQUEST": { + "direction": "output", + "bits": [ 82 ] + }, + "PPCMPLBRNW": { + "direction": "output", + "bits": [ 83 ] + }, + "PPCMPLBWRBURST": { + "direction": "output", + "bits": [ 84 ] + }, + "PPCS0PLBADDRACK": { + "direction": "output", + "bits": [ 85 ] + }, + "PPCS0PLBRDBTERM": { + "direction": "output", + "bits": [ 86 ] + }, + "PPCS0PLBRDCOMP": { + "direction": "output", + "bits": [ 87 ] + }, + "PPCS0PLBRDDACK": { + "direction": "output", + "bits": [ 88 ] + }, + "PPCS0PLBREARBITRATE": { + "direction": "output", + "bits": [ 89 ] + }, + "PPCS0PLBWAIT": { + "direction": "output", + "bits": [ 90 ] + }, + "PPCS0PLBWRBTERM": { + "direction": "output", + "bits": [ 91 ] + }, + "PPCS0PLBWRCOMP": { + "direction": "output", + "bits": [ 92 ] + }, + "PPCS0PLBWRDACK": { + "direction": "output", + "bits": [ 93 ] + }, + "PPCS1PLBADDRACK": { + "direction": "output", + "bits": [ 94 ] + }, + "PPCS1PLBRDBTERM": { + "direction": "output", + "bits": [ 95 ] + }, + "PPCS1PLBRDCOMP": { + "direction": "output", + "bits": [ 96 ] + }, + "PPCS1PLBRDDACK": { + "direction": "output", + "bits": [ 97 ] + }, + "PPCS1PLBREARBITRATE": { + "direction": "output", + "bits": [ 98 ] + }, + "PPCS1PLBWAIT": { + "direction": "output", + "bits": [ 99 ] + }, + "PPCS1PLBWRBTERM": { + "direction": "output", + "bits": [ 100 ] + }, + "PPCS1PLBWRCOMP": { + "direction": "output", + "bits": [ 101 ] + }, + "PPCS1PLBWRDACK": { + "direction": "output", + "bits": [ 102 ] + }, + "APUFCMLOADDATA": { + "direction": "output", + "upto": 1, + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230 ] + }, + "MIMCWRITEDATA": { + "direction": "output", + "upto": 1, + "bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358 ] + }, + "PPCMPLBWRDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486 ] + }, + "PPCS0PLBRDDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614 ] + }, + "PPCS1PLBRDDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742 ] + }, + "C440TRCTRIGGEREVENTTYPE": { + "direction": "output", + "upto": 1, + "bits": [ 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756 ] + }, + "MIMCBYTEENABLE": { + "direction": "output", + "upto": 1, + "bits": [ 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772 ] + }, + "PPCMPLBBE": { + "direction": "output", + "upto": 1, + "bits": [ 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788 ] + }, + "PPCMPLBTATTRIBUTE": { + "direction": "output", + "upto": 1, + "bits": [ 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804 ] + }, + "PPCMPLBPRIORITY": { + "direction": "output", + "upto": 1, + "bits": [ 805, 806 ] + }, + "PPCS0PLBSSIZE": { + "direction": "output", + "upto": 1, + "bits": [ 807, 808 ] + }, + "PPCS1PLBSSIZE": { + "direction": "output", + "upto": 1, + "bits": [ 809, 810 ] + }, + "APUFCMDECLDSTXFERSIZE": { + "direction": "output", + "upto": 1, + "bits": [ 811, 812, 813 ] + }, + "C440TRCBRANCHSTATUS": { + "direction": "output", + "upto": 1, + "bits": [ 814, 815, 816 ] + }, + "PPCMPLBTYPE": { + "direction": "output", + "upto": 1, + "bits": [ 817, 818, 819 ] + }, + "APUFCMINSTRUCTION": { + "direction": "output", + "upto": 1, + "bits": [ 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851 ] + }, + "APUFCMRADATA": { + "direction": "output", + "upto": 1, + "bits": [ 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883 ] + }, + "APUFCMRBDATA": { + "direction": "output", + "upto": 1, + "bits": [ 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915 ] + }, + "DMA0LLTXD": { + "direction": "output", + "upto": 1, + "bits": [ 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947 ] + }, + "DMA1LLTXD": { + "direction": "output", + "upto": 1, + "bits": [ 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979 ] + }, + "DMA2LLTXD": { + "direction": "output", + "upto": 1, + "bits": [ 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011 ] + }, + "DMA3LLTXD": { + "direction": "output", + "upto": 1, + "bits": [ 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043 ] + }, + "PPCDMDCRDBUSOUT": { + "direction": "output", + "upto": 1, + "bits": [ 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075 ] + }, + "PPCDSDCRDBUSIN": { + "direction": "output", + "upto": 1, + "bits": [ 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107 ] + }, + "PPCMPLBABUS": { + "direction": "output", + "upto": 1, + "bits": [ 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139 ] + }, + "MIMCADDRESS": { + "direction": "output", + "upto": 1, + "bits": [ 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175 ] + }, + "APUFCMDECUDI": { + "direction": "output", + "upto": 1, + "bits": [ 1176, 1177, 1178, 1179 ] + }, + "APUFCMLOADBYTEADDR": { + "direction": "output", + "upto": 1, + "bits": [ 1180, 1181, 1182, 1183 ] + }, + "DMA0LLTXREM": { + "direction": "output", + "upto": 1, + "bits": [ 1184, 1185, 1186, 1187 ] + }, + "DMA1LLTXREM": { + "direction": "output", + "upto": 1, + "bits": [ 1188, 1189, 1190, 1191 ] + }, + "DMA2LLTXREM": { + "direction": "output", + "upto": 1, + "bits": [ 1192, 1193, 1194, 1195 ] + }, + "DMA3LLTXREM": { + "direction": "output", + "upto": 1, + "bits": [ 1196, 1197, 1198, 1199 ] + }, + "PPCMPLBSIZE": { + "direction": "output", + "upto": 1, + "bits": [ 1200, 1201, 1202, 1203 ] + }, + "PPCS0PLBMBUSY": { + "direction": "output", + "upto": 1, + "bits": [ 1204, 1205, 1206, 1207 ] + }, + "PPCS0PLBMIRQ": { + "direction": "output", + "upto": 1, + "bits": [ 1208, 1209, 1210, 1211 ] + }, + "PPCS0PLBMRDERR": { + "direction": "output", + "upto": 1, + "bits": [ 1212, 1213, 1214, 1215 ] + }, + "PPCS0PLBMWRERR": { + "direction": "output", + "upto": 1, + "bits": [ 1216, 1217, 1218, 1219 ] + }, + "PPCS0PLBRDWDADDR": { + "direction": "output", + "upto": 1, + "bits": [ 1220, 1221, 1222, 1223 ] + }, + "PPCS1PLBMBUSY": { + "direction": "output", + "upto": 1, + "bits": [ 1224, 1225, 1226, 1227 ] + }, + "PPCS1PLBMIRQ": { + "direction": "output", + "upto": 1, + "bits": [ 1228, 1229, 1230, 1231 ] + }, + "PPCS1PLBMRDERR": { + "direction": "output", + "upto": 1, + "bits": [ 1232, 1233, 1234, 1235 ] + }, + "PPCS1PLBMWRERR": { + "direction": "output", + "upto": 1, + "bits": [ 1236, 1237, 1238, 1239 ] + }, + "PPCS1PLBRDWDADDR": { + "direction": "output", + "upto": 1, + "bits": [ 1240, 1241, 1242, 1243 ] + }, + "C440TRCEXECUTIONSTATUS": { + "direction": "output", + "upto": 1, + "bits": [ 1244, 1245, 1246, 1247, 1248 ] + }, + "C440TRCTRACESTATUS": { + "direction": "output", + "upto": 1, + "bits": [ 1249, 1250, 1251, 1252, 1253, 1254, 1255 ] + }, + "C440DBGSYSTEMCONTROL": { + "direction": "output", + "upto": 1, + "bits": [ 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263 ] + }, + "PPCDMDCRABUS": { + "direction": "output", + "upto": 1, + "bits": [ 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273 ] + }, + "PPCDMDCRUABUS": { + "direction": "output", + "offset": 20, + "upto": 1, + "bits": [ 1274, 1275 ] + }, + "PPCMPLBUABUS": { + "direction": "output", + "offset": 28, + "upto": 1, + "bits": [ 1276, 1277, 1278, 1279 ] + }, + "CPMC440CLK": { + "direction": "input", + "bits": [ 1280 ] + }, + "CPMC440CLKEN": { + "direction": "input", + "bits": [ 1281 ] + }, + "CPMC440CORECLOCKINACTIVE": { + "direction": "input", + "bits": [ 1282 ] + }, + "CPMC440TIMERCLOCK": { + "direction": "input", + "bits": [ 1283 ] + }, + "CPMDCRCLK": { + "direction": "input", + "bits": [ 1284 ] + }, + "CPMDMA0LLCLK": { + "direction": "input", + "bits": [ 1285 ] + }, + "CPMDMA1LLCLK": { + "direction": "input", + "bits": [ 1286 ] + }, + "CPMDMA2LLCLK": { + "direction": "input", + "bits": [ 1287 ] + }, + "CPMDMA3LLCLK": { + "direction": "input", + "bits": [ 1288 ] + }, + "CPMFCMCLK": { + "direction": "input", + "bits": [ 1289 ] + }, + "CPMINTERCONNECTCLK": { + "direction": "input", + "bits": [ 1290 ] + }, + "CPMINTERCONNECTCLKEN": { + "direction": "input", + "bits": [ 1291 ] + }, + "CPMINTERCONNECTCLKNTO1": { + "direction": "input", + "bits": [ 1292 ] + }, + "CPMMCCLK": { + "direction": "input", + "bits": [ 1293 ] + }, + "CPMPPCMPLBCLK": { + "direction": "input", + "bits": [ 1294 ] + }, + "CPMPPCS0PLBCLK": { + "direction": "input", + "bits": [ 1295 ] + }, + "CPMPPCS1PLBCLK": { + "direction": "input", + "bits": [ 1296 ] + }, + "DBGC440DEBUGHALT": { + "direction": "input", + "bits": [ 1297 ] + }, + "DBGC440UNCONDDEBUGEVENT": { + "direction": "input", + "bits": [ 1298 ] + }, + "DCRPPCDMACK": { + "direction": "input", + "bits": [ 1299 ] + }, + "DCRPPCDMTIMEOUTWAIT": { + "direction": "input", + "bits": [ 1300 ] + }, + "DCRPPCDSREAD": { + "direction": "input", + "bits": [ 1301 ] + }, + "DCRPPCDSWRITE": { + "direction": "input", + "bits": [ 1302 ] + }, + "EICC440CRITIRQ": { + "direction": "input", + "bits": [ 1303 ] + }, + "EICC440EXTIRQ": { + "direction": "input", + "bits": [ 1304 ] + }, + "FCMAPUCONFIRMINSTR": { + "direction": "input", + "bits": [ 1305 ] + }, + "FCMAPUDONE": { + "direction": "input", + "bits": [ 1306 ] + }, + "FCMAPUEXCEPTION": { + "direction": "input", + "bits": [ 1307 ] + }, + "FCMAPUFPSCRFEX": { + "direction": "input", + "bits": [ 1308 ] + }, + "FCMAPURESULTVALID": { + "direction": "input", + "bits": [ 1309 ] + }, + "FCMAPUSLEEPNOTREADY": { + "direction": "input", + "bits": [ 1310 ] + }, + "JTGC440TCK": { + "direction": "input", + "bits": [ 1311 ] + }, + "JTGC440TDI": { + "direction": "input", + "bits": [ 1312 ] + }, + "JTGC440TMS": { + "direction": "input", + "bits": [ 1313 ] + }, + "JTGC440TRSTNEG": { + "direction": "input", + "bits": [ 1314 ] + }, + "LLDMA0RSTENGINEREQ": { + "direction": "input", + "bits": [ 1315 ] + }, + "LLDMA0RXEOFN": { + "direction": "input", + "bits": [ 1316 ] + }, + "LLDMA0RXEOPN": { + "direction": "input", + "bits": [ 1317 ] + }, + "LLDMA0RXSOFN": { + "direction": "input", + "bits": [ 1318 ] + }, + "LLDMA0RXSOPN": { + "direction": "input", + "bits": [ 1319 ] + }, + "LLDMA0RXSRCRDYN": { + "direction": "input", + "bits": [ 1320 ] + }, + "LLDMA0TXDSTRDYN": { + "direction": "input", + "bits": [ 1321 ] + }, + "LLDMA1RSTENGINEREQ": { + "direction": "input", + "bits": [ 1322 ] + }, + "LLDMA1RXEOFN": { + "direction": "input", + "bits": [ 1323 ] + }, + "LLDMA1RXEOPN": { + "direction": "input", + "bits": [ 1324 ] + }, + "LLDMA1RXSOFN": { + "direction": "input", + "bits": [ 1325 ] + }, + "LLDMA1RXSOPN": { + "direction": "input", + "bits": [ 1326 ] + }, + "LLDMA1RXSRCRDYN": { + "direction": "input", + "bits": [ 1327 ] + }, + "LLDMA1TXDSTRDYN": { + "direction": "input", + "bits": [ 1328 ] + }, + "LLDMA2RSTENGINEREQ": { + "direction": "input", + "bits": [ 1329 ] + }, + "LLDMA2RXEOFN": { + "direction": "input", + "bits": [ 1330 ] + }, + "LLDMA2RXEOPN": { + "direction": "input", + "bits": [ 1331 ] + }, + "LLDMA2RXSOFN": { + "direction": "input", + "bits": [ 1332 ] + }, + "LLDMA2RXSOPN": { + "direction": "input", + "bits": [ 1333 ] + }, + "LLDMA2RXSRCRDYN": { + "direction": "input", + "bits": [ 1334 ] + }, + "LLDMA2TXDSTRDYN": { + "direction": "input", + "bits": [ 1335 ] + }, + "LLDMA3RSTENGINEREQ": { + "direction": "input", + "bits": [ 1336 ] + }, + "LLDMA3RXEOFN": { + "direction": "input", + "bits": [ 1337 ] + }, + "LLDMA3RXEOPN": { + "direction": "input", + "bits": [ 1338 ] + }, + "LLDMA3RXSOFN": { + "direction": "input", + "bits": [ 1339 ] + }, + "LLDMA3RXSOPN": { + "direction": "input", + "bits": [ 1340 ] + }, + "LLDMA3RXSRCRDYN": { + "direction": "input", + "bits": [ 1341 ] + }, + "LLDMA3TXDSTRDYN": { + "direction": "input", + "bits": [ 1342 ] + }, + "MCMIADDRREADYTOACCEPT": { + "direction": "input", + "bits": [ 1343 ] + }, + "MCMIREADDATAERR": { + "direction": "input", + "bits": [ 1344 ] + }, + "MCMIREADDATAVALID": { + "direction": "input", + "bits": [ 1345 ] + }, + "PLBPPCMADDRACK": { + "direction": "input", + "bits": [ 1346 ] + }, + "PLBPPCMMBUSY": { + "direction": "input", + "bits": [ 1347 ] + }, + "PLBPPCMMIRQ": { + "direction": "input", + "bits": [ 1348 ] + }, + "PLBPPCMMRDERR": { + "direction": "input", + "bits": [ 1349 ] + }, + "PLBPPCMMWRERR": { + "direction": "input", + "bits": [ 1350 ] + }, + "PLBPPCMRDBTERM": { + "direction": "input", + "bits": [ 1351 ] + }, + "PLBPPCMRDDACK": { + "direction": "input", + "bits": [ 1352 ] + }, + "PLBPPCMRDPENDREQ": { + "direction": "input", + "bits": [ 1353 ] + }, + "PLBPPCMREARBITRATE": { + "direction": "input", + "bits": [ 1354 ] + }, + "PLBPPCMTIMEOUT": { + "direction": "input", + "bits": [ 1355 ] + }, + "PLBPPCMWRBTERM": { + "direction": "input", + "bits": [ 1356 ] + }, + "PLBPPCMWRDACK": { + "direction": "input", + "bits": [ 1357 ] + }, + "PLBPPCMWRPENDREQ": { + "direction": "input", + "bits": [ 1358 ] + }, + "PLBPPCS0ABORT": { + "direction": "input", + "bits": [ 1359 ] + }, + "PLBPPCS0BUSLOCK": { + "direction": "input", + "bits": [ 1360 ] + }, + "PLBPPCS0LOCKERR": { + "direction": "input", + "bits": [ 1361 ] + }, + "PLBPPCS0PAVALID": { + "direction": "input", + "bits": [ 1362 ] + }, + "PLBPPCS0RDBURST": { + "direction": "input", + "bits": [ 1363 ] + }, + "PLBPPCS0RDPENDREQ": { + "direction": "input", + "bits": [ 1364 ] + }, + "PLBPPCS0RDPRIM": { + "direction": "input", + "bits": [ 1365 ] + }, + "PLBPPCS0RNW": { + "direction": "input", + "bits": [ 1366 ] + }, + "PLBPPCS0SAVALID": { + "direction": "input", + "bits": [ 1367 ] + }, + "PLBPPCS0WRBURST": { + "direction": "input", + "bits": [ 1368 ] + }, + "PLBPPCS0WRPENDREQ": { + "direction": "input", + "bits": [ 1369 ] + }, + "PLBPPCS0WRPRIM": { + "direction": "input", + "bits": [ 1370 ] + }, + "PLBPPCS1ABORT": { + "direction": "input", + "bits": [ 1371 ] + }, + "PLBPPCS1BUSLOCK": { + "direction": "input", + "bits": [ 1372 ] + }, + "PLBPPCS1LOCKERR": { + "direction": "input", + "bits": [ 1373 ] + }, + "PLBPPCS1PAVALID": { + "direction": "input", + "bits": [ 1374 ] + }, + "PLBPPCS1RDBURST": { + "direction": "input", + "bits": [ 1375 ] + }, + "PLBPPCS1RDPENDREQ": { + "direction": "input", + "bits": [ 1376 ] + }, + "PLBPPCS1RDPRIM": { + "direction": "input", + "bits": [ 1377 ] + }, + "PLBPPCS1RNW": { + "direction": "input", + "bits": [ 1378 ] + }, + "PLBPPCS1SAVALID": { + "direction": "input", + "bits": [ 1379 ] + }, + "PLBPPCS1WRBURST": { + "direction": "input", + "bits": [ 1380 ] + }, + "PLBPPCS1WRPENDREQ": { + "direction": "input", + "bits": [ 1381 ] + }, + "PLBPPCS1WRPRIM": { + "direction": "input", + "bits": [ 1382 ] + }, + "RSTC440RESETCHIP": { + "direction": "input", + "bits": [ 1383 ] + }, + "RSTC440RESETCORE": { + "direction": "input", + "bits": [ 1384 ] + }, + "RSTC440RESETSYSTEM": { + "direction": "input", + "bits": [ 1385 ] + }, + "TIEC440ENDIANRESET": { + "direction": "input", + "bits": [ 1386 ] + }, + "TRCC440TRACEDISABLE": { + "direction": "input", + "bits": [ 1387 ] + }, + "TRCC440TRIGGEREVENTIN": { + "direction": "input", + "bits": [ 1388 ] + }, + "FCMAPUSTOREDATA": { + "direction": "input", + "upto": 1, + "bits": [ 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516 ] + }, + "MCMIREADDATA": { + "direction": "input", + "upto": 1, + "bits": [ 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644 ] + }, + "PLBPPCMRDDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772 ] + }, + "PLBPPCS0WRDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900 ] + }, + "PLBPPCS1WRDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028 ] + }, + "PLBPPCS0BE": { + "direction": "input", + "upto": 1, + "bits": [ 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044 ] + }, + "PLBPPCS0TATTRIBUTE": { + "direction": "input", + "upto": 1, + "bits": [ 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060 ] + }, + "PLBPPCS1BE": { + "direction": "input", + "upto": 1, + "bits": [ 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076 ] + }, + "PLBPPCS1TATTRIBUTE": { + "direction": "input", + "upto": 1, + "bits": [ 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092 ] + }, + "PLBPPCMRDPENDPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2093, 2094 ] + }, + "PLBPPCMREQPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2095, 2096 ] + }, + "PLBPPCMSSIZE": { + "direction": "input", + "upto": 1, + "bits": [ 2097, 2098 ] + }, + "PLBPPCMWRPENDPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2099, 2100 ] + }, + "PLBPPCS0MASTERID": { + "direction": "input", + "upto": 1, + "bits": [ 2101, 2102 ] + }, + "PLBPPCS0MSIZE": { + "direction": "input", + "upto": 1, + "bits": [ 2103, 2104 ] + }, + "PLBPPCS0RDPENDPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2105, 2106 ] + }, + "PLBPPCS0REQPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2107, 2108 ] + }, + "PLBPPCS0WRPENDPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2109, 2110 ] + }, + "PLBPPCS1MASTERID": { + "direction": "input", + "upto": 1, + "bits": [ 2111, 2112 ] + }, + "PLBPPCS1MSIZE": { + "direction": "input", + "upto": 1, + "bits": [ 2113, 2114 ] + }, + "PLBPPCS1RDPENDPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2115, 2116 ] + }, + "PLBPPCS1REQPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2117, 2118 ] + }, + "PLBPPCS1WRPENDPRI": { + "direction": "input", + "upto": 1, + "bits": [ 2119, 2120 ] + }, + "TIEC440DCURDLDCACHEPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2121, 2122 ] + }, + "TIEC440DCURDNONCACHEPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2123, 2124 ] + }, + "TIEC440DCURDTOUCHPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2125, 2126 ] + }, + "TIEC440DCURDURGENTPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2127, 2128 ] + }, + "TIEC440DCUWRFLUSHPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2129, 2130 ] + }, + "TIEC440DCUWRSTOREPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2131, 2132 ] + }, + "TIEC440DCUWRURGENTPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2133, 2134 ] + }, + "TIEC440ICURDFETCHPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2135, 2136 ] + }, + "TIEC440ICURDSPECPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2137, 2138 ] + }, + "TIEC440ICURDTOUCHPLBPRIO": { + "direction": "input", + "upto": 1, + "bits": [ 2139, 2140 ] + }, + "TIEDCRBASEADDR": { + "direction": "input", + "upto": 1, + "bits": [ 2141, 2142 ] + }, + "PLBPPCS0TYPE": { + "direction": "input", + "upto": 1, + "bits": [ 2143, 2144, 2145 ] + }, + "PLBPPCS1TYPE": { + "direction": "input", + "upto": 1, + "bits": [ 2146, 2147, 2148 ] + }, + "DCRPPCDMDBUSIN": { + "direction": "input", + "upto": 1, + "bits": [ 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180 ] + }, + "DCRPPCDSDBUSOUT": { + "direction": "input", + "upto": 1, + "bits": [ 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212 ] + }, + "FCMAPURESULT": { + "direction": "input", + "upto": 1, + "bits": [ 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244 ] + }, + "LLDMA0RXD": { + "direction": "input", + "upto": 1, + "bits": [ 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276 ] + }, + "LLDMA1RXD": { + "direction": "input", + "upto": 1, + "bits": [ 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308 ] + }, + "LLDMA2RXD": { + "direction": "input", + "upto": 1, + "bits": [ 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340 ] + }, + "LLDMA3RXD": { + "direction": "input", + "upto": 1, + "bits": [ 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372 ] + }, + "PLBPPCS0ABUS": { + "direction": "input", + "upto": 1, + "bits": [ 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404 ] + }, + "PLBPPCS1ABUS": { + "direction": "input", + "upto": 1, + "bits": [ 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436 ] + }, + "FCMAPUCR": { + "direction": "input", + "upto": 1, + "bits": [ 2437, 2438, 2439, 2440 ] + }, + "LLDMA0RXREM": { + "direction": "input", + "upto": 1, + "bits": [ 2441, 2442, 2443, 2444 ] + }, + "LLDMA1RXREM": { + "direction": "input", + "upto": 1, + "bits": [ 2445, 2446, 2447, 2448 ] + }, + "LLDMA2RXREM": { + "direction": "input", + "upto": 1, + "bits": [ 2449, 2450, 2451, 2452 ] + }, + "LLDMA3RXREM": { + "direction": "input", + "upto": 1, + "bits": [ 2453, 2454, 2455, 2456 ] + }, + "PLBPPCMRDWDADDR": { + "direction": "input", + "upto": 1, + "bits": [ 2457, 2458, 2459, 2460 ] + }, + "PLBPPCS0SIZE": { + "direction": "input", + "upto": 1, + "bits": [ 2461, 2462, 2463, 2464 ] + }, + "PLBPPCS1SIZE": { + "direction": "input", + "upto": 1, + "bits": [ 2465, 2466, 2467, 2468 ] + }, + "TIEC440ERPNRESET": { + "direction": "input", + "upto": 1, + "bits": [ 2469, 2470, 2471, 2472 ] + }, + "TIEC440USERRESET": { + "direction": "input", + "upto": 1, + "bits": [ 2473, 2474, 2475, 2476 ] + }, + "DBGC440SYSTEMSTATUS": { + "direction": "input", + "upto": 1, + "bits": [ 2477, 2478, 2479, 2480, 2481 ] + }, + "DCRPPCDSABUS": { + "direction": "input", + "upto": 1, + "bits": [ 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491 ] + }, + "PLBPPCS0UABUS": { + "direction": "input", + "offset": 28, + "upto": 1, + "bits": [ 2492, 2493, 2494, 2495 ] + }, + "PLBPPCS1UABUS": { + "direction": "input", + "offset": 28, + "upto": 1, + "bits": [ 2496, 2497, 2498, 2499 ] + }, + "TIEC440PIR": { + "direction": "input", + "offset": 28, + "upto": 1, + "bits": [ 2500, 2501, 2502, 2503 ] + }, + "TIEC440PVR": { + "direction": "input", + "offset": 28, + "upto": 1, + "bits": [ 2504, 2505, 2506, 2507 ] + } + }, + "cells": { + }, + "netnames": { + "APUFCMDECFPUOP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31367.12-31367.26" + } + }, + "APUFCMDECLDSTXFERSIZE": { + "hide_name": 0, + "bits": [ 811, 812, 813 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31480.18-31480.39" + } + }, + "APUFCMDECLOAD": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31368.12-31368.25" + } + }, + "APUFCMDECNONAUTON": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31369.12-31369.29" + } + }, + "APUFCMDECSTORE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31370.12-31370.26" + } + }, + "APUFCMDECUDI": { + "hide_name": 0, + "bits": [ 1176, 1177, 1178, 1179 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31494.18-31494.30" + } + }, + "APUFCMDECUDIVALID": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31371.12-31371.29" + } + }, + "APUFCMENDIAN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31372.12-31372.24" + } + }, + "APUFCMFLUSH": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31373.12-31373.23" + } + }, + "APUFCMINSTRUCTION": { + "hide_name": 0, + "bits": [ 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31483.19-31483.36" + } + }, + "APUFCMINSTRVALID": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31374.12-31374.28" + } + }, + "APUFCMLOADBYTEADDR": { + "hide_name": 0, + "bits": [ 1180, 1181, 1182, 1183 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31495.18-31495.36" + } + }, + "APUFCMLOADDATA": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31468.20-31468.34" + } + }, + "APUFCMLOADDVALID": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31375.12-31375.28" + } + }, + "APUFCMMSRFE0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31376.12-31376.24" + } + }, + "APUFCMMSRFE1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31377.12-31377.24" + } + }, + "APUFCMNEXTINSTRREADY": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31378.12-31378.32" + } + }, + "APUFCMOPERANDVALID": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31379.12-31379.30" + } + }, + "APUFCMRADATA": { + "hide_name": 0, + "bits": [ 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31484.19-31484.31" + } + }, + "APUFCMRBDATA": { + "hide_name": 0, + "bits": [ 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31485.19-31485.31" + } + }, + "APUFCMWRITEBACKOK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31380.12-31380.29" + } + }, + "C440CPMCORESLEEPREQ": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31381.12-31381.31" + } + }, + "C440CPMDECIRPTREQ": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31382.12-31382.29" + } + }, + "C440CPMFITIRPTREQ": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31383.12-31383.29" + } + }, + "C440CPMMSRCE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31384.12-31384.24" + } + }, + "C440CPMMSREE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31385.12-31385.24" + } + }, + "C440CPMTIMERRESETREQ": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31386.12-31386.32" + } + }, + "C440CPMWDIRPTREQ": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31387.12-31387.28" + } + }, + "C440DBGSYSTEMCONTROL": { + "hide_name": 0, + "bits": [ 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31513.18-31513.38" + } + }, + "C440JTGTDO": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31388.12-31388.22" + } + }, + "C440JTGTDOEN": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31389.12-31389.24" + } + }, + "C440MACHINECHECK": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31390.12-31390.28" + } + }, + "C440RSTCHIPRESETREQ": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31391.12-31391.31" + } + }, + "C440RSTCORERESETREQ": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31392.12-31392.31" + } + }, + "C440RSTSYSTEMRESETREQ": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31393.12-31393.33" + } + }, + "C440TRCBRANCHSTATUS": { + "hide_name": 0, + "bits": [ 814, 815, 816 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31481.18-31481.37" + } + }, + "C440TRCCYCLE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31394.12-31394.24" + } + }, + "C440TRCEXECUTIONSTATUS": { + "hide_name": 0, + "bits": [ 1244, 1245, 1246, 1247, 1248 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31511.18-31511.40" + } + }, + "C440TRCTRACESTATUS": { + "hide_name": 0, + "bits": [ 1249, 1250, 1251, 1252, 1253, 1254, 1255 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31512.18-31512.36" + } + }, + "C440TRCTRIGGEREVENTOUT": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31395.12-31395.34" + } + }, + "C440TRCTRIGGEREVENTTYPE": { + "hide_name": 0, + "bits": [ 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31473.19-31473.42" + } + }, + "CPMC440CLK": { + "hide_name": 0, + "bits": [ 1280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31517.11-31517.21" + } + }, + "CPMC440CLKEN": { + "hide_name": 0, + "bits": [ 1281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31518.11-31518.23" + } + }, + "CPMC440CORECLOCKINACTIVE": { + "hide_name": 0, + "bits": [ 1282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31519.11-31519.35" + } + }, + "CPMC440TIMERCLOCK": { + "hide_name": 0, + "bits": [ 1283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31520.11-31520.28" + } + }, + "CPMDCRCLK": { + "hide_name": 0, + "bits": [ 1284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31521.11-31521.20" + } + }, + "CPMDMA0LLCLK": { + "hide_name": 0, + "bits": [ 1285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31522.11-31522.23" + } + }, + "CPMDMA1LLCLK": { + "hide_name": 0, + "bits": [ 1286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31523.11-31523.23" + } + }, + "CPMDMA2LLCLK": { + "hide_name": 0, + "bits": [ 1287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31524.11-31524.23" + } + }, + "CPMDMA3LLCLK": { + "hide_name": 0, + "bits": [ 1288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31525.11-31525.23" + } + }, + "CPMFCMCLK": { + "hide_name": 0, + "bits": [ 1289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31526.11-31526.20" + } + }, + "CPMINTERCONNECTCLK": { + "hide_name": 0, + "bits": [ 1290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31527.11-31527.29" + } + }, + "CPMINTERCONNECTCLKEN": { + "hide_name": 0, + "bits": [ 1291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31528.11-31528.31" + } + }, + "CPMINTERCONNECTCLKNTO1": { + "hide_name": 0, + "bits": [ 1292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31529.11-31529.33" + } + }, + "CPMMCCLK": { + "hide_name": 0, + "bits": [ 1293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31530.11-31530.19" + } + }, + "CPMPPCMPLBCLK": { + "hide_name": 0, + "bits": [ 1294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31531.11-31531.24" + } + }, + "CPMPPCS0PLBCLK": { + "hide_name": 0, + "bits": [ 1295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31532.11-31532.25" + } + }, + "CPMPPCS1PLBCLK": { + "hide_name": 0, + "bits": [ 1296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31533.11-31533.25" + } + }, + "DBGC440DEBUGHALT": { + "hide_name": 0, + "bits": [ 1297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31534.11-31534.27" + } + }, + "DBGC440SYSTEMSTATUS": { + "hide_name": 0, + "bits": [ 2477, 2478, 2479, 2480, 2481 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31681.17-31681.36" + } + }, + "DBGC440UNCONDDEBUGEVENT": { + "hide_name": 0, + "bits": [ 1298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31535.11-31535.34" + } + }, + "DCRPPCDMACK": { + "hide_name": 0, + "bits": [ 1299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31536.11-31536.22" + } + }, + "DCRPPCDMDBUSIN": { + "hide_name": 0, + "bits": [ 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31662.18-31662.32" + } + }, + "DCRPPCDMTIMEOUTWAIT": { + "hide_name": 0, + "bits": [ 1300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31537.11-31537.30" + } + }, + "DCRPPCDSABUS": { + "hide_name": 0, + "bits": [ 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31682.17-31682.29" + } + }, + "DCRPPCDSDBUSOUT": { + "hide_name": 0, + "bits": [ 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31663.18-31663.33" + } + }, + "DCRPPCDSREAD": { + "hide_name": 0, + "bits": [ 1301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31538.11-31538.23" + } + }, + "DCRPPCDSWRITE": { + "hide_name": 0, + "bits": [ 1302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31539.11-31539.24" + } + }, + "DMA0LLRSTENGINEACK": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31396.12-31396.30" + } + }, + "DMA0LLRXDSTRDYN": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31397.12-31397.27" + } + }, + "DMA0LLTXD": { + "hide_name": 0, + "bits": [ 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31486.19-31486.28" + } + }, + "DMA0LLTXEOFN": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31398.12-31398.24" + } + }, + "DMA0LLTXEOPN": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31399.12-31399.24" + } + }, + "DMA0LLTXREM": { + "hide_name": 0, + "bits": [ 1184, 1185, 1186, 1187 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31496.18-31496.29" + } + }, + "DMA0LLTXSOFN": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31400.12-31400.24" + } + }, + "DMA0LLTXSOPN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31401.12-31401.24" + } + }, + "DMA0LLTXSRCRDYN": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31402.12-31402.27" + } + }, + "DMA0RXIRQ": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31403.12-31403.21" + } + }, + "DMA0TXIRQ": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31404.12-31404.21" + } + }, + "DMA1LLRSTENGINEACK": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31405.12-31405.30" + } + }, + "DMA1LLRXDSTRDYN": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31406.12-31406.27" + } + }, + "DMA1LLTXD": { + "hide_name": 0, + "bits": [ 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31487.19-31487.28" + } + }, + "DMA1LLTXEOFN": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31407.12-31407.24" + } + }, + "DMA1LLTXEOPN": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31408.12-31408.24" + } + }, + "DMA1LLTXREM": { + "hide_name": 0, + "bits": [ 1188, 1189, 1190, 1191 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31497.18-31497.29" + } + }, + "DMA1LLTXSOFN": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31409.12-31409.24" + } + }, + "DMA1LLTXSOPN": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31410.12-31410.24" + } + }, + "DMA1LLTXSRCRDYN": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31411.12-31411.27" + } + }, + "DMA1RXIRQ": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31412.12-31412.21" + } + }, + "DMA1TXIRQ": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31413.12-31413.21" + } + }, + "DMA2LLRSTENGINEACK": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31414.12-31414.30" + } + }, + "DMA2LLRXDSTRDYN": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31415.12-31415.27" + } + }, + "DMA2LLTXD": { + "hide_name": 0, + "bits": [ 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31488.19-31488.28" + } + }, + "DMA2LLTXEOFN": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31416.12-31416.24" + } + }, + "DMA2LLTXEOPN": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31417.12-31417.24" + } + }, + "DMA2LLTXREM": { + "hide_name": 0, + "bits": [ 1192, 1193, 1194, 1195 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31498.18-31498.29" + } + }, + "DMA2LLTXSOFN": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31418.12-31418.24" + } + }, + "DMA2LLTXSOPN": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31419.12-31419.24" + } + }, + "DMA2LLTXSRCRDYN": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31420.12-31420.27" + } + }, + "DMA2RXIRQ": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31421.12-31421.21" + } + }, + "DMA2TXIRQ": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31422.12-31422.21" + } + }, + "DMA3LLRSTENGINEACK": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31423.12-31423.30" + } + }, + "DMA3LLRXDSTRDYN": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31424.12-31424.27" + } + }, + "DMA3LLTXD": { + "hide_name": 0, + "bits": [ 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31489.19-31489.28" + } + }, + "DMA3LLTXEOFN": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31425.12-31425.24" + } + }, + "DMA3LLTXEOPN": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31426.12-31426.24" + } + }, + "DMA3LLTXREM": { + "hide_name": 0, + "bits": [ 1196, 1197, 1198, 1199 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31499.18-31499.29" + } + }, + "DMA3LLTXSOFN": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31427.12-31427.24" + } + }, + "DMA3LLTXSOPN": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31428.12-31428.24" + } + }, + "DMA3LLTXSRCRDYN": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31429.12-31429.27" + } + }, + "DMA3RXIRQ": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31430.12-31430.21" + } + }, + "DMA3TXIRQ": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31431.12-31431.21" + } + }, + "EICC440CRITIRQ": { + "hide_name": 0, + "bits": [ 1303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31540.11-31540.25" + } + }, + "EICC440EXTIRQ": { + "hide_name": 0, + "bits": [ 1304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31541.11-31541.24" + } + }, + "FCMAPUCONFIRMINSTR": { + "hide_name": 0, + "bits": [ 1305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31542.11-31542.29" + } + }, + "FCMAPUCR": { + "hide_name": 0, + "bits": [ 2437, 2438, 2439, 2440 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31671.17-31671.25" + } + }, + "FCMAPUDONE": { + "hide_name": 0, + "bits": [ 1306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31543.11-31543.21" + } + }, + "FCMAPUEXCEPTION": { + "hide_name": 0, + "bits": [ 1307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31544.11-31544.26" + } + }, + "FCMAPUFPSCRFEX": { + "hide_name": 0, + "bits": [ 1308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31545.11-31545.25" + } + }, + "FCMAPURESULT": { + "hide_name": 0, + "bits": [ 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31664.18-31664.30" + } + }, + "FCMAPURESULTVALID": { + "hide_name": 0, + "bits": [ 1309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31546.11-31546.28" + } + }, + "FCMAPUSLEEPNOTREADY": { + "hide_name": 0, + "bits": [ 1310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31547.11-31547.30" + } + }, + "FCMAPUSTOREDATA": { + "hide_name": 0, + "bits": [ 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31626.19-31626.34" + } + }, + "JTGC440TCK": { + "hide_name": 0, + "bits": [ 1311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31548.11-31548.21" + } + }, + "JTGC440TDI": { + "hide_name": 0, + "bits": [ 1312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31549.11-31549.21" + } + }, + "JTGC440TMS": { + "hide_name": 0, + "bits": [ 1313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31550.11-31550.21" + } + }, + "JTGC440TRSTNEG": { + "hide_name": 0, + "bits": [ 1314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31551.11-31551.25" + } + }, + "LLDMA0RSTENGINEREQ": { + "hide_name": 0, + "bits": [ 1315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31552.11-31552.29" + } + }, + "LLDMA0RXD": { + "hide_name": 0, + "bits": [ 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31665.18-31665.27" + } + }, + "LLDMA0RXEOFN": { + "hide_name": 0, + "bits": [ 1316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31553.11-31553.23" + } + }, + "LLDMA0RXEOPN": { + "hide_name": 0, + "bits": [ 1317 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31554.11-31554.23" + } + }, + "LLDMA0RXREM": { + "hide_name": 0, + "bits": [ 2441, 2442, 2443, 2444 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31672.17-31672.28" + } + }, + "LLDMA0RXSOFN": { + "hide_name": 0, + "bits": [ 1318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31555.11-31555.23" + } + }, + "LLDMA0RXSOPN": { + "hide_name": 0, + "bits": [ 1319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31556.11-31556.23" + } + }, + "LLDMA0RXSRCRDYN": { + "hide_name": 0, + "bits": [ 1320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31557.11-31557.26" + } + }, + "LLDMA0TXDSTRDYN": { + "hide_name": 0, + "bits": [ 1321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31558.11-31558.26" + } + }, + "LLDMA1RSTENGINEREQ": { + "hide_name": 0, + "bits": [ 1322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31559.11-31559.29" + } + }, + "LLDMA1RXD": { + "hide_name": 0, + "bits": [ 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31666.18-31666.27" + } + }, + "LLDMA1RXEOFN": { + "hide_name": 0, + "bits": [ 1323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31560.11-31560.23" + } + }, + "LLDMA1RXEOPN": { + "hide_name": 0, + "bits": [ 1324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31561.11-31561.23" + } + }, + "LLDMA1RXREM": { + "hide_name": 0, + "bits": [ 2445, 2446, 2447, 2448 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31673.17-31673.28" + } + }, + "LLDMA1RXSOFN": { + "hide_name": 0, + "bits": [ 1325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31562.11-31562.23" + } + }, + "LLDMA1RXSOPN": { + "hide_name": 0, + "bits": [ 1326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31563.11-31563.23" + } + }, + "LLDMA1RXSRCRDYN": { + "hide_name": 0, + "bits": [ 1327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31564.11-31564.26" + } + }, + "LLDMA1TXDSTRDYN": { + "hide_name": 0, + "bits": [ 1328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31565.11-31565.26" + } + }, + "LLDMA2RSTENGINEREQ": { + "hide_name": 0, + "bits": [ 1329 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31566.11-31566.29" + } + }, + "LLDMA2RXD": { + "hide_name": 0, + "bits": [ 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31667.18-31667.27" + } + }, + "LLDMA2RXEOFN": { + "hide_name": 0, + "bits": [ 1330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31567.11-31567.23" + } + }, + "LLDMA2RXEOPN": { + "hide_name": 0, + "bits": [ 1331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31568.11-31568.23" + } + }, + "LLDMA2RXREM": { + "hide_name": 0, + "bits": [ 2449, 2450, 2451, 2452 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31674.17-31674.28" + } + }, + "LLDMA2RXSOFN": { + "hide_name": 0, + "bits": [ 1332 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31569.11-31569.23" + } + }, + "LLDMA2RXSOPN": { + "hide_name": 0, + "bits": [ 1333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31570.11-31570.23" + } + }, + "LLDMA2RXSRCRDYN": { + "hide_name": 0, + "bits": [ 1334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31571.11-31571.26" + } + }, + "LLDMA2TXDSTRDYN": { + "hide_name": 0, + "bits": [ 1335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31572.11-31572.26" + } + }, + "LLDMA3RSTENGINEREQ": { + "hide_name": 0, + "bits": [ 1336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31573.11-31573.29" + } + }, + "LLDMA3RXD": { + "hide_name": 0, + "bits": [ 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31668.18-31668.27" + } + }, + "LLDMA3RXEOFN": { + "hide_name": 0, + "bits": [ 1337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31574.11-31574.23" + } + }, + "LLDMA3RXEOPN": { + "hide_name": 0, + "bits": [ 1338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31575.11-31575.23" + } + }, + "LLDMA3RXREM": { + "hide_name": 0, + "bits": [ 2453, 2454, 2455, 2456 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31675.17-31675.28" + } + }, + "LLDMA3RXSOFN": { + "hide_name": 0, + "bits": [ 1339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31576.11-31576.23" + } + }, + "LLDMA3RXSOPN": { + "hide_name": 0, + "bits": [ 1340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31577.11-31577.23" + } + }, + "LLDMA3RXSRCRDYN": { + "hide_name": 0, + "bits": [ 1341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31578.11-31578.26" + } + }, + "LLDMA3TXDSTRDYN": { + "hide_name": 0, + "bits": [ 1342 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31579.11-31579.26" + } + }, + "MCMIADDRREADYTOACCEPT": { + "hide_name": 0, + "bits": [ 1343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31580.11-31580.32" + } + }, + "MCMIREADDATA": { + "hide_name": 0, + "bits": [ 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31627.19-31627.31" + } + }, + "MCMIREADDATAERR": { + "hide_name": 0, + "bits": [ 1344 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31581.11-31581.26" + } + }, + "MCMIREADDATAVALID": { + "hide_name": 0, + "bits": [ 1345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31582.11-31582.28" + } + }, + "MIMCADDRESS": { + "hide_name": 0, + "bits": [ 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31493.19-31493.30" + } + }, + "MIMCADDRESSVALID": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31432.12-31432.28" + } + }, + "MIMCBANKCONFLICT": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31433.12-31433.28" + } + }, + "MIMCBYTEENABLE": { + "hide_name": 0, + "bits": [ 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31474.19-31474.33" + } + }, + "MIMCREADNOTWRITE": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31434.12-31434.28" + } + }, + "MIMCROWCONFLICT": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31435.12-31435.27" + } + }, + "MIMCWRITEDATA": { + "hide_name": 0, + "bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31469.20-31469.33" + } + }, + "MIMCWRITEDATAVALID": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31436.12-31436.30" + } + }, + "PLBPPCMADDRACK": { + "hide_name": 0, + "bits": [ 1346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31583.11-31583.25" + } + }, + "PLBPPCMMBUSY": { + "hide_name": 0, + "bits": [ 1347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31584.11-31584.23" + } + }, + "PLBPPCMMIRQ": { + "hide_name": 0, + "bits": [ 1348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31585.11-31585.22" + } + }, + "PLBPPCMMRDERR": { + "hide_name": 0, + "bits": [ 1349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31586.11-31586.24" + } + }, + "PLBPPCMMWRERR": { + "hide_name": 0, + "bits": [ 1350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31587.11-31587.24" + } + }, + "PLBPPCMRDBTERM": { + "hide_name": 0, + "bits": [ 1351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31588.11-31588.25" + } + }, + "PLBPPCMRDDACK": { + "hide_name": 0, + "bits": [ 1352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31589.11-31589.24" + } + }, + "PLBPPCMRDDBUS": { + "hide_name": 0, + "bits": [ 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31628.19-31628.32" + } + }, + "PLBPPCMRDPENDPRI": { + "hide_name": 0, + "bits": [ 2093, 2094 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31635.17-31635.33" + } + }, + "PLBPPCMRDPENDREQ": { + "hide_name": 0, + "bits": [ 1353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31590.11-31590.27" + } + }, + "PLBPPCMRDWDADDR": { + "hide_name": 0, + "bits": [ 2457, 2458, 2459, 2460 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31676.17-31676.32" + } + }, + "PLBPPCMREARBITRATE": { + "hide_name": 0, + "bits": [ 1354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31591.11-31591.29" + } + }, + "PLBPPCMREQPRI": { + "hide_name": 0, + "bits": [ 2095, 2096 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31636.17-31636.30" + } + }, + "PLBPPCMSSIZE": { + "hide_name": 0, + "bits": [ 2097, 2098 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31637.17-31637.29" + } + }, + "PLBPPCMTIMEOUT": { + "hide_name": 0, + "bits": [ 1355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31592.11-31592.25" + } + }, + "PLBPPCMWRBTERM": { + "hide_name": 0, + "bits": [ 1356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31593.11-31593.25" + } + }, + "PLBPPCMWRDACK": { + "hide_name": 0, + "bits": [ 1357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31594.11-31594.24" + } + }, + "PLBPPCMWRPENDPRI": { + "hide_name": 0, + "bits": [ 2099, 2100 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31638.17-31638.33" + } + }, + "PLBPPCMWRPENDREQ": { + "hide_name": 0, + "bits": [ 1358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31595.11-31595.27" + } + }, + "PLBPPCS0ABORT": { + "hide_name": 0, + "bits": [ 1359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31596.11-31596.24" + } + }, + "PLBPPCS0ABUS": { + "hide_name": 0, + "bits": [ 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31669.18-31669.30" + } + }, + "PLBPPCS0BE": { + "hide_name": 0, + "bits": [ 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31631.18-31631.28" + } + }, + "PLBPPCS0BUSLOCK": { + "hide_name": 0, + "bits": [ 1360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31597.11-31597.26" + } + }, + "PLBPPCS0LOCKERR": { + "hide_name": 0, + "bits": [ 1361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31598.11-31598.26" + } + }, + "PLBPPCS0MASTERID": { + "hide_name": 0, + "bits": [ 2101, 2102 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31639.17-31639.33" + } + }, + "PLBPPCS0MSIZE": { + "hide_name": 0, + "bits": [ 2103, 2104 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31640.17-31640.30" + } + }, + "PLBPPCS0PAVALID": { + "hide_name": 0, + "bits": [ 1362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31599.11-31599.26" + } + }, + "PLBPPCS0RDBURST": { + "hide_name": 0, + "bits": [ 1363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31600.11-31600.26" + } + }, + "PLBPPCS0RDPENDPRI": { + "hide_name": 0, + "bits": [ 2105, 2106 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31641.17-31641.34" + } + }, + "PLBPPCS0RDPENDREQ": { + "hide_name": 0, + "bits": [ 1364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31601.11-31601.28" + } + }, + "PLBPPCS0RDPRIM": { + "hide_name": 0, + "bits": [ 1365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31602.11-31602.25" + } + }, + "PLBPPCS0REQPRI": { + "hide_name": 0, + "bits": [ 2107, 2108 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31642.17-31642.31" + } + }, + "PLBPPCS0RNW": { + "hide_name": 0, + "bits": [ 1366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31603.11-31603.22" + } + }, + "PLBPPCS0SAVALID": { + "hide_name": 0, + "bits": [ 1367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31604.11-31604.26" + } + }, + "PLBPPCS0SIZE": { + "hide_name": 0, + "bits": [ 2461, 2462, 2463, 2464 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31677.17-31677.29" + } + }, + "PLBPPCS0TATTRIBUTE": { + "hide_name": 0, + "bits": [ 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31632.18-31632.36" + } + }, + "PLBPPCS0TYPE": { + "hide_name": 0, + "bits": [ 2143, 2144, 2145 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31660.17-31660.29" + } + }, + "PLBPPCS0UABUS": { + "hide_name": 0, + "bits": [ 2492, 2493, 2494, 2495 ], + "offset": 28, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31683.19-31683.32" + } + }, + "PLBPPCS0WRBURST": { + "hide_name": 0, + "bits": [ 1368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31605.11-31605.26" + } + }, + "PLBPPCS0WRDBUS": { + "hide_name": 0, + "bits": [ 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31629.19-31629.33" + } + }, + "PLBPPCS0WRPENDPRI": { + "hide_name": 0, + "bits": [ 2109, 2110 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31643.17-31643.34" + } + }, + "PLBPPCS0WRPENDREQ": { + "hide_name": 0, + "bits": [ 1369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31606.11-31606.28" + } + }, + "PLBPPCS0WRPRIM": { + "hide_name": 0, + "bits": [ 1370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31607.11-31607.25" + } + }, + "PLBPPCS1ABORT": { + "hide_name": 0, + "bits": [ 1371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31608.11-31608.24" + } + }, + "PLBPPCS1ABUS": { + "hide_name": 0, + "bits": [ 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31670.18-31670.30" + } + }, + "PLBPPCS1BE": { + "hide_name": 0, + "bits": [ 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31633.18-31633.28" + } + }, + "PLBPPCS1BUSLOCK": { + "hide_name": 0, + "bits": [ 1372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31609.11-31609.26" + } + }, + "PLBPPCS1LOCKERR": { + "hide_name": 0, + "bits": [ 1373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31610.11-31610.26" + } + }, + "PLBPPCS1MASTERID": { + "hide_name": 0, + "bits": [ 2111, 2112 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31644.17-31644.33" + } + }, + "PLBPPCS1MSIZE": { + "hide_name": 0, + "bits": [ 2113, 2114 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31645.17-31645.30" + } + }, + "PLBPPCS1PAVALID": { + "hide_name": 0, + "bits": [ 1374 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31611.11-31611.26" + } + }, + "PLBPPCS1RDBURST": { + "hide_name": 0, + "bits": [ 1375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31612.11-31612.26" + } + }, + "PLBPPCS1RDPENDPRI": { + "hide_name": 0, + "bits": [ 2115, 2116 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31646.17-31646.34" + } + }, + "PLBPPCS1RDPENDREQ": { + "hide_name": 0, + "bits": [ 1376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31613.11-31613.28" + } + }, + "PLBPPCS1RDPRIM": { + "hide_name": 0, + "bits": [ 1377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31614.11-31614.25" + } + }, + "PLBPPCS1REQPRI": { + "hide_name": 0, + "bits": [ 2117, 2118 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31647.17-31647.31" + } + }, + "PLBPPCS1RNW": { + "hide_name": 0, + "bits": [ 1378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31615.11-31615.22" + } + }, + "PLBPPCS1SAVALID": { + "hide_name": 0, + "bits": [ 1379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31616.11-31616.26" + } + }, + "PLBPPCS1SIZE": { + "hide_name": 0, + "bits": [ 2465, 2466, 2467, 2468 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31678.17-31678.29" + } + }, + "PLBPPCS1TATTRIBUTE": { + "hide_name": 0, + "bits": [ 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31634.18-31634.36" + } + }, + "PLBPPCS1TYPE": { + "hide_name": 0, + "bits": [ 2146, 2147, 2148 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31661.17-31661.29" + } + }, + "PLBPPCS1UABUS": { + "hide_name": 0, + "bits": [ 2496, 2497, 2498, 2499 ], + "offset": 28, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31684.19-31684.32" + } + }, + "PLBPPCS1WRBURST": { + "hide_name": 0, + "bits": [ 1380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31617.11-31617.26" + } + }, + "PLBPPCS1WRDBUS": { + "hide_name": 0, + "bits": [ 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31630.19-31630.33" + } + }, + "PLBPPCS1WRPENDPRI": { + "hide_name": 0, + "bits": [ 2119, 2120 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31648.17-31648.34" + } + }, + "PLBPPCS1WRPENDREQ": { + "hide_name": 0, + "bits": [ 1381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31618.11-31618.28" + } + }, + "PLBPPCS1WRPRIM": { + "hide_name": 0, + "bits": [ 1382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31619.11-31619.25" + } + }, + "PPCCPMINTERCONNECTBUSY": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31437.12-31437.34" + } + }, + "PPCDMDCRABUS": { + "hide_name": 0, + "bits": [ 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31514.18-31514.30" + } + }, + "PPCDMDCRDBUSOUT": { + "hide_name": 0, + "bits": [ 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31490.19-31490.34" + } + }, + "PPCDMDCRREAD": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31438.12-31438.24" + } + }, + "PPCDMDCRUABUS": { + "hide_name": 0, + "bits": [ 1274, 1275 ], + "offset": 20, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31515.20-31515.33" + } + }, + "PPCDMDCRWRITE": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31439.12-31439.25" + } + }, + "PPCDSDCRACK": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31440.12-31440.23" + } + }, + "PPCDSDCRDBUSIN": { + "hide_name": 0, + "bits": [ 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31491.19-31491.33" + } + }, + "PPCDSDCRTIMEOUTWAIT": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31441.12-31441.31" + } + }, + "PPCEICINTERCONNECTIRQ": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31442.12-31442.33" + } + }, + "PPCMPLBABORT": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31443.12-31443.24" + } + }, + "PPCMPLBABUS": { + "hide_name": 0, + "bits": [ 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31492.19-31492.30" + } + }, + "PPCMPLBBE": { + "hide_name": 0, + "bits": [ 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31475.19-31475.28" + } + }, + "PPCMPLBBUSLOCK": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31444.12-31444.26" + } + }, + "PPCMPLBLOCKERR": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31445.12-31445.26" + } + }, + "PPCMPLBPRIORITY": { + "hide_name": 0, + "bits": [ 805, 806 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31477.18-31477.33" + } + }, + "PPCMPLBRDBURST": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31446.12-31446.26" + } + }, + "PPCMPLBREQUEST": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31447.12-31447.26" + } + }, + "PPCMPLBRNW": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31448.12-31448.22" + } + }, + "PPCMPLBSIZE": { + "hide_name": 0, + "bits": [ 1200, 1201, 1202, 1203 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31500.18-31500.29" + } + }, + "PPCMPLBTATTRIBUTE": { + "hide_name": 0, + "bits": [ 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31476.19-31476.36" + } + }, + "PPCMPLBTYPE": { + "hide_name": 0, + "bits": [ 817, 818, 819 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31482.18-31482.29" + } + }, + "PPCMPLBUABUS": { + "hide_name": 0, + "bits": [ 1276, 1277, 1278, 1279 ], + "offset": 28, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31516.20-31516.32" + } + }, + "PPCMPLBWRBURST": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31449.12-31449.26" + } + }, + "PPCMPLBWRDBUS": { + "hide_name": 0, + "bits": [ 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31470.20-31470.33" + } + }, + "PPCS0PLBADDRACK": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31450.12-31450.27" + } + }, + "PPCS0PLBMBUSY": { + "hide_name": 0, + "bits": [ 1204, 1205, 1206, 1207 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31501.18-31501.31" + } + }, + "PPCS0PLBMIRQ": { + "hide_name": 0, + "bits": [ 1208, 1209, 1210, 1211 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31502.18-31502.30" + } + }, + "PPCS0PLBMRDERR": { + "hide_name": 0, + "bits": [ 1212, 1213, 1214, 1215 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31503.18-31503.32" + } + }, + "PPCS0PLBMWRERR": { + "hide_name": 0, + "bits": [ 1216, 1217, 1218, 1219 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31504.18-31504.32" + } + }, + "PPCS0PLBRDBTERM": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31451.12-31451.27" + } + }, + "PPCS0PLBRDCOMP": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31452.12-31452.26" + } + }, + "PPCS0PLBRDDACK": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31453.12-31453.26" + } + }, + "PPCS0PLBRDDBUS": { + "hide_name": 0, + "bits": [ 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31471.20-31471.34" + } + }, + "PPCS0PLBRDWDADDR": { + "hide_name": 0, + "bits": [ 1220, 1221, 1222, 1223 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31505.18-31505.34" + } + }, + "PPCS0PLBREARBITRATE": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31454.12-31454.31" + } + }, + "PPCS0PLBSSIZE": { + "hide_name": 0, + "bits": [ 807, 808 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31478.18-31478.31" + } + }, + "PPCS0PLBWAIT": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31455.12-31455.24" + } + }, + "PPCS0PLBWRBTERM": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31456.12-31456.27" + } + }, + "PPCS0PLBWRCOMP": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31457.12-31457.26" + } + }, + "PPCS0PLBWRDACK": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31458.12-31458.26" + } + }, + "PPCS1PLBADDRACK": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31459.12-31459.27" + } + }, + "PPCS1PLBMBUSY": { + "hide_name": 0, + "bits": [ 1224, 1225, 1226, 1227 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31506.18-31506.31" + } + }, + "PPCS1PLBMIRQ": { + "hide_name": 0, + "bits": [ 1228, 1229, 1230, 1231 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31507.18-31507.30" + } + }, + "PPCS1PLBMRDERR": { + "hide_name": 0, + "bits": [ 1232, 1233, 1234, 1235 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31508.18-31508.32" + } + }, + "PPCS1PLBMWRERR": { + "hide_name": 0, + "bits": [ 1236, 1237, 1238, 1239 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31509.18-31509.32" + } + }, + "PPCS1PLBRDBTERM": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31460.12-31460.27" + } + }, + "PPCS1PLBRDCOMP": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31461.12-31461.26" + } + }, + "PPCS1PLBRDDACK": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31462.12-31462.26" + } + }, + "PPCS1PLBRDDBUS": { + "hide_name": 0, + "bits": [ 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31472.20-31472.34" + } + }, + "PPCS1PLBRDWDADDR": { + "hide_name": 0, + "bits": [ 1240, 1241, 1242, 1243 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31510.18-31510.34" + } + }, + "PPCS1PLBREARBITRATE": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31463.12-31463.31" + } + }, + "PPCS1PLBSSIZE": { + "hide_name": 0, + "bits": [ 809, 810 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31479.18-31479.31" + } + }, + "PPCS1PLBWAIT": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31464.12-31464.24" + } + }, + "PPCS1PLBWRBTERM": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31465.12-31465.27" + } + }, + "PPCS1PLBWRCOMP": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31466.12-31466.26" + } + }, + "PPCS1PLBWRDACK": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31467.12-31467.26" + } + }, + "RSTC440RESETCHIP": { + "hide_name": 0, + "bits": [ 1383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31620.11-31620.27" + } + }, + "RSTC440RESETCORE": { + "hide_name": 0, + "bits": [ 1384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31621.11-31621.27" + } + }, + "RSTC440RESETSYSTEM": { + "hide_name": 0, + "bits": [ 1385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31622.11-31622.29" + } + }, + "TIEC440DCURDLDCACHEPLBPRIO": { + "hide_name": 0, + "bits": [ 2121, 2122 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31649.17-31649.43" + } + }, + "TIEC440DCURDNONCACHEPLBPRIO": { + "hide_name": 0, + "bits": [ 2123, 2124 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31650.17-31650.44" + } + }, + "TIEC440DCURDTOUCHPLBPRIO": { + "hide_name": 0, + "bits": [ 2125, 2126 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31651.17-31651.41" + } + }, + "TIEC440DCURDURGENTPLBPRIO": { + "hide_name": 0, + "bits": [ 2127, 2128 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31652.17-31652.42" + } + }, + "TIEC440DCUWRFLUSHPLBPRIO": { + "hide_name": 0, + "bits": [ 2129, 2130 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31653.17-31653.41" + } + }, + "TIEC440DCUWRSTOREPLBPRIO": { + "hide_name": 0, + "bits": [ 2131, 2132 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31654.17-31654.41" + } + }, + "TIEC440DCUWRURGENTPLBPRIO": { + "hide_name": 0, + "bits": [ 2133, 2134 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31655.17-31655.42" + } + }, + "TIEC440ENDIANRESET": { + "hide_name": 0, + "bits": [ 1386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31623.11-31623.29" + } + }, + "TIEC440ERPNRESET": { + "hide_name": 0, + "bits": [ 2469, 2470, 2471, 2472 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31679.17-31679.33" + } + }, + "TIEC440ICURDFETCHPLBPRIO": { + "hide_name": 0, + "bits": [ 2135, 2136 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31656.17-31656.41" + } + }, + "TIEC440ICURDSPECPLBPRIO": { + "hide_name": 0, + "bits": [ 2137, 2138 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31657.17-31657.40" + } + }, + "TIEC440ICURDTOUCHPLBPRIO": { + "hide_name": 0, + "bits": [ 2139, 2140 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31658.17-31658.41" + } + }, + "TIEC440PIR": { + "hide_name": 0, + "bits": [ 2500, 2501, 2502, 2503 ], + "offset": 28, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31685.19-31685.29" + } + }, + "TIEC440PVR": { + "hide_name": 0, + "bits": [ 2504, 2505, 2506, 2507 ], + "offset": 28, + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31686.19-31686.29" + } + }, + "TIEC440USERRESET": { + "hide_name": 0, + "bits": [ 2473, 2474, 2475, 2476 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31680.17-31680.33" + } + }, + "TIEDCRBASEADDR": { + "hide_name": 0, + "bits": [ 2141, 2142 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31659.17-31659.31" + } + }, + "TRCC440TRACEDISABLE": { + "hide_name": 0, + "bits": [ 1387 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31624.11-31624.30" + } + }, + "TRCC440TRIGGEREVENTIN": { + "hide_name": 0, + "bits": [ 1388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31625.11-31625.32" + } + } + } + }, + "PS7": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31690.1-32311.10" + }, + "ports": { + "DMA0DAVALID": { + "direction": "output", + "bits": [ 2 ] + }, + "DMA0DRREADY": { + "direction": "output", + "bits": [ 3 ] + }, + "DMA0RSTN": { + "direction": "output", + "bits": [ 4 ] + }, + "DMA1DAVALID": { + "direction": "output", + "bits": [ 5 ] + }, + "DMA1DRREADY": { + "direction": "output", + "bits": [ 6 ] + }, + "DMA1RSTN": { + "direction": "output", + "bits": [ 7 ] + }, + "DMA2DAVALID": { + "direction": "output", + "bits": [ 8 ] + }, + "DMA2DRREADY": { + "direction": "output", + "bits": [ 9 ] + }, + "DMA2RSTN": { + "direction": "output", + "bits": [ 10 ] + }, + "DMA3DAVALID": { + "direction": "output", + "bits": [ 11 ] + }, + "DMA3DRREADY": { + "direction": "output", + "bits": [ 12 ] + }, + "DMA3RSTN": { + "direction": "output", + "bits": [ 13 ] + }, + "EMIOCAN0PHYTX": { + "direction": "output", + "bits": [ 14 ] + }, + "EMIOCAN1PHYTX": { + "direction": "output", + "bits": [ 15 ] + }, + "EMIOENET0GMIITXEN": { + "direction": "output", + "bits": [ 16 ] + }, + "EMIOENET0GMIITXER": { + "direction": "output", + "bits": [ 17 ] + }, + "EMIOENET0MDIOMDC": { + "direction": "output", + "bits": [ 18 ] + }, + "EMIOENET0MDIOO": { + "direction": "output", + "bits": [ 19 ] + }, + "EMIOENET0MDIOTN": { + "direction": "output", + "bits": [ 20 ] + }, + "EMIOENET0PTPDELAYREQRX": { + "direction": "output", + "bits": [ 21 ] + }, + "EMIOENET0PTPDELAYREQTX": { + "direction": "output", + "bits": [ 22 ] + }, + "EMIOENET0PTPPDELAYREQRX": { + "direction": "output", + "bits": [ 23 ] + }, + "EMIOENET0PTPPDELAYREQTX": { + "direction": "output", + "bits": [ 24 ] + }, + "EMIOENET0PTPPDELAYRESPRX": { + "direction": "output", + "bits": [ 25 ] + }, + "EMIOENET0PTPPDELAYRESPTX": { + "direction": "output", + "bits": [ 26 ] + }, + "EMIOENET0PTPSYNCFRAMERX": { + "direction": "output", + "bits": [ 27 ] + }, + "EMIOENET0PTPSYNCFRAMETX": { + "direction": "output", + "bits": [ 28 ] + }, + "EMIOENET0SOFRX": { + "direction": "output", + "bits": [ 29 ] + }, + "EMIOENET0SOFTX": { + "direction": "output", + "bits": [ 30 ] + }, + "EMIOENET1GMIITXEN": { + "direction": "output", + "bits": [ 31 ] + }, + "EMIOENET1GMIITXER": { + "direction": "output", + "bits": [ 32 ] + }, + "EMIOENET1MDIOMDC": { + "direction": "output", + "bits": [ 33 ] + }, + "EMIOENET1MDIOO": { + "direction": "output", + "bits": [ 34 ] + }, + "EMIOENET1MDIOTN": { + "direction": "output", + "bits": [ 35 ] + }, + "EMIOENET1PTPDELAYREQRX": { + "direction": "output", + "bits": [ 36 ] + }, + "EMIOENET1PTPDELAYREQTX": { + "direction": "output", + "bits": [ 37 ] + }, + "EMIOENET1PTPPDELAYREQRX": { + "direction": "output", + "bits": [ 38 ] + }, + "EMIOENET1PTPPDELAYREQTX": { + "direction": "output", + "bits": [ 39 ] + }, + "EMIOENET1PTPPDELAYRESPRX": { + "direction": "output", + "bits": [ 40 ] + }, + "EMIOENET1PTPPDELAYRESPTX": { + "direction": "output", + "bits": [ 41 ] + }, + "EMIOENET1PTPSYNCFRAMERX": { + "direction": "output", + "bits": [ 42 ] + }, + "EMIOENET1PTPSYNCFRAMETX": { + "direction": "output", + "bits": [ 43 ] + }, + "EMIOENET1SOFRX": { + "direction": "output", + "bits": [ 44 ] + }, + "EMIOENET1SOFTX": { + "direction": "output", + "bits": [ 45 ] + }, + "EMIOI2C0SCLO": { + "direction": "output", + "bits": [ 46 ] + }, + "EMIOI2C0SCLTN": { + "direction": "output", + "bits": [ 47 ] + }, + "EMIOI2C0SDAO": { + "direction": "output", + "bits": [ 48 ] + }, + "EMIOI2C0SDATN": { + "direction": "output", + "bits": [ 49 ] + }, + "EMIOI2C1SCLO": { + "direction": "output", + "bits": [ 50 ] + }, + "EMIOI2C1SCLTN": { + "direction": "output", + "bits": [ 51 ] + }, + "EMIOI2C1SDAO": { + "direction": "output", + "bits": [ 52 ] + }, + "EMIOI2C1SDATN": { + "direction": "output", + "bits": [ 53 ] + }, + "EMIOPJTAGTDO": { + "direction": "output", + "bits": [ 54 ] + }, + "EMIOPJTAGTDTN": { + "direction": "output", + "bits": [ 55 ] + }, + "EMIOSDIO0BUSPOW": { + "direction": "output", + "bits": [ 56 ] + }, + "EMIOSDIO0CLK": { + "direction": "output", + "bits": [ 57 ] + }, + "EMIOSDIO0CMDO": { + "direction": "output", + "bits": [ 58 ] + }, + "EMIOSDIO0CMDTN": { + "direction": "output", + "bits": [ 59 ] + }, + "EMIOSDIO0LED": { + "direction": "output", + "bits": [ 60 ] + }, + "EMIOSDIO1BUSPOW": { + "direction": "output", + "bits": [ 61 ] + }, + "EMIOSDIO1CLK": { + "direction": "output", + "bits": [ 62 ] + }, + "EMIOSDIO1CMDO": { + "direction": "output", + "bits": [ 63 ] + }, + "EMIOSDIO1CMDTN": { + "direction": "output", + "bits": [ 64 ] + }, + "EMIOSDIO1LED": { + "direction": "output", + "bits": [ 65 ] + }, + "EMIOSPI0MO": { + "direction": "output", + "bits": [ 66 ] + }, + "EMIOSPI0MOTN": { + "direction": "output", + "bits": [ 67 ] + }, + "EMIOSPI0SCLKO": { + "direction": "output", + "bits": [ 68 ] + }, + "EMIOSPI0SCLKTN": { + "direction": "output", + "bits": [ 69 ] + }, + "EMIOSPI0SO": { + "direction": "output", + "bits": [ 70 ] + }, + "EMIOSPI0SSNTN": { + "direction": "output", + "bits": [ 71 ] + }, + "EMIOSPI0STN": { + "direction": "output", + "bits": [ 72 ] + }, + "EMIOSPI1MO": { + "direction": "output", + "bits": [ 73 ] + }, + "EMIOSPI1MOTN": { + "direction": "output", + "bits": [ 74 ] + }, + "EMIOSPI1SCLKO": { + "direction": "output", + "bits": [ 75 ] + }, + "EMIOSPI1SCLKTN": { + "direction": "output", + "bits": [ 76 ] + }, + "EMIOSPI1SO": { + "direction": "output", + "bits": [ 77 ] + }, + "EMIOSPI1SSNTN": { + "direction": "output", + "bits": [ 78 ] + }, + "EMIOSPI1STN": { + "direction": "output", + "bits": [ 79 ] + }, + "EMIOTRACECTL": { + "direction": "output", + "bits": [ 80 ] + }, + "EMIOUART0DTRN": { + "direction": "output", + "bits": [ 81 ] + }, + "EMIOUART0RTSN": { + "direction": "output", + "bits": [ 82 ] + }, + "EMIOUART0TX": { + "direction": "output", + "bits": [ 83 ] + }, + "EMIOUART1DTRN": { + "direction": "output", + "bits": [ 84 ] + }, + "EMIOUART1RTSN": { + "direction": "output", + "bits": [ 85 ] + }, + "EMIOUART1TX": { + "direction": "output", + "bits": [ 86 ] + }, + "EMIOUSB0VBUSPWRSELECT": { + "direction": "output", + "bits": [ 87 ] + }, + "EMIOUSB1VBUSPWRSELECT": { + "direction": "output", + "bits": [ 88 ] + }, + "EMIOWDTRSTO": { + "direction": "output", + "bits": [ 89 ] + }, + "EVENTEVENTO": { + "direction": "output", + "bits": [ 90 ] + }, + "MAXIGP0ARESETN": { + "direction": "output", + "bits": [ 91 ] + }, + "MAXIGP0ARVALID": { + "direction": "output", + "bits": [ 92 ] + }, + "MAXIGP0AWVALID": { + "direction": "output", + "bits": [ 93 ] + }, + "MAXIGP0BREADY": { + "direction": "output", + "bits": [ 94 ] + }, + "MAXIGP0RREADY": { + "direction": "output", + "bits": [ 95 ] + }, + "MAXIGP0WLAST": { + "direction": "output", + "bits": [ 96 ] + }, + "MAXIGP0WVALID": { + "direction": "output", + "bits": [ 97 ] + }, + "MAXIGP1ARESETN": { + "direction": "output", + "bits": [ 98 ] + }, + "MAXIGP1ARVALID": { + "direction": "output", + "bits": [ 99 ] + }, + "MAXIGP1AWVALID": { + "direction": "output", + "bits": [ 100 ] + }, + "MAXIGP1BREADY": { + "direction": "output", + "bits": [ 101 ] + }, + "MAXIGP1RREADY": { + "direction": "output", + "bits": [ 102 ] + }, + "MAXIGP1WLAST": { + "direction": "output", + "bits": [ 103 ] + }, + "MAXIGP1WVALID": { + "direction": "output", + "bits": [ 104 ] + }, + "SAXIACPARESETN": { + "direction": "output", + "bits": [ 105 ] + }, + "SAXIACPARREADY": { + "direction": "output", + "bits": [ 106 ] + }, + "SAXIACPAWREADY": { + "direction": "output", + "bits": [ 107 ] + }, + "SAXIACPBVALID": { + "direction": "output", + "bits": [ 108 ] + }, + "SAXIACPRLAST": { + "direction": "output", + "bits": [ 109 ] + }, + "SAXIACPRVALID": { + "direction": "output", + "bits": [ 110 ] + }, + "SAXIACPWREADY": { + "direction": "output", + "bits": [ 111 ] + }, + "SAXIGP0ARESETN": { + "direction": "output", + "bits": [ 112 ] + }, + "SAXIGP0ARREADY": { + "direction": "output", + "bits": [ 113 ] + }, + "SAXIGP0AWREADY": { + "direction": "output", + "bits": [ 114 ] + }, + "SAXIGP0BVALID": { + "direction": "output", + "bits": [ 115 ] + }, + "SAXIGP0RLAST": { + "direction": "output", + "bits": [ 116 ] + }, + "SAXIGP0RVALID": { + "direction": "output", + "bits": [ 117 ] + }, + "SAXIGP0WREADY": { + "direction": "output", + "bits": [ 118 ] + }, + "SAXIGP1ARESETN": { + "direction": "output", + "bits": [ 119 ] + }, + "SAXIGP1ARREADY": { + "direction": "output", + "bits": [ 120 ] + }, + "SAXIGP1AWREADY": { + "direction": "output", + "bits": [ 121 ] + }, + "SAXIGP1BVALID": { + "direction": "output", + "bits": [ 122 ] + }, + "SAXIGP1RLAST": { + "direction": "output", + "bits": [ 123 ] + }, + "SAXIGP1RVALID": { + "direction": "output", + "bits": [ 124 ] + }, + "SAXIGP1WREADY": { + "direction": "output", + "bits": [ 125 ] + }, + "SAXIHP0ARESETN": { + "direction": "output", + "bits": [ 126 ] + }, + "SAXIHP0ARREADY": { + "direction": "output", + "bits": [ 127 ] + }, + "SAXIHP0AWREADY": { + "direction": "output", + "bits": [ 128 ] + }, + "SAXIHP0BVALID": { + "direction": "output", + "bits": [ 129 ] + }, + "SAXIHP0RLAST": { + "direction": "output", + "bits": [ 130 ] + }, + "SAXIHP0RVALID": { + "direction": "output", + "bits": [ 131 ] + }, + "SAXIHP0WREADY": { + "direction": "output", + "bits": [ 132 ] + }, + "SAXIHP1ARESETN": { + "direction": "output", + "bits": [ 133 ] + }, + "SAXIHP1ARREADY": { + "direction": "output", + "bits": [ 134 ] + }, + "SAXIHP1AWREADY": { + "direction": "output", + "bits": [ 135 ] + }, + "SAXIHP1BVALID": { + "direction": "output", + "bits": [ 136 ] + }, + "SAXIHP1RLAST": { + "direction": "output", + "bits": [ 137 ] + }, + "SAXIHP1RVALID": { + "direction": "output", + "bits": [ 138 ] + }, + "SAXIHP1WREADY": { + "direction": "output", + "bits": [ 139 ] + }, + "SAXIHP2ARESETN": { + "direction": "output", + "bits": [ 140 ] + }, + "SAXIHP2ARREADY": { + "direction": "output", + "bits": [ 141 ] + }, + "SAXIHP2AWREADY": { + "direction": "output", + "bits": [ 142 ] + }, + "SAXIHP2BVALID": { + "direction": "output", + "bits": [ 143 ] + }, + "SAXIHP2RLAST": { + "direction": "output", + "bits": [ 144 ] + }, + "SAXIHP2RVALID": { + "direction": "output", + "bits": [ 145 ] + }, + "SAXIHP2WREADY": { + "direction": "output", + "bits": [ 146 ] + }, + "SAXIHP3ARESETN": { + "direction": "output", + "bits": [ 147 ] + }, + "SAXIHP3ARREADY": { + "direction": "output", + "bits": [ 148 ] + }, + "SAXIHP3AWREADY": { + "direction": "output", + "bits": [ 149 ] + }, + "SAXIHP3BVALID": { + "direction": "output", + "bits": [ 150 ] + }, + "SAXIHP3RLAST": { + "direction": "output", + "bits": [ 151 ] + }, + "SAXIHP3RVALID": { + "direction": "output", + "bits": [ 152 ] + }, + "SAXIHP3WREADY": { + "direction": "output", + "bits": [ 153 ] + }, + "MAXIGP0ARID": { + "direction": "output", + "bits": [ 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165 ] + }, + "MAXIGP0AWID": { + "direction": "output", + "bits": [ 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177 ] + }, + "MAXIGP0WID": { + "direction": "output", + "bits": [ 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ] + }, + "MAXIGP1ARID": { + "direction": "output", + "bits": [ 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201 ] + }, + "MAXIGP1AWID": { + "direction": "output", + "bits": [ 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213 ] + }, + "MAXIGP1WID": { + "direction": "output", + "bits": [ 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225 ] + }, + "DMA0DATYPE": { + "direction": "output", + "bits": [ 226, 227 ] + }, + "DMA1DATYPE": { + "direction": "output", + "bits": [ 228, 229 ] + }, + "DMA2DATYPE": { + "direction": "output", + "bits": [ 230, 231 ] + }, + "DMA3DATYPE": { + "direction": "output", + "bits": [ 232, 233 ] + }, + "EMIOUSB0PORTINDCTL": { + "direction": "output", + "bits": [ 234, 235 ] + }, + "EMIOUSB1PORTINDCTL": { + "direction": "output", + "bits": [ 236, 237 ] + }, + "EVENTSTANDBYWFE": { + "direction": "output", + "bits": [ 238, 239 ] + }, + "EVENTSTANDBYWFI": { + "direction": "output", + "bits": [ 240, 241 ] + }, + "MAXIGP0ARBURST": { + "direction": "output", + "bits": [ 242, 243 ] + }, + "MAXIGP0ARLOCK": { + "direction": "output", + "bits": [ 244, 245 ] + }, + "MAXIGP0ARSIZE": { + "direction": "output", + "bits": [ 246, 247 ] + }, + "MAXIGP0AWBURST": { + "direction": "output", + "bits": [ 248, 249 ] + }, + "MAXIGP0AWLOCK": { + "direction": "output", + "bits": [ 250, 251 ] + }, + "MAXIGP0AWSIZE": { + "direction": "output", + "bits": [ 252, 253 ] + }, + "MAXIGP1ARBURST": { + "direction": "output", + "bits": [ 254, 255 ] + }, + "MAXIGP1ARLOCK": { + "direction": "output", + "bits": [ 256, 257 ] + }, + "MAXIGP1ARSIZE": { + "direction": "output", + "bits": [ 258, 259 ] + }, + "MAXIGP1AWBURST": { + "direction": "output", + "bits": [ 260, 261 ] + }, + "MAXIGP1AWLOCK": { + "direction": "output", + "bits": [ 262, 263 ] + }, + "MAXIGP1AWSIZE": { + "direction": "output", + "bits": [ 264, 265 ] + }, + "SAXIACPBRESP": { + "direction": "output", + "bits": [ 266, 267 ] + }, + "SAXIACPRRESP": { + "direction": "output", + "bits": [ 268, 269 ] + }, + "SAXIGP0BRESP": { + "direction": "output", + "bits": [ 270, 271 ] + }, + "SAXIGP0RRESP": { + "direction": "output", + "bits": [ 272, 273 ] + }, + "SAXIGP1BRESP": { + "direction": "output", + "bits": [ 274, 275 ] + }, + "SAXIGP1RRESP": { + "direction": "output", + "bits": [ 276, 277 ] + }, + "SAXIHP0BRESP": { + "direction": "output", + "bits": [ 278, 279 ] + }, + "SAXIHP0RRESP": { + "direction": "output", + "bits": [ 280, 281 ] + }, + "SAXIHP1BRESP": { + "direction": "output", + "bits": [ 282, 283 ] + }, + "SAXIHP1RRESP": { + "direction": "output", + "bits": [ 284, 285 ] + }, + "SAXIHP2BRESP": { + "direction": "output", + "bits": [ 286, 287 ] + }, + "SAXIHP2RRESP": { + "direction": "output", + "bits": [ 288, 289 ] + }, + "SAXIHP3BRESP": { + "direction": "output", + "bits": [ 290, 291 ] + }, + "SAXIHP3RRESP": { + "direction": "output", + "bits": [ 292, 293 ] + }, + "IRQP2F": { + "direction": "output", + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322 ] + }, + "EMIOSDIO0BUSVOLT": { + "direction": "output", + "bits": [ 323, 324, 325 ] + }, + "EMIOSDIO1BUSVOLT": { + "direction": "output", + "bits": [ 326, 327, 328 ] + }, + "EMIOSPI0SSON": { + "direction": "output", + "bits": [ 329, 330, 331 ] + }, + "EMIOSPI1SSON": { + "direction": "output", + "bits": [ 332, 333, 334 ] + }, + "EMIOTTC0WAVEO": { + "direction": "output", + "bits": [ 335, 336, 337 ] + }, + "EMIOTTC1WAVEO": { + "direction": "output", + "bits": [ 338, 339, 340 ] + }, + "MAXIGP0ARPROT": { + "direction": "output", + "bits": [ 341, 342, 343 ] + }, + "MAXIGP0AWPROT": { + "direction": "output", + "bits": [ 344, 345, 346 ] + }, + "MAXIGP1ARPROT": { + "direction": "output", + "bits": [ 347, 348, 349 ] + }, + "MAXIGP1AWPROT": { + "direction": "output", + "bits": [ 350, 351, 352 ] + }, + "SAXIACPBID": { + "direction": "output", + "bits": [ 353, 354, 355 ] + }, + "SAXIACPRID": { + "direction": "output", + "bits": [ 356, 357, 358 ] + }, + "SAXIHP0RACOUNT": { + "direction": "output", + "bits": [ 359, 360, 361 ] + }, + "SAXIHP1RACOUNT": { + "direction": "output", + "bits": [ 362, 363, 364 ] + }, + "SAXIHP2RACOUNT": { + "direction": "output", + "bits": [ 365, 366, 367 ] + }, + "SAXIHP3RACOUNT": { + "direction": "output", + "bits": [ 368, 369, 370 ] + }, + "EMIOTRACEDATA": { + "direction": "output", + "bits": [ 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402 ] + }, + "FTMTP2FDEBUG": { + "direction": "output", + "bits": [ 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434 ] + }, + "MAXIGP0ARADDR": { + "direction": "output", + "bits": [ 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466 ] + }, + "MAXIGP0AWADDR": { + "direction": "output", + "bits": [ 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498 ] + }, + "MAXIGP0WDATA": { + "direction": "output", + "bits": [ 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530 ] + }, + "MAXIGP1ARADDR": { + "direction": "output", + "bits": [ 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562 ] + }, + "MAXIGP1AWADDR": { + "direction": "output", + "bits": [ 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594 ] + }, + "MAXIGP1WDATA": { + "direction": "output", + "bits": [ 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626 ] + }, + "SAXIGP0RDATA": { + "direction": "output", + "bits": [ 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658 ] + }, + "SAXIGP1RDATA": { + "direction": "output", + "bits": [ 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690 ] + }, + "EMIOSDIO0DATAO": { + "direction": "output", + "bits": [ 691, 692, 693, 694 ] + }, + "EMIOSDIO0DATATN": { + "direction": "output", + "bits": [ 695, 696, 697, 698 ] + }, + "EMIOSDIO1DATAO": { + "direction": "output", + "bits": [ 699, 700, 701, 702 ] + }, + "EMIOSDIO1DATATN": { + "direction": "output", + "bits": [ 703, 704, 705, 706 ] + }, + "FCLKCLK": { + "direction": "output", + "bits": [ 707, 708, 709, 710 ] + }, + "FCLKRESETN": { + "direction": "output", + "bits": [ 711, 712, 713, 714 ] + }, + "FTMTF2PTRIGACK": { + "direction": "output", + "bits": [ 715, 716, 717, 718 ] + }, + "FTMTP2FTRIG": { + "direction": "output", + "bits": [ 719, 720, 721, 722 ] + }, + "MAXIGP0ARCACHE": { + "direction": "output", + "bits": [ 723, 724, 725, 726 ] + }, + "MAXIGP0ARLEN": { + "direction": "output", + "bits": [ 727, 728, 729, 730 ] + }, + "MAXIGP0ARQOS": { + "direction": "output", + "bits": [ 731, 732, 733, 734 ] + }, + "MAXIGP0AWCACHE": { + "direction": "output", + "bits": [ 735, 736, 737, 738 ] + }, + "MAXIGP0AWLEN": { + "direction": "output", + "bits": [ 739, 740, 741, 742 ] + }, + "MAXIGP0AWQOS": { + "direction": "output", + "bits": [ 743, 744, 745, 746 ] + }, + "MAXIGP0WSTRB": { + "direction": "output", + "bits": [ 747, 748, 749, 750 ] + }, + "MAXIGP1ARCACHE": { + "direction": "output", + "bits": [ 751, 752, 753, 754 ] + }, + "MAXIGP1ARLEN": { + "direction": "output", + "bits": [ 755, 756, 757, 758 ] + }, + "MAXIGP1ARQOS": { + "direction": "output", + "bits": [ 759, 760, 761, 762 ] + }, + "MAXIGP1AWCACHE": { + "direction": "output", + "bits": [ 763, 764, 765, 766 ] + }, + "MAXIGP1AWLEN": { + "direction": "output", + "bits": [ 767, 768, 769, 770 ] + }, + "MAXIGP1AWQOS": { + "direction": "output", + "bits": [ 771, 772, 773, 774 ] + }, + "MAXIGP1WSTRB": { + "direction": "output", + "bits": [ 775, 776, 777, 778 ] + }, + "SAXIGP0BID": { + "direction": "output", + "bits": [ 779, 780, 781, 782, 783, 784 ] + }, + "SAXIGP0RID": { + "direction": "output", + "bits": [ 785, 786, 787, 788, 789, 790 ] + }, + "SAXIGP1BID": { + "direction": "output", + "bits": [ 791, 792, 793, 794, 795, 796 ] + }, + "SAXIGP1RID": { + "direction": "output", + "bits": [ 797, 798, 799, 800, 801, 802 ] + }, + "SAXIHP0BID": { + "direction": "output", + "bits": [ 803, 804, 805, 806, 807, 808 ] + }, + "SAXIHP0RID": { + "direction": "output", + "bits": [ 809, 810, 811, 812, 813, 814 ] + }, + "SAXIHP0WACOUNT": { + "direction": "output", + "bits": [ 815, 816, 817, 818, 819, 820 ] + }, + "SAXIHP1BID": { + "direction": "output", + "bits": [ 821, 822, 823, 824, 825, 826 ] + }, + "SAXIHP1RID": { + "direction": "output", + "bits": [ 827, 828, 829, 830, 831, 832 ] + }, + "SAXIHP1WACOUNT": { + "direction": "output", + "bits": [ 833, 834, 835, 836, 837, 838 ] + }, + "SAXIHP2BID": { + "direction": "output", + "bits": [ 839, 840, 841, 842, 843, 844 ] + }, + "SAXIHP2RID": { + "direction": "output", + "bits": [ 845, 846, 847, 848, 849, 850 ] + }, + "SAXIHP2WACOUNT": { + "direction": "output", + "bits": [ 851, 852, 853, 854, 855, 856 ] + }, + "SAXIHP3BID": { + "direction": "output", + "bits": [ 857, 858, 859, 860, 861, 862 ] + }, + "SAXIHP3RID": { + "direction": "output", + "bits": [ 863, 864, 865, 866, 867, 868 ] + }, + "SAXIHP3WACOUNT": { + "direction": "output", + "bits": [ 869, 870, 871, 872, 873, 874 ] + }, + "EMIOGPIOO": { + "direction": "output", + "bits": [ 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938 ] + }, + "EMIOGPIOTN": { + "direction": "output", + "bits": [ 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002 ] + }, + "SAXIACPRDATA": { + "direction": "output", + "bits": [ 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066 ] + }, + "SAXIHP0RDATA": { + "direction": "output", + "bits": [ 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130 ] + }, + "SAXIHP1RDATA": { + "direction": "output", + "bits": [ 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194 ] + }, + "SAXIHP2RDATA": { + "direction": "output", + "bits": [ 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258 ] + }, + "SAXIHP3RDATA": { + "direction": "output", + "bits": [ 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322 ] + }, + "EMIOENET0GMIITXD": { + "direction": "output", + "bits": [ 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330 ] + }, + "EMIOENET1GMIITXD": { + "direction": "output", + "bits": [ 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338 ] + }, + "SAXIHP0RCOUNT": { + "direction": "output", + "bits": [ 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346 ] + }, + "SAXIHP0WCOUNT": { + "direction": "output", + "bits": [ 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354 ] + }, + "SAXIHP1RCOUNT": { + "direction": "output", + "bits": [ 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362 ] + }, + "SAXIHP1WCOUNT": { + "direction": "output", + "bits": [ 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370 ] + }, + "SAXIHP2RCOUNT": { + "direction": "output", + "bits": [ 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378 ] + }, + "SAXIHP2WCOUNT": { + "direction": "output", + "bits": [ 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386 ] + }, + "SAXIHP3RCOUNT": { + "direction": "output", + "bits": [ 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394 ] + }, + "SAXIHP3WCOUNT": { + "direction": "output", + "bits": [ 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402 ] + }, + "DDRCASB": { + "direction": "inout", + "bits": [ 1403 ] + }, + "DDRCKE": { + "direction": "inout", + "bits": [ 1404 ] + }, + "DDRCKN": { + "direction": "inout", + "bits": [ 1405 ] + }, + "DDRCKP": { + "direction": "inout", + "bits": [ 1406 ] + }, + "DDRCSB": { + "direction": "inout", + "bits": [ 1407 ] + }, + "DDRDRSTB": { + "direction": "inout", + "bits": [ 1408 ] + }, + "DDRODT": { + "direction": "inout", + "bits": [ 1409 ] + }, + "DDRRASB": { + "direction": "inout", + "bits": [ 1410 ] + }, + "DDRVRN": { + "direction": "inout", + "bits": [ 1411 ] + }, + "DDRVRP": { + "direction": "inout", + "bits": [ 1412 ] + }, + "DDRWEB": { + "direction": "inout", + "bits": [ 1413 ] + }, + "PSCLK": { + "direction": "inout", + "bits": [ 1414 ] + }, + "PSPORB": { + "direction": "inout", + "bits": [ 1415 ] + }, + "PSSRSTB": { + "direction": "inout", + "bits": [ 1416 ] + }, + "DDRA": { + "direction": "inout", + "bits": [ 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431 ] + }, + "DDRBA": { + "direction": "inout", + "bits": [ 1432, 1433, 1434 ] + }, + "DDRDQ": { + "direction": "inout", + "bits": [ 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466 ] + }, + "DDRDM": { + "direction": "inout", + "bits": [ 1467, 1468, 1469, 1470 ] + }, + "DDRDQSN": { + "direction": "inout", + "bits": [ 1471, 1472, 1473, 1474 ] + }, + "DDRDQSP": { + "direction": "inout", + "bits": [ 1475, 1476, 1477, 1478 ] + }, + "MIO": { + "direction": "inout", + "bits": [ 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532 ] + }, + "DMA0ACLK": { + "direction": "input", + "bits": [ 1533 ] + }, + "DMA0DAREADY": { + "direction": "input", + "bits": [ 1534 ] + }, + "DMA0DRLAST": { + "direction": "input", + "bits": [ 1535 ] + }, + "DMA0DRVALID": { + "direction": "input", + "bits": [ 1536 ] + }, + "DMA1ACLK": { + "direction": "input", + "bits": [ 1537 ] + }, + "DMA1DAREADY": { + "direction": "input", + "bits": [ 1538 ] + }, + "DMA1DRLAST": { + "direction": "input", + "bits": [ 1539 ] + }, + "DMA1DRVALID": { + "direction": "input", + "bits": [ 1540 ] + }, + "DMA2ACLK": { + "direction": "input", + "bits": [ 1541 ] + }, + "DMA2DAREADY": { + "direction": "input", + "bits": [ 1542 ] + }, + "DMA2DRLAST": { + "direction": "input", + "bits": [ 1543 ] + }, + "DMA2DRVALID": { + "direction": "input", + "bits": [ 1544 ] + }, + "DMA3ACLK": { + "direction": "input", + "bits": [ 1545 ] + }, + "DMA3DAREADY": { + "direction": "input", + "bits": [ 1546 ] + }, + "DMA3DRLAST": { + "direction": "input", + "bits": [ 1547 ] + }, + "DMA3DRVALID": { + "direction": "input", + "bits": [ 1548 ] + }, + "EMIOCAN0PHYRX": { + "direction": "input", + "bits": [ 1549 ] + }, + "EMIOCAN1PHYRX": { + "direction": "input", + "bits": [ 1550 ] + }, + "EMIOENET0EXTINTIN": { + "direction": "input", + "bits": [ 1551 ] + }, + "EMIOENET0GMIICOL": { + "direction": "input", + "bits": [ 1552 ] + }, + "EMIOENET0GMIICRS": { + "direction": "input", + "bits": [ 1553 ] + }, + "EMIOENET0GMIIRXCLK": { + "direction": "input", + "bits": [ 1554 ] + }, + "EMIOENET0GMIIRXDV": { + "direction": "input", + "bits": [ 1555 ] + }, + "EMIOENET0GMIIRXER": { + "direction": "input", + "bits": [ 1556 ] + }, + "EMIOENET0GMIITXCLK": { + "direction": "input", + "bits": [ 1557 ] + }, + "EMIOENET0MDIOI": { + "direction": "input", + "bits": [ 1558 ] + }, + "EMIOENET1EXTINTIN": { + "direction": "input", + "bits": [ 1559 ] + }, + "EMIOENET1GMIICOL": { + "direction": "input", + "bits": [ 1560 ] + }, + "EMIOENET1GMIICRS": { + "direction": "input", + "bits": [ 1561 ] + }, + "EMIOENET1GMIIRXCLK": { + "direction": "input", + "bits": [ 1562 ] + }, + "EMIOENET1GMIIRXDV": { + "direction": "input", + "bits": [ 1563 ] + }, + "EMIOENET1GMIIRXER": { + "direction": "input", + "bits": [ 1564 ] + }, + "EMIOENET1GMIITXCLK": { + "direction": "input", + "bits": [ 1565 ] + }, + "EMIOENET1MDIOI": { + "direction": "input", + "bits": [ 1566 ] + }, + "EMIOI2C0SCLI": { + "direction": "input", + "bits": [ 1567 ] + }, + "EMIOI2C0SDAI": { + "direction": "input", + "bits": [ 1568 ] + }, + "EMIOI2C1SCLI": { + "direction": "input", + "bits": [ 1569 ] + }, + "EMIOI2C1SDAI": { + "direction": "input", + "bits": [ 1570 ] + }, + "EMIOPJTAGTCK": { + "direction": "input", + "bits": [ 1571 ] + }, + "EMIOPJTAGTDI": { + "direction": "input", + "bits": [ 1572 ] + }, + "EMIOPJTAGTMS": { + "direction": "input", + "bits": [ 1573 ] + }, + "EMIOSDIO0CDN": { + "direction": "input", + "bits": [ 1574 ] + }, + "EMIOSDIO0CLKFB": { + "direction": "input", + "bits": [ 1575 ] + }, + "EMIOSDIO0CMDI": { + "direction": "input", + "bits": [ 1576 ] + }, + "EMIOSDIO0WP": { + "direction": "input", + "bits": [ 1577 ] + }, + "EMIOSDIO1CDN": { + "direction": "input", + "bits": [ 1578 ] + }, + "EMIOSDIO1CLKFB": { + "direction": "input", + "bits": [ 1579 ] + }, + "EMIOSDIO1CMDI": { + "direction": "input", + "bits": [ 1580 ] + }, + "EMIOSDIO1WP": { + "direction": "input", + "bits": [ 1581 ] + }, + "EMIOSPI0MI": { + "direction": "input", + "bits": [ 1582 ] + }, + "EMIOSPI0SCLKI": { + "direction": "input", + "bits": [ 1583 ] + }, + "EMIOSPI0SI": { + "direction": "input", + "bits": [ 1584 ] + }, + "EMIOSPI0SSIN": { + "direction": "input", + "bits": [ 1585 ] + }, + "EMIOSPI1MI": { + "direction": "input", + "bits": [ 1586 ] + }, + "EMIOSPI1SCLKI": { + "direction": "input", + "bits": [ 1587 ] + }, + "EMIOSPI1SI": { + "direction": "input", + "bits": [ 1588 ] + }, + "EMIOSPI1SSIN": { + "direction": "input", + "bits": [ 1589 ] + }, + "EMIOSRAMINTIN": { + "direction": "input", + "bits": [ 1590 ] + }, + "EMIOTRACECLK": { + "direction": "input", + "bits": [ 1591 ] + }, + "EMIOUART0CTSN": { + "direction": "input", + "bits": [ 1592 ] + }, + "EMIOUART0DCDN": { + "direction": "input", + "bits": [ 1593 ] + }, + "EMIOUART0DSRN": { + "direction": "input", + "bits": [ 1594 ] + }, + "EMIOUART0RIN": { + "direction": "input", + "bits": [ 1595 ] + }, + "EMIOUART0RX": { + "direction": "input", + "bits": [ 1596 ] + }, + "EMIOUART1CTSN": { + "direction": "input", + "bits": [ 1597 ] + }, + "EMIOUART1DCDN": { + "direction": "input", + "bits": [ 1598 ] + }, + "EMIOUART1DSRN": { + "direction": "input", + "bits": [ 1599 ] + }, + "EMIOUART1RIN": { + "direction": "input", + "bits": [ 1600 ] + }, + "EMIOUART1RX": { + "direction": "input", + "bits": [ 1601 ] + }, + "EMIOUSB0VBUSPWRFAULT": { + "direction": "input", + "bits": [ 1602 ] + }, + "EMIOUSB1VBUSPWRFAULT": { + "direction": "input", + "bits": [ 1603 ] + }, + "EMIOWDTCLKI": { + "direction": "input", + "bits": [ 1604 ] + }, + "EVENTEVENTI": { + "direction": "input", + "bits": [ 1605 ] + }, + "FPGAIDLEN": { + "direction": "input", + "bits": [ 1606 ] + }, + "FTMDTRACEINCLOCK": { + "direction": "input", + "bits": [ 1607 ] + }, + "FTMDTRACEINVALID": { + "direction": "input", + "bits": [ 1608 ] + }, + "MAXIGP0ACLK": { + "direction": "input", + "bits": [ 1609 ] + }, + "MAXIGP0ARREADY": { + "direction": "input", + "bits": [ 1610 ] + }, + "MAXIGP0AWREADY": { + "direction": "input", + "bits": [ 1611 ] + }, + "MAXIGP0BVALID": { + "direction": "input", + "bits": [ 1612 ] + }, + "MAXIGP0RLAST": { + "direction": "input", + "bits": [ 1613 ] + }, + "MAXIGP0RVALID": { + "direction": "input", + "bits": [ 1614 ] + }, + "MAXIGP0WREADY": { + "direction": "input", + "bits": [ 1615 ] + }, + "MAXIGP1ACLK": { + "direction": "input", + "bits": [ 1616 ] + }, + "MAXIGP1ARREADY": { + "direction": "input", + "bits": [ 1617 ] + }, + "MAXIGP1AWREADY": { + "direction": "input", + "bits": [ 1618 ] + }, + "MAXIGP1BVALID": { + "direction": "input", + "bits": [ 1619 ] + }, + "MAXIGP1RLAST": { + "direction": "input", + "bits": [ 1620 ] + }, + "MAXIGP1RVALID": { + "direction": "input", + "bits": [ 1621 ] + }, + "MAXIGP1WREADY": { + "direction": "input", + "bits": [ 1622 ] + }, + "SAXIACPACLK": { + "direction": "input", + "bits": [ 1623 ] + }, + "SAXIACPARVALID": { + "direction": "input", + "bits": [ 1624 ] + }, + "SAXIACPAWVALID": { + "direction": "input", + "bits": [ 1625 ] + }, + "SAXIACPBREADY": { + "direction": "input", + "bits": [ 1626 ] + }, + "SAXIACPRREADY": { + "direction": "input", + "bits": [ 1627 ] + }, + "SAXIACPWLAST": { + "direction": "input", + "bits": [ 1628 ] + }, + "SAXIACPWVALID": { + "direction": "input", + "bits": [ 1629 ] + }, + "SAXIGP0ACLK": { + "direction": "input", + "bits": [ 1630 ] + }, + "SAXIGP0ARVALID": { + "direction": "input", + "bits": [ 1631 ] + }, + "SAXIGP0AWVALID": { + "direction": "input", + "bits": [ 1632 ] + }, + "SAXIGP0BREADY": { + "direction": "input", + "bits": [ 1633 ] + }, + "SAXIGP0RREADY": { + "direction": "input", + "bits": [ 1634 ] + }, + "SAXIGP0WLAST": { + "direction": "input", + "bits": [ 1635 ] + }, + "SAXIGP0WVALID": { + "direction": "input", + "bits": [ 1636 ] + }, + "SAXIGP1ACLK": { + "direction": "input", + "bits": [ 1637 ] + }, + "SAXIGP1ARVALID": { + "direction": "input", + "bits": [ 1638 ] + }, + "SAXIGP1AWVALID": { + "direction": "input", + "bits": [ 1639 ] + }, + "SAXIGP1BREADY": { + "direction": "input", + "bits": [ 1640 ] + }, + "SAXIGP1RREADY": { + "direction": "input", + "bits": [ 1641 ] + }, + "SAXIGP1WLAST": { + "direction": "input", + "bits": [ 1642 ] + }, + "SAXIGP1WVALID": { + "direction": "input", + "bits": [ 1643 ] + }, + "SAXIHP0ACLK": { + "direction": "input", + "bits": [ 1644 ] + }, + "SAXIHP0ARVALID": { + "direction": "input", + "bits": [ 1645 ] + }, + "SAXIHP0AWVALID": { + "direction": "input", + "bits": [ 1646 ] + }, + "SAXIHP0BREADY": { + "direction": "input", + "bits": [ 1647 ] + }, + "SAXIHP0RDISSUECAP1EN": { + "direction": "input", + "bits": [ 1648 ] + }, + "SAXIHP0RREADY": { + "direction": "input", + "bits": [ 1649 ] + }, + "SAXIHP0WLAST": { + "direction": "input", + "bits": [ 1650 ] + }, + "SAXIHP0WRISSUECAP1EN": { + "direction": "input", + "bits": [ 1651 ] + }, + "SAXIHP0WVALID": { + "direction": "input", + "bits": [ 1652 ] + }, + "SAXIHP1ACLK": { + "direction": "input", + "bits": [ 1653 ] + }, + "SAXIHP1ARVALID": { + "direction": "input", + "bits": [ 1654 ] + }, + "SAXIHP1AWVALID": { + "direction": "input", + "bits": [ 1655 ] + }, + "SAXIHP1BREADY": { + "direction": "input", + "bits": [ 1656 ] + }, + "SAXIHP1RDISSUECAP1EN": { + "direction": "input", + "bits": [ 1657 ] + }, + "SAXIHP1RREADY": { + "direction": "input", + "bits": [ 1658 ] + }, + "SAXIHP1WLAST": { + "direction": "input", + "bits": [ 1659 ] + }, + "SAXIHP1WRISSUECAP1EN": { + "direction": "input", + "bits": [ 1660 ] + }, + "SAXIHP1WVALID": { + "direction": "input", + "bits": [ 1661 ] + }, + "SAXIHP2ACLK": { + "direction": "input", + "bits": [ 1662 ] + }, + "SAXIHP2ARVALID": { + "direction": "input", + "bits": [ 1663 ] + }, + "SAXIHP2AWVALID": { + "direction": "input", + "bits": [ 1664 ] + }, + "SAXIHP2BREADY": { + "direction": "input", + "bits": [ 1665 ] + }, + "SAXIHP2RDISSUECAP1EN": { + "direction": "input", + "bits": [ 1666 ] + }, + "SAXIHP2RREADY": { + "direction": "input", + "bits": [ 1667 ] + }, + "SAXIHP2WLAST": { + "direction": "input", + "bits": [ 1668 ] + }, + "SAXIHP2WRISSUECAP1EN": { + "direction": "input", + "bits": [ 1669 ] + }, + "SAXIHP2WVALID": { + "direction": "input", + "bits": [ 1670 ] + }, + "SAXIHP3ACLK": { + "direction": "input", + "bits": [ 1671 ] + }, + "SAXIHP3ARVALID": { + "direction": "input", + "bits": [ 1672 ] + }, + "SAXIHP3AWVALID": { + "direction": "input", + "bits": [ 1673 ] + }, + "SAXIHP3BREADY": { + "direction": "input", + "bits": [ 1674 ] + }, + "SAXIHP3RDISSUECAP1EN": { + "direction": "input", + "bits": [ 1675 ] + }, + "SAXIHP3RREADY": { + "direction": "input", + "bits": [ 1676 ] + }, + "SAXIHP3WLAST": { + "direction": "input", + "bits": [ 1677 ] + }, + "SAXIHP3WRISSUECAP1EN": { + "direction": "input", + "bits": [ 1678 ] + }, + "SAXIHP3WVALID": { + "direction": "input", + "bits": [ 1679 ] + }, + "MAXIGP0BID": { + "direction": "input", + "bits": [ 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691 ] + }, + "MAXIGP0RID": { + "direction": "input", + "bits": [ 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703 ] + }, + "MAXIGP1BID": { + "direction": "input", + "bits": [ 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715 ] + }, + "MAXIGP1RID": { + "direction": "input", + "bits": [ 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727 ] + }, + "IRQF2P": { + "direction": "input", + "bits": [ 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747 ] + }, + "DMA0DRTYPE": { + "direction": "input", + "bits": [ 1748, 1749 ] + }, + "DMA1DRTYPE": { + "direction": "input", + "bits": [ 1750, 1751 ] + }, + "DMA2DRTYPE": { + "direction": "input", + "bits": [ 1752, 1753 ] + }, + "DMA3DRTYPE": { + "direction": "input", + "bits": [ 1754, 1755 ] + }, + "MAXIGP0BRESP": { + "direction": "input", + "bits": [ 1756, 1757 ] + }, + "MAXIGP0RRESP": { + "direction": "input", + "bits": [ 1758, 1759 ] + }, + "MAXIGP1BRESP": { + "direction": "input", + "bits": [ 1760, 1761 ] + }, + "MAXIGP1RRESP": { + "direction": "input", + "bits": [ 1762, 1763 ] + }, + "SAXIACPARBURST": { + "direction": "input", + "bits": [ 1764, 1765 ] + }, + "SAXIACPARLOCK": { + "direction": "input", + "bits": [ 1766, 1767 ] + }, + "SAXIACPARSIZE": { + "direction": "input", + "bits": [ 1768, 1769 ] + }, + "SAXIACPAWBURST": { + "direction": "input", + "bits": [ 1770, 1771 ] + }, + "SAXIACPAWLOCK": { + "direction": "input", + "bits": [ 1772, 1773 ] + }, + "SAXIACPAWSIZE": { + "direction": "input", + "bits": [ 1774, 1775 ] + }, + "SAXIGP0ARBURST": { + "direction": "input", + "bits": [ 1776, 1777 ] + }, + "SAXIGP0ARLOCK": { + "direction": "input", + "bits": [ 1778, 1779 ] + }, + "SAXIGP0ARSIZE": { + "direction": "input", + "bits": [ 1780, 1781 ] + }, + "SAXIGP0AWBURST": { + "direction": "input", + "bits": [ 1782, 1783 ] + }, + "SAXIGP0AWLOCK": { + "direction": "input", + "bits": [ 1784, 1785 ] + }, + "SAXIGP0AWSIZE": { + "direction": "input", + "bits": [ 1786, 1787 ] + }, + "SAXIGP1ARBURST": { + "direction": "input", + "bits": [ 1788, 1789 ] + }, + "SAXIGP1ARLOCK": { + "direction": "input", + "bits": [ 1790, 1791 ] + }, + "SAXIGP1ARSIZE": { + "direction": "input", + "bits": [ 1792, 1793 ] + }, + "SAXIGP1AWBURST": { + "direction": "input", + "bits": [ 1794, 1795 ] + }, + "SAXIGP1AWLOCK": { + "direction": "input", + "bits": [ 1796, 1797 ] + }, + "SAXIGP1AWSIZE": { + "direction": "input", + "bits": [ 1798, 1799 ] + }, + "SAXIHP0ARBURST": { + "direction": "input", + "bits": [ 1800, 1801 ] + }, + "SAXIHP0ARLOCK": { + "direction": "input", + "bits": [ 1802, 1803 ] + }, + "SAXIHP0ARSIZE": { + "direction": "input", + "bits": [ 1804, 1805 ] + }, + "SAXIHP0AWBURST": { + "direction": "input", + "bits": [ 1806, 1807 ] + }, + "SAXIHP0AWLOCK": { + "direction": "input", + "bits": [ 1808, 1809 ] + }, + "SAXIHP0AWSIZE": { + "direction": "input", + "bits": [ 1810, 1811 ] + }, + "SAXIHP1ARBURST": { + "direction": "input", + "bits": [ 1812, 1813 ] + }, + "SAXIHP1ARLOCK": { + "direction": "input", + "bits": [ 1814, 1815 ] + }, + "SAXIHP1ARSIZE": { + "direction": "input", + "bits": [ 1816, 1817 ] + }, + "SAXIHP1AWBURST": { + "direction": "input", + "bits": [ 1818, 1819 ] + }, + "SAXIHP1AWLOCK": { + "direction": "input", + "bits": [ 1820, 1821 ] + }, + "SAXIHP1AWSIZE": { + "direction": "input", + "bits": [ 1822, 1823 ] + }, + "SAXIHP2ARBURST": { + "direction": "input", + "bits": [ 1824, 1825 ] + }, + "SAXIHP2ARLOCK": { + "direction": "input", + "bits": [ 1826, 1827 ] + }, + "SAXIHP2ARSIZE": { + "direction": "input", + "bits": [ 1828, 1829 ] + }, + "SAXIHP2AWBURST": { + "direction": "input", + "bits": [ 1830, 1831 ] + }, + "SAXIHP2AWLOCK": { + "direction": "input", + "bits": [ 1832, 1833 ] + }, + "SAXIHP2AWSIZE": { + "direction": "input", + "bits": [ 1834, 1835 ] + }, + "SAXIHP3ARBURST": { + "direction": "input", + "bits": [ 1836, 1837 ] + }, + "SAXIHP3ARLOCK": { + "direction": "input", + "bits": [ 1838, 1839 ] + }, + "SAXIHP3ARSIZE": { + "direction": "input", + "bits": [ 1840, 1841 ] + }, + "SAXIHP3AWBURST": { + "direction": "input", + "bits": [ 1842, 1843 ] + }, + "SAXIHP3AWLOCK": { + "direction": "input", + "bits": [ 1844, 1845 ] + }, + "SAXIHP3AWSIZE": { + "direction": "input", + "bits": [ 1846, 1847 ] + }, + "EMIOTTC0CLKI": { + "direction": "input", + "bits": [ 1848, 1849, 1850 ] + }, + "EMIOTTC1CLKI": { + "direction": "input", + "bits": [ 1851, 1852, 1853 ] + }, + "SAXIACPARID": { + "direction": "input", + "bits": [ 1854, 1855, 1856 ] + }, + "SAXIACPARPROT": { + "direction": "input", + "bits": [ 1857, 1858, 1859 ] + }, + "SAXIACPAWID": { + "direction": "input", + "bits": [ 1860, 1861, 1862 ] + }, + "SAXIACPAWPROT": { + "direction": "input", + "bits": [ 1863, 1864, 1865 ] + }, + "SAXIACPWID": { + "direction": "input", + "bits": [ 1866, 1867, 1868 ] + }, + "SAXIGP0ARPROT": { + "direction": "input", + "bits": [ 1869, 1870, 1871 ] + }, + "SAXIGP0AWPROT": { + "direction": "input", + "bits": [ 1872, 1873, 1874 ] + }, + "SAXIGP1ARPROT": { + "direction": "input", + "bits": [ 1875, 1876, 1877 ] + }, + "SAXIGP1AWPROT": { + "direction": "input", + "bits": [ 1878, 1879, 1880 ] + }, + "SAXIHP0ARPROT": { + "direction": "input", + "bits": [ 1881, 1882, 1883 ] + }, + "SAXIHP0AWPROT": { + "direction": "input", + "bits": [ 1884, 1885, 1886 ] + }, + "SAXIHP1ARPROT": { + "direction": "input", + "bits": [ 1887, 1888, 1889 ] + }, + "SAXIHP1AWPROT": { + "direction": "input", + "bits": [ 1890, 1891, 1892 ] + }, + "SAXIHP2ARPROT": { + "direction": "input", + "bits": [ 1893, 1894, 1895 ] + }, + "SAXIHP2AWPROT": { + "direction": "input", + "bits": [ 1896, 1897, 1898 ] + }, + "SAXIHP3ARPROT": { + "direction": "input", + "bits": [ 1899, 1900, 1901 ] + }, + "SAXIHP3AWPROT": { + "direction": "input", + "bits": [ 1902, 1903, 1904 ] + }, + "FTMDTRACEINDATA": { + "direction": "input", + "bits": [ 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936 ] + }, + "FTMTF2PDEBUG": { + "direction": "input", + "bits": [ 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968 ] + }, + "MAXIGP0RDATA": { + "direction": "input", + "bits": [ 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000 ] + }, + "MAXIGP1RDATA": { + "direction": "input", + "bits": [ 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032 ] + }, + "SAXIACPARADDR": { + "direction": "input", + "bits": [ 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064 ] + }, + "SAXIACPAWADDR": { + "direction": "input", + "bits": [ 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096 ] + }, + "SAXIGP0ARADDR": { + "direction": "input", + "bits": [ 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128 ] + }, + "SAXIGP0AWADDR": { + "direction": "input", + "bits": [ 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160 ] + }, + "SAXIGP0WDATA": { + "direction": "input", + "bits": [ 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192 ] + }, + "SAXIGP1ARADDR": { + "direction": "input", + "bits": [ 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224 ] + }, + "SAXIGP1AWADDR": { + "direction": "input", + "bits": [ 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256 ] + }, + "SAXIGP1WDATA": { + "direction": "input", + "bits": [ 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288 ] + }, + "SAXIHP0ARADDR": { + "direction": "input", + "bits": [ 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320 ] + }, + "SAXIHP0AWADDR": { + "direction": "input", + "bits": [ 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352 ] + }, + "SAXIHP1ARADDR": { + "direction": "input", + "bits": [ 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384 ] + }, + "SAXIHP1AWADDR": { + "direction": "input", + "bits": [ 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416 ] + }, + "SAXIHP2ARADDR": { + "direction": "input", + "bits": [ 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448 ] + }, + "SAXIHP2AWADDR": { + "direction": "input", + "bits": [ 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480 ] + }, + "SAXIHP3ARADDR": { + "direction": "input", + "bits": [ 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512 ] + }, + "SAXIHP3AWADDR": { + "direction": "input", + "bits": [ 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544 ] + }, + "DDRARB": { + "direction": "input", + "bits": [ 2545, 2546, 2547, 2548 ] + }, + "EMIOSDIO0DATAI": { + "direction": "input", + "bits": [ 2549, 2550, 2551, 2552 ] + }, + "EMIOSDIO1DATAI": { + "direction": "input", + "bits": [ 2553, 2554, 2555, 2556 ] + }, + "FCLKCLKTRIGN": { + "direction": "input", + "bits": [ 2557, 2558, 2559, 2560 ] + }, + "FTMDTRACEINATID": { + "direction": "input", + "bits": [ 2561, 2562, 2563, 2564 ] + }, + "FTMTF2PTRIG": { + "direction": "input", + "bits": [ 2565, 2566, 2567, 2568 ] + }, + "FTMTP2FTRIGACK": { + "direction": "input", + "bits": [ 2569, 2570, 2571, 2572 ] + }, + "SAXIACPARCACHE": { + "direction": "input", + "bits": [ 2573, 2574, 2575, 2576 ] + }, + "SAXIACPARLEN": { + "direction": "input", + "bits": [ 2577, 2578, 2579, 2580 ] + }, + "SAXIACPARQOS": { + "direction": "input", + "bits": [ 2581, 2582, 2583, 2584 ] + }, + "SAXIACPAWCACHE": { + "direction": "input", + "bits": [ 2585, 2586, 2587, 2588 ] + }, + "SAXIACPAWLEN": { + "direction": "input", + "bits": [ 2589, 2590, 2591, 2592 ] + }, + "SAXIACPAWQOS": { + "direction": "input", + "bits": [ 2593, 2594, 2595, 2596 ] + }, + "SAXIGP0ARCACHE": { + "direction": "input", + "bits": [ 2597, 2598, 2599, 2600 ] + }, + "SAXIGP0ARLEN": { + "direction": "input", + "bits": [ 2601, 2602, 2603, 2604 ] + }, + "SAXIGP0ARQOS": { + "direction": "input", + "bits": [ 2605, 2606, 2607, 2608 ] + }, + "SAXIGP0AWCACHE": { + "direction": "input", + "bits": [ 2609, 2610, 2611, 2612 ] + }, + "SAXIGP0AWLEN": { + "direction": "input", + "bits": [ 2613, 2614, 2615, 2616 ] + }, + "SAXIGP0AWQOS": { + "direction": "input", + "bits": [ 2617, 2618, 2619, 2620 ] + }, + "SAXIGP0WSTRB": { + "direction": "input", + "bits": [ 2621, 2622, 2623, 2624 ] + }, + "SAXIGP1ARCACHE": { + "direction": "input", + "bits": [ 2625, 2626, 2627, 2628 ] + }, + "SAXIGP1ARLEN": { + "direction": "input", + "bits": [ 2629, 2630, 2631, 2632 ] + }, + "SAXIGP1ARQOS": { + "direction": "input", + "bits": [ 2633, 2634, 2635, 2636 ] + }, + "SAXIGP1AWCACHE": { + "direction": "input", + "bits": [ 2637, 2638, 2639, 2640 ] + }, + "SAXIGP1AWLEN": { + "direction": "input", + "bits": [ 2641, 2642, 2643, 2644 ] + }, + "SAXIGP1AWQOS": { + "direction": "input", + "bits": [ 2645, 2646, 2647, 2648 ] + }, + "SAXIGP1WSTRB": { + "direction": "input", + "bits": [ 2649, 2650, 2651, 2652 ] + }, + "SAXIHP0ARCACHE": { + "direction": "input", + "bits": [ 2653, 2654, 2655, 2656 ] + }, + "SAXIHP0ARLEN": { + "direction": "input", + "bits": [ 2657, 2658, 2659, 2660 ] + }, + "SAXIHP0ARQOS": { + "direction": "input", + "bits": [ 2661, 2662, 2663, 2664 ] + }, + "SAXIHP0AWCACHE": { + "direction": "input", + "bits": [ 2665, 2666, 2667, 2668 ] + }, + "SAXIHP0AWLEN": { + "direction": "input", + "bits": [ 2669, 2670, 2671, 2672 ] + }, + "SAXIHP0AWQOS": { + "direction": "input", + "bits": [ 2673, 2674, 2675, 2676 ] + }, + "SAXIHP1ARCACHE": { + "direction": "input", + "bits": [ 2677, 2678, 2679, 2680 ] + }, + "SAXIHP1ARLEN": { + "direction": "input", + "bits": [ 2681, 2682, 2683, 2684 ] + }, + "SAXIHP1ARQOS": { + "direction": "input", + "bits": [ 2685, 2686, 2687, 2688 ] + }, + "SAXIHP1AWCACHE": { + "direction": "input", + "bits": [ 2689, 2690, 2691, 2692 ] + }, + "SAXIHP1AWLEN": { + "direction": "input", + "bits": [ 2693, 2694, 2695, 2696 ] + }, + "SAXIHP1AWQOS": { + "direction": "input", + "bits": [ 2697, 2698, 2699, 2700 ] + }, + "SAXIHP2ARCACHE": { + "direction": "input", + "bits": [ 2701, 2702, 2703, 2704 ] + }, + "SAXIHP2ARLEN": { + "direction": "input", + "bits": [ 2705, 2706, 2707, 2708 ] + }, + "SAXIHP2ARQOS": { + "direction": "input", + "bits": [ 2709, 2710, 2711, 2712 ] + }, + "SAXIHP2AWCACHE": { + "direction": "input", + "bits": [ 2713, 2714, 2715, 2716 ] + }, + "SAXIHP2AWLEN": { + "direction": "input", + "bits": [ 2717, 2718, 2719, 2720 ] + }, + "SAXIHP2AWQOS": { + "direction": "input", + "bits": [ 2721, 2722, 2723, 2724 ] + }, + "SAXIHP3ARCACHE": { + "direction": "input", + "bits": [ 2725, 2726, 2727, 2728 ] + }, + "SAXIHP3ARLEN": { + "direction": "input", + "bits": [ 2729, 2730, 2731, 2732 ] + }, + "SAXIHP3ARQOS": { + "direction": "input", + "bits": [ 2733, 2734, 2735, 2736 ] + }, + "SAXIHP3AWCACHE": { + "direction": "input", + "bits": [ 2737, 2738, 2739, 2740 ] + }, + "SAXIHP3AWLEN": { + "direction": "input", + "bits": [ 2741, 2742, 2743, 2744 ] + }, + "SAXIHP3AWQOS": { + "direction": "input", + "bits": [ 2745, 2746, 2747, 2748 ] + }, + "SAXIACPARUSER": { + "direction": "input", + "bits": [ 2749, 2750, 2751, 2752, 2753 ] + }, + "SAXIACPAWUSER": { + "direction": "input", + "bits": [ 2754, 2755, 2756, 2757, 2758 ] + }, + "SAXIGP0ARID": { + "direction": "input", + "bits": [ 2759, 2760, 2761, 2762, 2763, 2764 ] + }, + "SAXIGP0AWID": { + "direction": "input", + "bits": [ 2765, 2766, 2767, 2768, 2769, 2770 ] + }, + "SAXIGP0WID": { + "direction": "input", + "bits": [ 2771, 2772, 2773, 2774, 2775, 2776 ] + }, + "SAXIGP1ARID": { + "direction": "input", + "bits": [ 2777, 2778, 2779, 2780, 2781, 2782 ] + }, + "SAXIGP1AWID": { + "direction": "input", + "bits": [ 2783, 2784, 2785, 2786, 2787, 2788 ] + }, + "SAXIGP1WID": { + "direction": "input", + "bits": [ 2789, 2790, 2791, 2792, 2793, 2794 ] + }, + "SAXIHP0ARID": { + "direction": "input", + "bits": [ 2795, 2796, 2797, 2798, 2799, 2800 ] + }, + "SAXIHP0AWID": { + "direction": "input", + "bits": [ 2801, 2802, 2803, 2804, 2805, 2806 ] + }, + "SAXIHP0WID": { + "direction": "input", + "bits": [ 2807, 2808, 2809, 2810, 2811, 2812 ] + }, + "SAXIHP1ARID": { + "direction": "input", + "bits": [ 2813, 2814, 2815, 2816, 2817, 2818 ] + }, + "SAXIHP1AWID": { + "direction": "input", + "bits": [ 2819, 2820, 2821, 2822, 2823, 2824 ] + }, + "SAXIHP1WID": { + "direction": "input", + "bits": [ 2825, 2826, 2827, 2828, 2829, 2830 ] + }, + "SAXIHP2ARID": { + "direction": "input", + "bits": [ 2831, 2832, 2833, 2834, 2835, 2836 ] + }, + "SAXIHP2AWID": { + "direction": "input", + "bits": [ 2837, 2838, 2839, 2840, 2841, 2842 ] + }, + "SAXIHP2WID": { + "direction": "input", + "bits": [ 2843, 2844, 2845, 2846, 2847, 2848 ] + }, + "SAXIHP3ARID": { + "direction": "input", + "bits": [ 2849, 2850, 2851, 2852, 2853, 2854 ] + }, + "SAXIHP3AWID": { + "direction": "input", + "bits": [ 2855, 2856, 2857, 2858, 2859, 2860 ] + }, + "SAXIHP3WID": { + "direction": "input", + "bits": [ 2861, 2862, 2863, 2864, 2865, 2866 ] + }, + "EMIOGPIOI": { + "direction": "input", + "bits": [ 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930 ] + }, + "SAXIACPWDATA": { + "direction": "input", + "bits": [ 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994 ] + }, + "SAXIHP0WDATA": { + "direction": "input", + "bits": [ 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058 ] + }, + "SAXIHP1WDATA": { + "direction": "input", + "bits": [ 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122 ] + }, + "SAXIHP2WDATA": { + "direction": "input", + "bits": [ 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186 ] + }, + "SAXIHP3WDATA": { + "direction": "input", + "bits": [ 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250 ] + }, + "EMIOENET0GMIIRXD": { + "direction": "input", + "bits": [ 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258 ] + }, + "EMIOENET1GMIIRXD": { + "direction": "input", + "bits": [ 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266 ] + }, + "SAXIACPWSTRB": { + "direction": "input", + "bits": [ 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274 ] + }, + "SAXIHP0WSTRB": { + "direction": "input", + "bits": [ 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282 ] + }, + "SAXIHP1WSTRB": { + "direction": "input", + "bits": [ 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290 ] + }, + "SAXIHP2WSTRB": { + "direction": "input", + "bits": [ 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298 ] + }, + "SAXIHP3WSTRB": { + "direction": "input", + "bits": [ 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306 ] + } + }, + "cells": { + }, + "netnames": { + "DDRA": { + "hide_name": 0, + "bits": [ 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31979.18-31979.22" + } + }, + "DDRARB": { + "hide_name": 0, + "bits": [ 2545, 2546, 2547, 2548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32227.17-32227.23" + } + }, + "DDRBA": { + "hide_name": 0, + "bits": [ 1432, 1433, 1434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31980.17-31980.22" + } + }, + "DDRCASB": { + "hide_name": 0, + "bits": [ 1403 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31965.11-31965.18" + } + }, + "DDRCKE": { + "hide_name": 0, + "bits": [ 1404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31966.11-31966.17" + } + }, + "DDRCKN": { + "hide_name": 0, + "bits": [ 1405 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31967.11-31967.17" + } + }, + "DDRCKP": { + "hide_name": 0, + "bits": [ 1406 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31968.11-31968.17" + } + }, + "DDRCSB": { + "hide_name": 0, + "bits": [ 1407 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31969.11-31969.17" + } + }, + "DDRDM": { + "hide_name": 0, + "bits": [ 1467, 1468, 1469, 1470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31982.17-31982.22" + } + }, + "DDRDQ": { + "hide_name": 0, + "bits": [ 1435, 1436, 1437, 1438, 1439, 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31981.18-31981.23" + } + }, + "DDRDQSN": { + "hide_name": 0, + "bits": [ 1471, 1472, 1473, 1474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31983.17-31983.24" + } + }, + "DDRDQSP": { + "hide_name": 0, + "bits": [ 1475, 1476, 1477, 1478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31984.17-31984.24" + } + }, + "DDRDRSTB": { + "hide_name": 0, + "bits": [ 1408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31970.11-31970.19" + } + }, + "DDRODT": { + "hide_name": 0, + "bits": [ 1409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31971.11-31971.17" + } + }, + "DDRRASB": { + "hide_name": 0, + "bits": [ 1410 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31972.11-31972.18" + } + }, + "DDRVRN": { + "hide_name": 0, + "bits": [ 1411 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31973.11-31973.17" + } + }, + "DDRVRP": { + "hide_name": 0, + "bits": [ 1412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31974.11-31974.17" + } + }, + "DDRWEB": { + "hide_name": 0, + "bits": [ 1413 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31975.11-31975.17" + } + }, + "DMA0ACLK": { + "hide_name": 0, + "bits": [ 1533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31986.11-31986.19" + } + }, + "DMA0DAREADY": { + "hide_name": 0, + "bits": [ 1534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31987.11-31987.22" + } + }, + "DMA0DATYPE": { + "hide_name": 0, + "bits": [ 226, 227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31849.18-31849.28" + } + }, + "DMA0DAVALID": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31691.12-31691.23" + } + }, + "DMA0DRLAST": { + "hide_name": 0, + "bits": [ 1535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31988.11-31988.21" + } + }, + "DMA0DRREADY": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31692.12-31692.23" + } + }, + "DMA0DRTYPE": { + "hide_name": 0, + "bits": [ 1748, 1749 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32138.17-32138.27" + } + }, + "DMA0DRVALID": { + "hide_name": 0, + "bits": [ 1536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31989.11-31989.22" + } + }, + "DMA0RSTN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31693.12-31693.20" + } + }, + "DMA1ACLK": { + "hide_name": 0, + "bits": [ 1537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31990.11-31990.19" + } + }, + "DMA1DAREADY": { + "hide_name": 0, + "bits": [ 1538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31991.11-31991.22" + } + }, + "DMA1DATYPE": { + "hide_name": 0, + "bits": [ 228, 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31850.18-31850.28" + } + }, + "DMA1DAVALID": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31694.12-31694.23" + } + }, + "DMA1DRLAST": { + "hide_name": 0, + "bits": [ 1539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31992.11-31992.21" + } + }, + "DMA1DRREADY": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31695.12-31695.23" + } + }, + "DMA1DRTYPE": { + "hide_name": 0, + "bits": [ 1750, 1751 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32139.17-32139.27" + } + }, + "DMA1DRVALID": { + "hide_name": 0, + "bits": [ 1540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31993.11-31993.22" + } + }, + "DMA1RSTN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31696.12-31696.20" + } + }, + "DMA2ACLK": { + "hide_name": 0, + "bits": [ 1541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31994.11-31994.19" + } + }, + "DMA2DAREADY": { + "hide_name": 0, + "bits": [ 1542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31995.11-31995.22" + } + }, + "DMA2DATYPE": { + "hide_name": 0, + "bits": [ 230, 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31851.18-31851.28" + } + }, + "DMA2DAVALID": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31697.12-31697.23" + } + }, + "DMA2DRLAST": { + "hide_name": 0, + "bits": [ 1543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31996.11-31996.21" + } + }, + "DMA2DRREADY": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31698.12-31698.23" + } + }, + "DMA2DRTYPE": { + "hide_name": 0, + "bits": [ 1752, 1753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32140.17-32140.27" + } + }, + "DMA2DRVALID": { + "hide_name": 0, + "bits": [ 1544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31997.11-31997.22" + } + }, + "DMA2RSTN": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31699.12-31699.20" + } + }, + "DMA3ACLK": { + "hide_name": 0, + "bits": [ 1545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31998.11-31998.19" + } + }, + "DMA3DAREADY": { + "hide_name": 0, + "bits": [ 1546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31999.11-31999.22" + } + }, + "DMA3DATYPE": { + "hide_name": 0, + "bits": [ 232, 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31852.18-31852.28" + } + }, + "DMA3DAVALID": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31700.12-31700.23" + } + }, + "DMA3DRLAST": { + "hide_name": 0, + "bits": [ 1547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32000.11-32000.21" + } + }, + "DMA3DRREADY": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31701.12-31701.23" + } + }, + "DMA3DRTYPE": { + "hide_name": 0, + "bits": [ 1754, 1755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32141.17-32141.27" + } + }, + "DMA3DRVALID": { + "hide_name": 0, + "bits": [ 1548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32001.11-32001.22" + } + }, + "DMA3RSTN": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31702.12-31702.20" + } + }, + "EMIOCAN0PHYRX": { + "hide_name": 0, + "bits": [ 1549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32002.11-32002.24" + } + }, + "EMIOCAN0PHYTX": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31703.12-31703.25" + } + }, + "EMIOCAN1PHYRX": { + "hide_name": 0, + "bits": [ 1550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32003.11-32003.24" + } + }, + "EMIOCAN1PHYTX": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31704.12-31704.25" + } + }, + "EMIOENET0EXTINTIN": { + "hide_name": 0, + "bits": [ 1551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32004.11-32004.28" + } + }, + "EMIOENET0GMIICOL": { + "hide_name": 0, + "bits": [ 1552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32005.11-32005.27" + } + }, + "EMIOENET0GMIICRS": { + "hide_name": 0, + "bits": [ 1553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32006.11-32006.27" + } + }, + "EMIOENET0GMIIRXCLK": { + "hide_name": 0, + "bits": [ 1554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32007.11-32007.29" + } + }, + "EMIOENET0GMIIRXD": { + "hide_name": 0, + "bits": [ 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32304.17-32304.33" + } + }, + "EMIOENET0GMIIRXDV": { + "hide_name": 0, + "bits": [ 1555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32008.11-32008.28" + } + }, + "EMIOENET0GMIIRXER": { + "hide_name": 0, + "bits": [ 1556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32009.11-32009.28" + } + }, + "EMIOENET0GMIITXCLK": { + "hide_name": 0, + "bits": [ 1557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32010.11-32010.29" + } + }, + "EMIOENET0GMIITXD": { + "hide_name": 0, + "bits": [ 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31955.18-31955.34" + } + }, + "EMIOENET0GMIITXEN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31705.12-31705.29" + } + }, + "EMIOENET0GMIITXER": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31706.12-31706.29" + } + }, + "EMIOENET0MDIOI": { + "hide_name": 0, + "bits": [ 1558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32011.11-32011.25" + } + }, + "EMIOENET0MDIOMDC": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31707.12-31707.28" + } + }, + "EMIOENET0MDIOO": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31708.12-31708.26" + } + }, + "EMIOENET0MDIOTN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31709.12-31709.27" + } + }, + "EMIOENET0PTPDELAYREQRX": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31710.12-31710.34" + } + }, + "EMIOENET0PTPDELAYREQTX": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31711.12-31711.34" + } + }, + "EMIOENET0PTPPDELAYREQRX": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31712.12-31712.35" + } + }, + "EMIOENET0PTPPDELAYREQTX": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31713.12-31713.35" + } + }, + "EMIOENET0PTPPDELAYRESPRX": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31714.12-31714.36" + } + }, + "EMIOENET0PTPPDELAYRESPTX": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31715.12-31715.36" + } + }, + "EMIOENET0PTPSYNCFRAMERX": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31716.12-31716.35" + } + }, + "EMIOENET0PTPSYNCFRAMETX": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31717.12-31717.35" + } + }, + "EMIOENET0SOFRX": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31718.12-31718.26" + } + }, + "EMIOENET0SOFTX": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31719.12-31719.26" + } + }, + "EMIOENET1EXTINTIN": { + "hide_name": 0, + "bits": [ 1559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32012.11-32012.28" + } + }, + "EMIOENET1GMIICOL": { + "hide_name": 0, + "bits": [ 1560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32013.11-32013.27" + } + }, + "EMIOENET1GMIICRS": { + "hide_name": 0, + "bits": [ 1561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32014.11-32014.27" + } + }, + "EMIOENET1GMIIRXCLK": { + "hide_name": 0, + "bits": [ 1562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32015.11-32015.29" + } + }, + "EMIOENET1GMIIRXD": { + "hide_name": 0, + "bits": [ 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32305.17-32305.33" + } + }, + "EMIOENET1GMIIRXDV": { + "hide_name": 0, + "bits": [ 1563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32016.11-32016.28" + } + }, + "EMIOENET1GMIIRXER": { + "hide_name": 0, + "bits": [ 1564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32017.11-32017.28" + } + }, + "EMIOENET1GMIITXCLK": { + "hide_name": 0, + "bits": [ 1565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32018.11-32018.29" + } + }, + "EMIOENET1GMIITXD": { + "hide_name": 0, + "bits": [ 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31956.18-31956.34" + } + }, + "EMIOENET1GMIITXEN": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31720.12-31720.29" + } + }, + "EMIOENET1GMIITXER": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31721.12-31721.29" + } + }, + "EMIOENET1MDIOI": { + "hide_name": 0, + "bits": [ 1566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32019.11-32019.25" + } + }, + "EMIOENET1MDIOMDC": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31722.12-31722.28" + } + }, + "EMIOENET1MDIOO": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31723.12-31723.26" + } + }, + "EMIOENET1MDIOTN": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31724.12-31724.27" + } + }, + "EMIOENET1PTPDELAYREQRX": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31725.12-31725.34" + } + }, + "EMIOENET1PTPDELAYREQTX": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31726.12-31726.34" + } + }, + "EMIOENET1PTPPDELAYREQRX": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31727.12-31727.35" + } + }, + "EMIOENET1PTPPDELAYREQTX": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31728.12-31728.35" + } + }, + "EMIOENET1PTPPDELAYRESPRX": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31729.12-31729.36" + } + }, + "EMIOENET1PTPPDELAYRESPTX": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31730.12-31730.36" + } + }, + "EMIOENET1PTPSYNCFRAMERX": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31731.12-31731.35" + } + }, + "EMIOENET1PTPSYNCFRAMETX": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31732.12-31732.35" + } + }, + "EMIOENET1SOFRX": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31733.12-31733.26" + } + }, + "EMIOENET1SOFTX": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31734.12-31734.26" + } + }, + "EMIOGPIOI": { + "hide_name": 0, + "bits": [ 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32298.18-32298.27" + } + }, + "EMIOGPIOO": { + "hide_name": 0, + "bits": [ 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31948.19-31948.28" + } + }, + "EMIOGPIOTN": { + "hide_name": 0, + "bits": [ 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31949.19-31949.29" + } + }, + "EMIOI2C0SCLI": { + "hide_name": 0, + "bits": [ 1567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32020.11-32020.23" + } + }, + "EMIOI2C0SCLO": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31735.12-31735.24" + } + }, + "EMIOI2C0SCLTN": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31736.12-31736.25" + } + }, + "EMIOI2C0SDAI": { + "hide_name": 0, + "bits": [ 1568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32021.11-32021.23" + } + }, + "EMIOI2C0SDAO": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31737.12-31737.24" + } + }, + "EMIOI2C0SDATN": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31738.12-31738.25" + } + }, + "EMIOI2C1SCLI": { + "hide_name": 0, + "bits": [ 1569 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32022.11-32022.23" + } + }, + "EMIOI2C1SCLO": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31739.12-31739.24" + } + }, + "EMIOI2C1SCLTN": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31740.12-31740.25" + } + }, + "EMIOI2C1SDAI": { + "hide_name": 0, + "bits": [ 1570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32023.11-32023.23" + } + }, + "EMIOI2C1SDAO": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31741.12-31741.24" + } + }, + "EMIOI2C1SDATN": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31742.12-31742.25" + } + }, + "EMIOPJTAGTCK": { + "hide_name": 0, + "bits": [ 1571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32024.11-32024.23" + } + }, + "EMIOPJTAGTDI": { + "hide_name": 0, + "bits": [ 1572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32025.11-32025.23" + } + }, + "EMIOPJTAGTDO": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31743.12-31743.24" + } + }, + "EMIOPJTAGTDTN": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31744.12-31744.25" + } + }, + "EMIOPJTAGTMS": { + "hide_name": 0, + "bits": [ 1573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32026.11-32026.23" + } + }, + "EMIOSDIO0BUSPOW": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31745.12-31745.27" + } + }, + "EMIOSDIO0BUSVOLT": { + "hide_name": 0, + "bits": [ 323, 324, 325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31884.18-31884.34" + } + }, + "EMIOSDIO0CDN": { + "hide_name": 0, + "bits": [ 1574 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32027.11-32027.23" + } + }, + "EMIOSDIO0CLK": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31746.12-31746.24" + } + }, + "EMIOSDIO0CLKFB": { + "hide_name": 0, + "bits": [ 1575 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32028.11-32028.25" + } + }, + "EMIOSDIO0CMDI": { + "hide_name": 0, + "bits": [ 1576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32029.11-32029.24" + } + }, + "EMIOSDIO0CMDO": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31747.12-31747.25" + } + }, + "EMIOSDIO0CMDTN": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31748.12-31748.26" + } + }, + "EMIOSDIO0DATAI": { + "hide_name": 0, + "bits": [ 2549, 2550, 2551, 2552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32228.17-32228.31" + } + }, + "EMIOSDIO0DATAO": { + "hide_name": 0, + "bits": [ 691, 692, 693, 694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31910.18-31910.32" + } + }, + "EMIOSDIO0DATATN": { + "hide_name": 0, + "bits": [ 695, 696, 697, 698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31911.18-31911.33" + } + }, + "EMIOSDIO0LED": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31749.12-31749.24" + } + }, + "EMIOSDIO0WP": { + "hide_name": 0, + "bits": [ 1577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32030.11-32030.22" + } + }, + "EMIOSDIO1BUSPOW": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31750.12-31750.27" + } + }, + "EMIOSDIO1BUSVOLT": { + "hide_name": 0, + "bits": [ 326, 327, 328 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31885.18-31885.34" + } + }, + "EMIOSDIO1CDN": { + "hide_name": 0, + "bits": [ 1578 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32031.11-32031.23" + } + }, + "EMIOSDIO1CLK": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31751.12-31751.24" + } + }, + "EMIOSDIO1CLKFB": { + "hide_name": 0, + "bits": [ 1579 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32032.11-32032.25" + } + }, + "EMIOSDIO1CMDI": { + "hide_name": 0, + "bits": [ 1580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32033.11-32033.24" + } + }, + "EMIOSDIO1CMDO": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31752.12-31752.25" + } + }, + "EMIOSDIO1CMDTN": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31753.12-31753.26" + } + }, + "EMIOSDIO1DATAI": { + "hide_name": 0, + "bits": [ 2553, 2554, 2555, 2556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32229.17-32229.31" + } + }, + "EMIOSDIO1DATAO": { + "hide_name": 0, + "bits": [ 699, 700, 701, 702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31912.18-31912.32" + } + }, + "EMIOSDIO1DATATN": { + "hide_name": 0, + "bits": [ 703, 704, 705, 706 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31913.18-31913.33" + } + }, + "EMIOSDIO1LED": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31754.12-31754.24" + } + }, + "EMIOSDIO1WP": { + "hide_name": 0, + "bits": [ 1581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32034.11-32034.22" + } + }, + "EMIOSPI0MI": { + "hide_name": 0, + "bits": [ 1582 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32035.11-32035.21" + } + }, + "EMIOSPI0MO": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31755.12-31755.22" + } + }, + "EMIOSPI0MOTN": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31756.12-31756.24" + } + }, + "EMIOSPI0SCLKI": { + "hide_name": 0, + "bits": [ 1583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32036.11-32036.24" + } + }, + "EMIOSPI0SCLKO": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31757.12-31757.25" + } + }, + "EMIOSPI0SCLKTN": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31758.12-31758.26" + } + }, + "EMIOSPI0SI": { + "hide_name": 0, + "bits": [ 1584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32037.11-32037.21" + } + }, + "EMIOSPI0SO": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31759.12-31759.22" + } + }, + "EMIOSPI0SSIN": { + "hide_name": 0, + "bits": [ 1585 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32038.11-32038.23" + } + }, + "EMIOSPI0SSNTN": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31760.12-31760.25" + } + }, + "EMIOSPI0SSON": { + "hide_name": 0, + "bits": [ 329, 330, 331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31886.18-31886.30" + } + }, + "EMIOSPI0STN": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31761.12-31761.23" + } + }, + "EMIOSPI1MI": { + "hide_name": 0, + "bits": [ 1586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32039.11-32039.21" + } + }, + "EMIOSPI1MO": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31762.12-31762.22" + } + }, + "EMIOSPI1MOTN": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31763.12-31763.24" + } + }, + "EMIOSPI1SCLKI": { + "hide_name": 0, + "bits": [ 1587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32040.11-32040.24" + } + }, + "EMIOSPI1SCLKO": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31764.12-31764.25" + } + }, + "EMIOSPI1SCLKTN": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31765.12-31765.26" + } + }, + "EMIOSPI1SI": { + "hide_name": 0, + "bits": [ 1588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32041.11-32041.21" + } + }, + "EMIOSPI1SO": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31766.12-31766.22" + } + }, + "EMIOSPI1SSIN": { + "hide_name": 0, + "bits": [ 1589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32042.11-32042.23" + } + }, + "EMIOSPI1SSNTN": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31767.12-31767.25" + } + }, + "EMIOSPI1SSON": { + "hide_name": 0, + "bits": [ 332, 333, 334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31887.18-31887.30" + } + }, + "EMIOSPI1STN": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31768.12-31768.23" + } + }, + "EMIOSRAMINTIN": { + "hide_name": 0, + "bits": [ 1590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32043.11-32043.24" + } + }, + "EMIOTRACECLK": { + "hide_name": 0, + "bits": [ 1591 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32044.11-32044.23" + } + }, + "EMIOTRACECTL": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31769.12-31769.24" + } + }, + "EMIOTRACEDATA": { + "hide_name": 0, + "bits": [ 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31900.19-31900.32" + } + }, + "EMIOTTC0CLKI": { + "hide_name": 0, + "bits": [ 1848, 1849, 1850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32188.17-32188.29" + } + }, + "EMIOTTC0WAVEO": { + "hide_name": 0, + "bits": [ 335, 336, 337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31888.18-31888.31" + } + }, + "EMIOTTC1CLKI": { + "hide_name": 0, + "bits": [ 1851, 1852, 1853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32189.17-32189.29" + } + }, + "EMIOTTC1WAVEO": { + "hide_name": 0, + "bits": [ 338, 339, 340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31889.18-31889.31" + } + }, + "EMIOUART0CTSN": { + "hide_name": 0, + "bits": [ 1592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32045.11-32045.24" + } + }, + "EMIOUART0DCDN": { + "hide_name": 0, + "bits": [ 1593 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32046.11-32046.24" + } + }, + "EMIOUART0DSRN": { + "hide_name": 0, + "bits": [ 1594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32047.11-32047.24" + } + }, + "EMIOUART0DTRN": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31770.12-31770.25" + } + }, + "EMIOUART0RIN": { + "hide_name": 0, + "bits": [ 1595 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32048.11-32048.23" + } + }, + "EMIOUART0RTSN": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31771.12-31771.25" + } + }, + "EMIOUART0RX": { + "hide_name": 0, + "bits": [ 1596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32049.11-32049.22" + } + }, + "EMIOUART0TX": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31772.12-31772.23" + } + }, + "EMIOUART1CTSN": { + "hide_name": 0, + "bits": [ 1597 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32050.11-32050.24" + } + }, + "EMIOUART1DCDN": { + "hide_name": 0, + "bits": [ 1598 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32051.11-32051.24" + } + }, + "EMIOUART1DSRN": { + "hide_name": 0, + "bits": [ 1599 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32052.11-32052.24" + } + }, + "EMIOUART1DTRN": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31773.12-31773.25" + } + }, + "EMIOUART1RIN": { + "hide_name": 0, + "bits": [ 1600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32053.11-32053.23" + } + }, + "EMIOUART1RTSN": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31774.12-31774.25" + } + }, + "EMIOUART1RX": { + "hide_name": 0, + "bits": [ 1601 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32054.11-32054.22" + } + }, + "EMIOUART1TX": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31775.12-31775.23" + } + }, + "EMIOUSB0PORTINDCTL": { + "hide_name": 0, + "bits": [ 234, 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31853.18-31853.36" + } + }, + "EMIOUSB0VBUSPWRFAULT": { + "hide_name": 0, + "bits": [ 1602 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32055.11-32055.31" + } + }, + "EMIOUSB0VBUSPWRSELECT": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31776.12-31776.33" + } + }, + "EMIOUSB1PORTINDCTL": { + "hide_name": 0, + "bits": [ 236, 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31854.18-31854.36" + } + }, + "EMIOUSB1VBUSPWRFAULT": { + "hide_name": 0, + "bits": [ 1603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32056.11-32056.31" + } + }, + "EMIOUSB1VBUSPWRSELECT": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31777.12-31777.33" + } + }, + "EMIOWDTCLKI": { + "hide_name": 0, + "bits": [ 1604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32057.11-32057.22" + } + }, + "EMIOWDTRSTO": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31778.12-31778.23" + } + }, + "EVENTEVENTI": { + "hide_name": 0, + "bits": [ 1605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32058.11-32058.22" + } + }, + "EVENTEVENTO": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31779.12-31779.23" + } + }, + "EVENTSTANDBYWFE": { + "hide_name": 0, + "bits": [ 238, 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31855.18-31855.33" + } + }, + "EVENTSTANDBYWFI": { + "hide_name": 0, + "bits": [ 240, 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31856.18-31856.33" + } + }, + "FCLKCLK": { + "hide_name": 0, + "bits": [ 707, 708, 709, 710 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31914.18-31914.25" + } + }, + "FCLKCLKTRIGN": { + "hide_name": 0, + "bits": [ 2557, 2558, 2559, 2560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32230.17-32230.29" + } + }, + "FCLKRESETN": { + "hide_name": 0, + "bits": [ 711, 712, 713, 714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31915.18-31915.28" + } + }, + "FPGAIDLEN": { + "hide_name": 0, + "bits": [ 1606 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32059.11-32059.20" + } + }, + "FTMDTRACEINATID": { + "hide_name": 0, + "bits": [ 2561, 2562, 2563, 2564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32231.17-32231.32" + } + }, + "FTMDTRACEINCLOCK": { + "hide_name": 0, + "bits": [ 1607 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32060.11-32060.27" + } + }, + "FTMDTRACEINDATA": { + "hide_name": 0, + "bits": [ 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32207.18-32207.33" + } + }, + "FTMDTRACEINVALID": { + "hide_name": 0, + "bits": [ 1608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32061.11-32061.27" + } + }, + "FTMTF2PDEBUG": { + "hide_name": 0, + "bits": [ 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32208.18-32208.30" + } + }, + "FTMTF2PTRIG": { + "hide_name": 0, + "bits": [ 2565, 2566, 2567, 2568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32232.17-32232.28" + } + }, + "FTMTF2PTRIGACK": { + "hide_name": 0, + "bits": [ 715, 716, 717, 718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31916.18-31916.32" + } + }, + "FTMTP2FDEBUG": { + "hide_name": 0, + "bits": [ 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31901.19-31901.31" + } + }, + "FTMTP2FTRIG": { + "hide_name": 0, + "bits": [ 719, 720, 721, 722 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31917.18-31917.29" + } + }, + "FTMTP2FTRIGACK": { + "hide_name": 0, + "bits": [ 2569, 2570, 2571, 2572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32233.17-32233.31" + } + }, + "IRQF2P": { + "hide_name": 0, + "bits": [ 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1745, 1746, 1747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32137.18-32137.24" + } + }, + "IRQP2F": { + "hide_name": 0, + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31883.19-31883.25" + } + }, + "MAXIGP0ACLK": { + "hide_name": 0, + "bits": [ 1609 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32062.11-32062.22" + } + }, + "MAXIGP0ARADDR": { + "hide_name": 0, + "bits": [ 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31902.19-31902.32" + } + }, + "MAXIGP0ARBURST": { + "hide_name": 0, + "bits": [ 242, 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31857.18-31857.32" + } + }, + "MAXIGP0ARCACHE": { + "hide_name": 0, + "bits": [ 723, 724, 725, 726 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31918.18-31918.32" + } + }, + "MAXIGP0ARESETN": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31780.12-31780.26" + } + }, + "MAXIGP0ARID": { + "hide_name": 0, + "bits": [ 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31843.19-31843.30" + } + }, + "MAXIGP0ARLEN": { + "hide_name": 0, + "bits": [ 727, 728, 729, 730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31919.18-31919.30" + } + }, + "MAXIGP0ARLOCK": { + "hide_name": 0, + "bits": [ 244, 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31858.18-31858.31" + } + }, + "MAXIGP0ARPROT": { + "hide_name": 0, + "bits": [ 341, 342, 343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31890.18-31890.31" + } + }, + "MAXIGP0ARQOS": { + "hide_name": 0, + "bits": [ 731, 732, 733, 734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31920.18-31920.30" + } + }, + "MAXIGP0ARREADY": { + "hide_name": 0, + "bits": [ 1610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32063.11-32063.25" + } + }, + "MAXIGP0ARSIZE": { + "hide_name": 0, + "bits": [ 246, 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31859.18-31859.31" + } + }, + "MAXIGP0ARVALID": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31781.12-31781.26" + } + }, + "MAXIGP0AWADDR": { + "hide_name": 0, + "bits": [ 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31903.19-31903.32" + } + }, + "MAXIGP0AWBURST": { + "hide_name": 0, + "bits": [ 248, 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31860.18-31860.32" + } + }, + "MAXIGP0AWCACHE": { + "hide_name": 0, + "bits": [ 735, 736, 737, 738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31921.18-31921.32" + } + }, + "MAXIGP0AWID": { + "hide_name": 0, + "bits": [ 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31844.19-31844.30" + } + }, + "MAXIGP0AWLEN": { + "hide_name": 0, + "bits": [ 739, 740, 741, 742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31922.18-31922.30" + } + }, + "MAXIGP0AWLOCK": { + "hide_name": 0, + "bits": [ 250, 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31861.18-31861.31" + } + }, + "MAXIGP0AWPROT": { + "hide_name": 0, + "bits": [ 344, 345, 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31891.18-31891.31" + } + }, + "MAXIGP0AWQOS": { + "hide_name": 0, + "bits": [ 743, 744, 745, 746 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31923.18-31923.30" + } + }, + "MAXIGP0AWREADY": { + "hide_name": 0, + "bits": [ 1611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32064.11-32064.25" + } + }, + "MAXIGP0AWSIZE": { + "hide_name": 0, + "bits": [ 252, 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31862.18-31862.31" + } + }, + "MAXIGP0AWVALID": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31782.12-31782.26" + } + }, + "MAXIGP0BID": { + "hide_name": 0, + "bits": [ 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32133.18-32133.28" + } + }, + "MAXIGP0BREADY": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31783.12-31783.25" + } + }, + "MAXIGP0BRESP": { + "hide_name": 0, + "bits": [ 1756, 1757 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32142.17-32142.29" + } + }, + "MAXIGP0BVALID": { + "hide_name": 0, + "bits": [ 1612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32065.11-32065.24" + } + }, + "MAXIGP0RDATA": { + "hide_name": 0, + "bits": [ 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32209.18-32209.30" + } + }, + "MAXIGP0RID": { + "hide_name": 0, + "bits": [ 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32134.18-32134.28" + } + }, + "MAXIGP0RLAST": { + "hide_name": 0, + "bits": [ 1613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32066.11-32066.23" + } + }, + "MAXIGP0RREADY": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31784.12-31784.25" + } + }, + "MAXIGP0RRESP": { + "hide_name": 0, + "bits": [ 1758, 1759 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32143.17-32143.29" + } + }, + "MAXIGP0RVALID": { + "hide_name": 0, + "bits": [ 1614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32067.11-32067.24" + } + }, + "MAXIGP0WDATA": { + "hide_name": 0, + "bits": [ 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31904.19-31904.31" + } + }, + "MAXIGP0WID": { + "hide_name": 0, + "bits": [ 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31845.19-31845.29" + } + }, + "MAXIGP0WLAST": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31785.12-31785.24" + } + }, + "MAXIGP0WREADY": { + "hide_name": 0, + "bits": [ 1615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32068.11-32068.24" + } + }, + "MAXIGP0WSTRB": { + "hide_name": 0, + "bits": [ 747, 748, 749, 750 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31924.18-31924.30" + } + }, + "MAXIGP0WVALID": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31786.12-31786.25" + } + }, + "MAXIGP1ACLK": { + "hide_name": 0, + "bits": [ 1616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32069.11-32069.22" + } + }, + "MAXIGP1ARADDR": { + "hide_name": 0, + "bits": [ 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31905.19-31905.32" + } + }, + "MAXIGP1ARBURST": { + "hide_name": 0, + "bits": [ 254, 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31863.18-31863.32" + } + }, + "MAXIGP1ARCACHE": { + "hide_name": 0, + "bits": [ 751, 752, 753, 754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31925.18-31925.32" + } + }, + "MAXIGP1ARESETN": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31787.12-31787.26" + } + }, + "MAXIGP1ARID": { + "hide_name": 0, + "bits": [ 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31846.19-31846.30" + } + }, + "MAXIGP1ARLEN": { + "hide_name": 0, + "bits": [ 755, 756, 757, 758 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31926.18-31926.30" + } + }, + "MAXIGP1ARLOCK": { + "hide_name": 0, + "bits": [ 256, 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31864.18-31864.31" + } + }, + "MAXIGP1ARPROT": { + "hide_name": 0, + "bits": [ 347, 348, 349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31892.18-31892.31" + } + }, + "MAXIGP1ARQOS": { + "hide_name": 0, + "bits": [ 759, 760, 761, 762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31927.18-31927.30" + } + }, + "MAXIGP1ARREADY": { + "hide_name": 0, + "bits": [ 1617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32070.11-32070.25" + } + }, + "MAXIGP1ARSIZE": { + "hide_name": 0, + "bits": [ 258, 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31865.18-31865.31" + } + }, + "MAXIGP1ARVALID": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31788.12-31788.26" + } + }, + "MAXIGP1AWADDR": { + "hide_name": 0, + "bits": [ 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31906.19-31906.32" + } + }, + "MAXIGP1AWBURST": { + "hide_name": 0, + "bits": [ 260, 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31866.18-31866.32" + } + }, + "MAXIGP1AWCACHE": { + "hide_name": 0, + "bits": [ 763, 764, 765, 766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31928.18-31928.32" + } + }, + "MAXIGP1AWID": { + "hide_name": 0, + "bits": [ 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31847.19-31847.30" + } + }, + "MAXIGP1AWLEN": { + "hide_name": 0, + "bits": [ 767, 768, 769, 770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31929.18-31929.30" + } + }, + "MAXIGP1AWLOCK": { + "hide_name": 0, + "bits": [ 262, 263 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31867.18-31867.31" + } + }, + "MAXIGP1AWPROT": { + "hide_name": 0, + "bits": [ 350, 351, 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31893.18-31893.31" + } + }, + "MAXIGP1AWQOS": { + "hide_name": 0, + "bits": [ 771, 772, 773, 774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31930.18-31930.30" + } + }, + "MAXIGP1AWREADY": { + "hide_name": 0, + "bits": [ 1618 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32071.11-32071.25" + } + }, + "MAXIGP1AWSIZE": { + "hide_name": 0, + "bits": [ 264, 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31868.18-31868.31" + } + }, + "MAXIGP1AWVALID": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31789.12-31789.26" + } + }, + "MAXIGP1BID": { + "hide_name": 0, + "bits": [ 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32135.18-32135.28" + } + }, + "MAXIGP1BREADY": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31790.12-31790.25" + } + }, + "MAXIGP1BRESP": { + "hide_name": 0, + "bits": [ 1760, 1761 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32144.17-32144.29" + } + }, + "MAXIGP1BVALID": { + "hide_name": 0, + "bits": [ 1619 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32072.11-32072.24" + } + }, + "MAXIGP1RDATA": { + "hide_name": 0, + "bits": [ 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32210.18-32210.30" + } + }, + "MAXIGP1RID": { + "hide_name": 0, + "bits": [ 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32136.18-32136.28" + } + }, + "MAXIGP1RLAST": { + "hide_name": 0, + "bits": [ 1620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32073.11-32073.23" + } + }, + "MAXIGP1RREADY": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31791.12-31791.25" + } + }, + "MAXIGP1RRESP": { + "hide_name": 0, + "bits": [ 1762, 1763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32145.17-32145.29" + } + }, + "MAXIGP1RVALID": { + "hide_name": 0, + "bits": [ 1621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32074.11-32074.24" + } + }, + "MAXIGP1WDATA": { + "hide_name": 0, + "bits": [ 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31907.19-31907.31" + } + }, + "MAXIGP1WID": { + "hide_name": 0, + "bits": [ 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31848.19-31848.29" + } + }, + "MAXIGP1WLAST": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31792.12-31792.24" + } + }, + "MAXIGP1WREADY": { + "hide_name": 0, + "bits": [ 1622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32075.11-32075.24" + } + }, + "MAXIGP1WSTRB": { + "hide_name": 0, + "bits": [ 775, 776, 777, 778 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31931.18-31931.30" + } + }, + "MAXIGP1WVALID": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31793.12-31793.25" + } + }, + "MIO": { + "hide_name": 0, + "bits": [ 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31985.18-31985.21" + } + }, + "PSCLK": { + "hide_name": 0, + "bits": [ 1414 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31976.11-31976.16" + } + }, + "PSPORB": { + "hide_name": 0, + "bits": [ 1415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31977.11-31977.17" + } + }, + "PSSRSTB": { + "hide_name": 0, + "bits": [ 1416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31978.11-31978.18" + } + }, + "SAXIACPACLK": { + "hide_name": 0, + "bits": [ 1623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32076.11-32076.22" + } + }, + "SAXIACPARADDR": { + "hide_name": 0, + "bits": [ 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32211.18-32211.31" + } + }, + "SAXIACPARBURST": { + "hide_name": 0, + "bits": [ 1764, 1765 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32146.17-32146.31" + } + }, + "SAXIACPARCACHE": { + "hide_name": 0, + "bits": [ 2573, 2574, 2575, 2576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32234.17-32234.31" + } + }, + "SAXIACPARESETN": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31794.12-31794.26" + } + }, + "SAXIACPARID": { + "hide_name": 0, + "bits": [ 1854, 1855, 1856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32190.17-32190.28" + } + }, + "SAXIACPARLEN": { + "hide_name": 0, + "bits": [ 2577, 2578, 2579, 2580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32235.17-32235.29" + } + }, + "SAXIACPARLOCK": { + "hide_name": 0, + "bits": [ 1766, 1767 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32147.17-32147.30" + } + }, + "SAXIACPARPROT": { + "hide_name": 0, + "bits": [ 1857, 1858, 1859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32191.17-32191.30" + } + }, + "SAXIACPARQOS": { + "hide_name": 0, + "bits": [ 2581, 2582, 2583, 2584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32236.17-32236.29" + } + }, + "SAXIACPARREADY": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31795.12-31795.26" + } + }, + "SAXIACPARSIZE": { + "hide_name": 0, + "bits": [ 1768, 1769 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32148.17-32148.30" + } + }, + "SAXIACPARUSER": { + "hide_name": 0, + "bits": [ 2749, 2750, 2751, 2752, 2753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32278.17-32278.30" + } + }, + "SAXIACPARVALID": { + "hide_name": 0, + "bits": [ 1624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32077.11-32077.25" + } + }, + "SAXIACPAWADDR": { + "hide_name": 0, + "bits": [ 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32212.18-32212.31" + } + }, + "SAXIACPAWBURST": { + "hide_name": 0, + "bits": [ 1770, 1771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32149.17-32149.31" + } + }, + "SAXIACPAWCACHE": { + "hide_name": 0, + "bits": [ 2585, 2586, 2587, 2588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32237.17-32237.31" + } + }, + "SAXIACPAWID": { + "hide_name": 0, + "bits": [ 1860, 1861, 1862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32192.17-32192.28" + } + }, + "SAXIACPAWLEN": { + "hide_name": 0, + "bits": [ 2589, 2590, 2591, 2592 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32238.17-32238.29" + } + }, + "SAXIACPAWLOCK": { + "hide_name": 0, + "bits": [ 1772, 1773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32150.17-32150.30" + } + }, + "SAXIACPAWPROT": { + "hide_name": 0, + "bits": [ 1863, 1864, 1865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32193.17-32193.30" + } + }, + "SAXIACPAWQOS": { + "hide_name": 0, + "bits": [ 2593, 2594, 2595, 2596 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32239.17-32239.29" + } + }, + "SAXIACPAWREADY": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31796.12-31796.26" + } + }, + "SAXIACPAWSIZE": { + "hide_name": 0, + "bits": [ 1774, 1775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32151.17-32151.30" + } + }, + "SAXIACPAWUSER": { + "hide_name": 0, + "bits": [ 2754, 2755, 2756, 2757, 2758 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32279.17-32279.30" + } + }, + "SAXIACPAWVALID": { + "hide_name": 0, + "bits": [ 1625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32078.11-32078.25" + } + }, + "SAXIACPBID": { + "hide_name": 0, + "bits": [ 353, 354, 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31894.18-31894.28" + } + }, + "SAXIACPBREADY": { + "hide_name": 0, + "bits": [ 1626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32079.11-32079.24" + } + }, + "SAXIACPBRESP": { + "hide_name": 0, + "bits": [ 266, 267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31869.18-31869.30" + } + }, + "SAXIACPBVALID": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31797.12-31797.25" + } + }, + "SAXIACPRDATA": { + "hide_name": 0, + "bits": [ 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31950.19-31950.31" + } + }, + "SAXIACPRID": { + "hide_name": 0, + "bits": [ 356, 357, 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31895.18-31895.28" + } + }, + "SAXIACPRLAST": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31798.12-31798.24" + } + }, + "SAXIACPRREADY": { + "hide_name": 0, + "bits": [ 1627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32080.11-32080.24" + } + }, + "SAXIACPRRESP": { + "hide_name": 0, + "bits": [ 268, 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31870.18-31870.30" + } + }, + "SAXIACPRVALID": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31799.12-31799.25" + } + }, + "SAXIACPWDATA": { + "hide_name": 0, + "bits": [ 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940, 2941, 2942, 2943, 2944, 2945, 2946, 2947, 2948, 2949, 2950, 2951, 2952, 2953, 2954, 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962, 2963, 2964, 2965, 2966, 2967, 2968, 2969, 2970, 2971, 2972, 2973, 2974, 2975, 2976, 2977, 2978, 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986, 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32299.18-32299.30" + } + }, + "SAXIACPWID": { + "hide_name": 0, + "bits": [ 1866, 1867, 1868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32194.17-32194.27" + } + }, + "SAXIACPWLAST": { + "hide_name": 0, + "bits": [ 1628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32081.11-32081.23" + } + }, + "SAXIACPWREADY": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31800.12-31800.25" + } + }, + "SAXIACPWSTRB": { + "hide_name": 0, + "bits": [ 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32306.17-32306.29" + } + }, + "SAXIACPWVALID": { + "hide_name": 0, + "bits": [ 1629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32082.11-32082.24" + } + }, + "SAXIGP0ACLK": { + "hide_name": 0, + "bits": [ 1630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32083.11-32083.22" + } + }, + "SAXIGP0ARADDR": { + "hide_name": 0, + "bits": [ 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32213.18-32213.31" + } + }, + "SAXIGP0ARBURST": { + "hide_name": 0, + "bits": [ 1776, 1777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32152.17-32152.31" + } + }, + "SAXIGP0ARCACHE": { + "hide_name": 0, + "bits": [ 2597, 2598, 2599, 2600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32240.17-32240.31" + } + }, + "SAXIGP0ARESETN": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31801.12-31801.26" + } + }, + "SAXIGP0ARID": { + "hide_name": 0, + "bits": [ 2759, 2760, 2761, 2762, 2763, 2764 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32280.17-32280.28" + } + }, + "SAXIGP0ARLEN": { + "hide_name": 0, + "bits": [ 2601, 2602, 2603, 2604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32241.17-32241.29" + } + }, + "SAXIGP0ARLOCK": { + "hide_name": 0, + "bits": [ 1778, 1779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32153.17-32153.30" + } + }, + "SAXIGP0ARPROT": { + "hide_name": 0, + "bits": [ 1869, 1870, 1871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32195.17-32195.30" + } + }, + "SAXIGP0ARQOS": { + "hide_name": 0, + "bits": [ 2605, 2606, 2607, 2608 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32242.17-32242.29" + } + }, + "SAXIGP0ARREADY": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31802.12-31802.26" + } + }, + "SAXIGP0ARSIZE": { + "hide_name": 0, + "bits": [ 1780, 1781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32154.17-32154.30" + } + }, + "SAXIGP0ARVALID": { + "hide_name": 0, + "bits": [ 1631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32084.11-32084.25" + } + }, + "SAXIGP0AWADDR": { + "hide_name": 0, + "bits": [ 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32214.18-32214.31" + } + }, + "SAXIGP0AWBURST": { + "hide_name": 0, + "bits": [ 1782, 1783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32155.17-32155.31" + } + }, + "SAXIGP0AWCACHE": { + "hide_name": 0, + "bits": [ 2609, 2610, 2611, 2612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32243.17-32243.31" + } + }, + "SAXIGP0AWID": { + "hide_name": 0, + "bits": [ 2765, 2766, 2767, 2768, 2769, 2770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32281.17-32281.28" + } + }, + "SAXIGP0AWLEN": { + "hide_name": 0, + "bits": [ 2613, 2614, 2615, 2616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32244.17-32244.29" + } + }, + "SAXIGP0AWLOCK": { + "hide_name": 0, + "bits": [ 1784, 1785 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32156.17-32156.30" + } + }, + "SAXIGP0AWPROT": { + "hide_name": 0, + "bits": [ 1872, 1873, 1874 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32196.17-32196.30" + } + }, + "SAXIGP0AWQOS": { + "hide_name": 0, + "bits": [ 2617, 2618, 2619, 2620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32245.17-32245.29" + } + }, + "SAXIGP0AWREADY": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31803.12-31803.26" + } + }, + "SAXIGP0AWSIZE": { + "hide_name": 0, + "bits": [ 1786, 1787 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32157.17-32157.30" + } + }, + "SAXIGP0AWVALID": { + "hide_name": 0, + "bits": [ 1632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32085.11-32085.25" + } + }, + "SAXIGP0BID": { + "hide_name": 0, + "bits": [ 779, 780, 781, 782, 783, 784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31932.18-31932.28" + } + }, + "SAXIGP0BREADY": { + "hide_name": 0, + "bits": [ 1633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32086.11-32086.24" + } + }, + "SAXIGP0BRESP": { + "hide_name": 0, + "bits": [ 270, 271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31871.18-31871.30" + } + }, + "SAXIGP0BVALID": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31804.12-31804.25" + } + }, + "SAXIGP0RDATA": { + "hide_name": 0, + "bits": [ 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31908.19-31908.31" + } + }, + "SAXIGP0RID": { + "hide_name": 0, + "bits": [ 785, 786, 787, 788, 789, 790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31933.18-31933.28" + } + }, + "SAXIGP0RLAST": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31805.12-31805.24" + } + }, + "SAXIGP0RREADY": { + "hide_name": 0, + "bits": [ 1634 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32087.11-32087.24" + } + }, + "SAXIGP0RRESP": { + "hide_name": 0, + "bits": [ 272, 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31872.18-31872.30" + } + }, + "SAXIGP0RVALID": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31806.12-31806.25" + } + }, + "SAXIGP0WDATA": { + "hide_name": 0, + "bits": [ 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32215.18-32215.30" + } + }, + "SAXIGP0WID": { + "hide_name": 0, + "bits": [ 2771, 2772, 2773, 2774, 2775, 2776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32282.17-32282.27" + } + }, + "SAXIGP0WLAST": { + "hide_name": 0, + "bits": [ 1635 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32088.11-32088.23" + } + }, + "SAXIGP0WREADY": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31807.12-31807.25" + } + }, + "SAXIGP0WSTRB": { + "hide_name": 0, + "bits": [ 2621, 2622, 2623, 2624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32246.17-32246.29" + } + }, + "SAXIGP0WVALID": { + "hide_name": 0, + "bits": [ 1636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32089.11-32089.24" + } + }, + "SAXIGP1ACLK": { + "hide_name": 0, + "bits": [ 1637 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32090.11-32090.22" + } + }, + "SAXIGP1ARADDR": { + "hide_name": 0, + "bits": [ 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32216.18-32216.31" + } + }, + "SAXIGP1ARBURST": { + "hide_name": 0, + "bits": [ 1788, 1789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32158.17-32158.31" + } + }, + "SAXIGP1ARCACHE": { + "hide_name": 0, + "bits": [ 2625, 2626, 2627, 2628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32247.17-32247.31" + } + }, + "SAXIGP1ARESETN": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31808.12-31808.26" + } + }, + "SAXIGP1ARID": { + "hide_name": 0, + "bits": [ 2777, 2778, 2779, 2780, 2781, 2782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32283.17-32283.28" + } + }, + "SAXIGP1ARLEN": { + "hide_name": 0, + "bits": [ 2629, 2630, 2631, 2632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32248.17-32248.29" + } + }, + "SAXIGP1ARLOCK": { + "hide_name": 0, + "bits": [ 1790, 1791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32159.17-32159.30" + } + }, + "SAXIGP1ARPROT": { + "hide_name": 0, + "bits": [ 1875, 1876, 1877 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32197.17-32197.30" + } + }, + "SAXIGP1ARQOS": { + "hide_name": 0, + "bits": [ 2633, 2634, 2635, 2636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32249.17-32249.29" + } + }, + "SAXIGP1ARREADY": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31809.12-31809.26" + } + }, + "SAXIGP1ARSIZE": { + "hide_name": 0, + "bits": [ 1792, 1793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32160.17-32160.30" + } + }, + "SAXIGP1ARVALID": { + "hide_name": 0, + "bits": [ 1638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32091.11-32091.25" + } + }, + "SAXIGP1AWADDR": { + "hide_name": 0, + "bits": [ 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32217.18-32217.31" + } + }, + "SAXIGP1AWBURST": { + "hide_name": 0, + "bits": [ 1794, 1795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32161.17-32161.31" + } + }, + "SAXIGP1AWCACHE": { + "hide_name": 0, + "bits": [ 2637, 2638, 2639, 2640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32250.17-32250.31" + } + }, + "SAXIGP1AWID": { + "hide_name": 0, + "bits": [ 2783, 2784, 2785, 2786, 2787, 2788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32284.17-32284.28" + } + }, + "SAXIGP1AWLEN": { + "hide_name": 0, + "bits": [ 2641, 2642, 2643, 2644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32251.17-32251.29" + } + }, + "SAXIGP1AWLOCK": { + "hide_name": 0, + "bits": [ 1796, 1797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32162.17-32162.30" + } + }, + "SAXIGP1AWPROT": { + "hide_name": 0, + "bits": [ 1878, 1879, 1880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32198.17-32198.30" + } + }, + "SAXIGP1AWQOS": { + "hide_name": 0, + "bits": [ 2645, 2646, 2647, 2648 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32252.17-32252.29" + } + }, + "SAXIGP1AWREADY": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31810.12-31810.26" + } + }, + "SAXIGP1AWSIZE": { + "hide_name": 0, + "bits": [ 1798, 1799 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32163.17-32163.30" + } + }, + "SAXIGP1AWVALID": { + "hide_name": 0, + "bits": [ 1639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32092.11-32092.25" + } + }, + "SAXIGP1BID": { + "hide_name": 0, + "bits": [ 791, 792, 793, 794, 795, 796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31934.18-31934.28" + } + }, + "SAXIGP1BREADY": { + "hide_name": 0, + "bits": [ 1640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32093.11-32093.24" + } + }, + "SAXIGP1BRESP": { + "hide_name": 0, + "bits": [ 274, 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31873.18-31873.30" + } + }, + "SAXIGP1BVALID": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31811.12-31811.25" + } + }, + "SAXIGP1RDATA": { + "hide_name": 0, + "bits": [ 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31909.19-31909.31" + } + }, + "SAXIGP1RID": { + "hide_name": 0, + "bits": [ 797, 798, 799, 800, 801, 802 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31935.18-31935.28" + } + }, + "SAXIGP1RLAST": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31812.12-31812.24" + } + }, + "SAXIGP1RREADY": { + "hide_name": 0, + "bits": [ 1641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32094.11-32094.24" + } + }, + "SAXIGP1RRESP": { + "hide_name": 0, + "bits": [ 276, 277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31874.18-31874.30" + } + }, + "SAXIGP1RVALID": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31813.12-31813.25" + } + }, + "SAXIGP1WDATA": { + "hide_name": 0, + "bits": [ 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32218.18-32218.30" + } + }, + "SAXIGP1WID": { + "hide_name": 0, + "bits": [ 2789, 2790, 2791, 2792, 2793, 2794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32285.17-32285.27" + } + }, + "SAXIGP1WLAST": { + "hide_name": 0, + "bits": [ 1642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32095.11-32095.23" + } + }, + "SAXIGP1WREADY": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31814.12-31814.25" + } + }, + "SAXIGP1WSTRB": { + "hide_name": 0, + "bits": [ 2649, 2650, 2651, 2652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32253.17-32253.29" + } + }, + "SAXIGP1WVALID": { + "hide_name": 0, + "bits": [ 1643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32096.11-32096.24" + } + }, + "SAXIHP0ACLK": { + "hide_name": 0, + "bits": [ 1644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32097.11-32097.22" + } + }, + "SAXIHP0ARADDR": { + "hide_name": 0, + "bits": [ 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32219.18-32219.31" + } + }, + "SAXIHP0ARBURST": { + "hide_name": 0, + "bits": [ 1800, 1801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32164.17-32164.31" + } + }, + "SAXIHP0ARCACHE": { + "hide_name": 0, + "bits": [ 2653, 2654, 2655, 2656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32254.17-32254.31" + } + }, + "SAXIHP0ARESETN": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31815.12-31815.26" + } + }, + "SAXIHP0ARID": { + "hide_name": 0, + "bits": [ 2795, 2796, 2797, 2798, 2799, 2800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32286.17-32286.28" + } + }, + "SAXIHP0ARLEN": { + "hide_name": 0, + "bits": [ 2657, 2658, 2659, 2660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32255.17-32255.29" + } + }, + "SAXIHP0ARLOCK": { + "hide_name": 0, + "bits": [ 1802, 1803 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32165.17-32165.30" + } + }, + "SAXIHP0ARPROT": { + "hide_name": 0, + "bits": [ 1881, 1882, 1883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32199.17-32199.30" + } + }, + "SAXIHP0ARQOS": { + "hide_name": 0, + "bits": [ 2661, 2662, 2663, 2664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32256.17-32256.29" + } + }, + "SAXIHP0ARREADY": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31816.12-31816.26" + } + }, + "SAXIHP0ARSIZE": { + "hide_name": 0, + "bits": [ 1804, 1805 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32166.17-32166.30" + } + }, + "SAXIHP0ARVALID": { + "hide_name": 0, + "bits": [ 1645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32098.11-32098.25" + } + }, + "SAXIHP0AWADDR": { + "hide_name": 0, + "bits": [ 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32220.18-32220.31" + } + }, + "SAXIHP0AWBURST": { + "hide_name": 0, + "bits": [ 1806, 1807 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32167.17-32167.31" + } + }, + "SAXIHP0AWCACHE": { + "hide_name": 0, + "bits": [ 2665, 2666, 2667, 2668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32257.17-32257.31" + } + }, + "SAXIHP0AWID": { + "hide_name": 0, + "bits": [ 2801, 2802, 2803, 2804, 2805, 2806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32287.17-32287.28" + } + }, + "SAXIHP0AWLEN": { + "hide_name": 0, + "bits": [ 2669, 2670, 2671, 2672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32258.17-32258.29" + } + }, + "SAXIHP0AWLOCK": { + "hide_name": 0, + "bits": [ 1808, 1809 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32168.17-32168.30" + } + }, + "SAXIHP0AWPROT": { + "hide_name": 0, + "bits": [ 1884, 1885, 1886 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32200.17-32200.30" + } + }, + "SAXIHP0AWQOS": { + "hide_name": 0, + "bits": [ 2673, 2674, 2675, 2676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32259.17-32259.29" + } + }, + "SAXIHP0AWREADY": { + "hide_name": 0, + "bits": [ 128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31817.12-31817.26" + } + }, + "SAXIHP0AWSIZE": { + "hide_name": 0, + "bits": [ 1810, 1811 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32169.17-32169.30" + } + }, + "SAXIHP0AWVALID": { + "hide_name": 0, + "bits": [ 1646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32099.11-32099.25" + } + }, + "SAXIHP0BID": { + "hide_name": 0, + "bits": [ 803, 804, 805, 806, 807, 808 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31936.18-31936.28" + } + }, + "SAXIHP0BREADY": { + "hide_name": 0, + "bits": [ 1647 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32100.11-32100.24" + } + }, + "SAXIHP0BRESP": { + "hide_name": 0, + "bits": [ 278, 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31875.18-31875.30" + } + }, + "SAXIHP0BVALID": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31818.12-31818.25" + } + }, + "SAXIHP0RACOUNT": { + "hide_name": 0, + "bits": [ 359, 360, 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31896.18-31896.32" + } + }, + "SAXIHP0RCOUNT": { + "hide_name": 0, + "bits": [ 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31957.18-31957.31" + } + }, + "SAXIHP0RDATA": { + "hide_name": 0, + "bits": [ 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31951.19-31951.31" + } + }, + "SAXIHP0RDISSUECAP1EN": { + "hide_name": 0, + "bits": [ 1648 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32101.11-32101.31" + } + }, + "SAXIHP0RID": { + "hide_name": 0, + "bits": [ 809, 810, 811, 812, 813, 814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31937.18-31937.28" + } + }, + "SAXIHP0RLAST": { + "hide_name": 0, + "bits": [ 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31819.12-31819.24" + } + }, + "SAXIHP0RREADY": { + "hide_name": 0, + "bits": [ 1649 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32102.11-32102.24" + } + }, + "SAXIHP0RRESP": { + "hide_name": 0, + "bits": [ 280, 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31876.18-31876.30" + } + }, + "SAXIHP0RVALID": { + "hide_name": 0, + "bits": [ 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31820.12-31820.25" + } + }, + "SAXIHP0WACOUNT": { + "hide_name": 0, + "bits": [ 815, 816, 817, 818, 819, 820 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31938.18-31938.32" + } + }, + "SAXIHP0WCOUNT": { + "hide_name": 0, + "bits": [ 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31958.18-31958.31" + } + }, + "SAXIHP0WDATA": { + "hide_name": 0, + "bits": [ 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32300.18-32300.30" + } + }, + "SAXIHP0WID": { + "hide_name": 0, + "bits": [ 2807, 2808, 2809, 2810, 2811, 2812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32288.17-32288.27" + } + }, + "SAXIHP0WLAST": { + "hide_name": 0, + "bits": [ 1650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32103.11-32103.23" + } + }, + "SAXIHP0WREADY": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31821.12-31821.25" + } + }, + "SAXIHP0WRISSUECAP1EN": { + "hide_name": 0, + "bits": [ 1651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32104.11-32104.31" + } + }, + "SAXIHP0WSTRB": { + "hide_name": 0, + "bits": [ 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32307.17-32307.29" + } + }, + "SAXIHP0WVALID": { + "hide_name": 0, + "bits": [ 1652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32105.11-32105.24" + } + }, + "SAXIHP1ACLK": { + "hide_name": 0, + "bits": [ 1653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32106.11-32106.22" + } + }, + "SAXIHP1ARADDR": { + "hide_name": 0, + "bits": [ 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32221.18-32221.31" + } + }, + "SAXIHP1ARBURST": { + "hide_name": 0, + "bits": [ 1812, 1813 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32170.17-32170.31" + } + }, + "SAXIHP1ARCACHE": { + "hide_name": 0, + "bits": [ 2677, 2678, 2679, 2680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32260.17-32260.31" + } + }, + "SAXIHP1ARESETN": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31822.12-31822.26" + } + }, + "SAXIHP1ARID": { + "hide_name": 0, + "bits": [ 2813, 2814, 2815, 2816, 2817, 2818 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32289.17-32289.28" + } + }, + "SAXIHP1ARLEN": { + "hide_name": 0, + "bits": [ 2681, 2682, 2683, 2684 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32261.17-32261.29" + } + }, + "SAXIHP1ARLOCK": { + "hide_name": 0, + "bits": [ 1814, 1815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32171.17-32171.30" + } + }, + "SAXIHP1ARPROT": { + "hide_name": 0, + "bits": [ 1887, 1888, 1889 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32201.17-32201.30" + } + }, + "SAXIHP1ARQOS": { + "hide_name": 0, + "bits": [ 2685, 2686, 2687, 2688 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32262.17-32262.29" + } + }, + "SAXIHP1ARREADY": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31823.12-31823.26" + } + }, + "SAXIHP1ARSIZE": { + "hide_name": 0, + "bits": [ 1816, 1817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32172.17-32172.30" + } + }, + "SAXIHP1ARVALID": { + "hide_name": 0, + "bits": [ 1654 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32107.11-32107.25" + } + }, + "SAXIHP1AWADDR": { + "hide_name": 0, + "bits": [ 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32222.18-32222.31" + } + }, + "SAXIHP1AWBURST": { + "hide_name": 0, + "bits": [ 1818, 1819 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32173.17-32173.31" + } + }, + "SAXIHP1AWCACHE": { + "hide_name": 0, + "bits": [ 2689, 2690, 2691, 2692 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32263.17-32263.31" + } + }, + "SAXIHP1AWID": { + "hide_name": 0, + "bits": [ 2819, 2820, 2821, 2822, 2823, 2824 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32290.17-32290.28" + } + }, + "SAXIHP1AWLEN": { + "hide_name": 0, + "bits": [ 2693, 2694, 2695, 2696 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32264.17-32264.29" + } + }, + "SAXIHP1AWLOCK": { + "hide_name": 0, + "bits": [ 1820, 1821 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32174.17-32174.30" + } + }, + "SAXIHP1AWPROT": { + "hide_name": 0, + "bits": [ 1890, 1891, 1892 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32202.17-32202.30" + } + }, + "SAXIHP1AWQOS": { + "hide_name": 0, + "bits": [ 2697, 2698, 2699, 2700 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32265.17-32265.29" + } + }, + "SAXIHP1AWREADY": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31824.12-31824.26" + } + }, + "SAXIHP1AWSIZE": { + "hide_name": 0, + "bits": [ 1822, 1823 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32175.17-32175.30" + } + }, + "SAXIHP1AWVALID": { + "hide_name": 0, + "bits": [ 1655 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32108.11-32108.25" + } + }, + "SAXIHP1BID": { + "hide_name": 0, + "bits": [ 821, 822, 823, 824, 825, 826 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31939.18-31939.28" + } + }, + "SAXIHP1BREADY": { + "hide_name": 0, + "bits": [ 1656 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32109.11-32109.24" + } + }, + "SAXIHP1BRESP": { + "hide_name": 0, + "bits": [ 282, 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31877.18-31877.30" + } + }, + "SAXIHP1BVALID": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31825.12-31825.25" + } + }, + "SAXIHP1RACOUNT": { + "hide_name": 0, + "bits": [ 362, 363, 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31897.18-31897.32" + } + }, + "SAXIHP1RCOUNT": { + "hide_name": 0, + "bits": [ 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31959.18-31959.31" + } + }, + "SAXIHP1RDATA": { + "hide_name": 0, + "bits": [ 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31952.19-31952.31" + } + }, + "SAXIHP1RDISSUECAP1EN": { + "hide_name": 0, + "bits": [ 1657 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32110.11-32110.31" + } + }, + "SAXIHP1RID": { + "hide_name": 0, + "bits": [ 827, 828, 829, 830, 831, 832 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31940.18-31940.28" + } + }, + "SAXIHP1RLAST": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31826.12-31826.24" + } + }, + "SAXIHP1RREADY": { + "hide_name": 0, + "bits": [ 1658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32111.11-32111.24" + } + }, + "SAXIHP1RRESP": { + "hide_name": 0, + "bits": [ 284, 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31878.18-31878.30" + } + }, + "SAXIHP1RVALID": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31827.12-31827.25" + } + }, + "SAXIHP1WACOUNT": { + "hide_name": 0, + "bits": [ 833, 834, 835, 836, 837, 838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31941.18-31941.32" + } + }, + "SAXIHP1WCOUNT": { + "hide_name": 0, + "bits": [ 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31960.18-31960.31" + } + }, + "SAXIHP1WDATA": { + "hide_name": 0, + "bits": [ 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119, 3120, 3121, 3122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32301.18-32301.30" + } + }, + "SAXIHP1WID": { + "hide_name": 0, + "bits": [ 2825, 2826, 2827, 2828, 2829, 2830 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32291.17-32291.27" + } + }, + "SAXIHP1WLAST": { + "hide_name": 0, + "bits": [ 1659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32112.11-32112.23" + } + }, + "SAXIHP1WREADY": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31828.12-31828.25" + } + }, + "SAXIHP1WRISSUECAP1EN": { + "hide_name": 0, + "bits": [ 1660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32113.11-32113.31" + } + }, + "SAXIHP1WSTRB": { + "hide_name": 0, + "bits": [ 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32308.17-32308.29" + } + }, + "SAXIHP1WVALID": { + "hide_name": 0, + "bits": [ 1661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32114.11-32114.24" + } + }, + "SAXIHP2ACLK": { + "hide_name": 0, + "bits": [ 1662 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32115.11-32115.22" + } + }, + "SAXIHP2ARADDR": { + "hide_name": 0, + "bits": [ 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32223.18-32223.31" + } + }, + "SAXIHP2ARBURST": { + "hide_name": 0, + "bits": [ 1824, 1825 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32176.17-32176.31" + } + }, + "SAXIHP2ARCACHE": { + "hide_name": 0, + "bits": [ 2701, 2702, 2703, 2704 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32266.17-32266.31" + } + }, + "SAXIHP2ARESETN": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31829.12-31829.26" + } + }, + "SAXIHP2ARID": { + "hide_name": 0, + "bits": [ 2831, 2832, 2833, 2834, 2835, 2836 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32292.17-32292.28" + } + }, + "SAXIHP2ARLEN": { + "hide_name": 0, + "bits": [ 2705, 2706, 2707, 2708 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32267.17-32267.29" + } + }, + "SAXIHP2ARLOCK": { + "hide_name": 0, + "bits": [ 1826, 1827 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32177.17-32177.30" + } + }, + "SAXIHP2ARPROT": { + "hide_name": 0, + "bits": [ 1893, 1894, 1895 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32203.17-32203.30" + } + }, + "SAXIHP2ARQOS": { + "hide_name": 0, + "bits": [ 2709, 2710, 2711, 2712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32268.17-32268.29" + } + }, + "SAXIHP2ARREADY": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31830.12-31830.26" + } + }, + "SAXIHP2ARSIZE": { + "hide_name": 0, + "bits": [ 1828, 1829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32178.17-32178.30" + } + }, + "SAXIHP2ARVALID": { + "hide_name": 0, + "bits": [ 1663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32116.11-32116.25" + } + }, + "SAXIHP2AWADDR": { + "hide_name": 0, + "bits": [ 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32224.18-32224.31" + } + }, + "SAXIHP2AWBURST": { + "hide_name": 0, + "bits": [ 1830, 1831 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32179.17-32179.31" + } + }, + "SAXIHP2AWCACHE": { + "hide_name": 0, + "bits": [ 2713, 2714, 2715, 2716 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32269.17-32269.31" + } + }, + "SAXIHP2AWID": { + "hide_name": 0, + "bits": [ 2837, 2838, 2839, 2840, 2841, 2842 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32293.17-32293.28" + } + }, + "SAXIHP2AWLEN": { + "hide_name": 0, + "bits": [ 2717, 2718, 2719, 2720 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32270.17-32270.29" + } + }, + "SAXIHP2AWLOCK": { + "hide_name": 0, + "bits": [ 1832, 1833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32180.17-32180.30" + } + }, + "SAXIHP2AWPROT": { + "hide_name": 0, + "bits": [ 1896, 1897, 1898 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32204.17-32204.30" + } + }, + "SAXIHP2AWQOS": { + "hide_name": 0, + "bits": [ 2721, 2722, 2723, 2724 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32271.17-32271.29" + } + }, + "SAXIHP2AWREADY": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31831.12-31831.26" + } + }, + "SAXIHP2AWSIZE": { + "hide_name": 0, + "bits": [ 1834, 1835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32181.17-32181.30" + } + }, + "SAXIHP2AWVALID": { + "hide_name": 0, + "bits": [ 1664 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32117.11-32117.25" + } + }, + "SAXIHP2BID": { + "hide_name": 0, + "bits": [ 839, 840, 841, 842, 843, 844 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31942.18-31942.28" + } + }, + "SAXIHP2BREADY": { + "hide_name": 0, + "bits": [ 1665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32118.11-32118.24" + } + }, + "SAXIHP2BRESP": { + "hide_name": 0, + "bits": [ 286, 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31879.18-31879.30" + } + }, + "SAXIHP2BVALID": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31832.12-31832.25" + } + }, + "SAXIHP2RACOUNT": { + "hide_name": 0, + "bits": [ 365, 366, 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31898.18-31898.32" + } + }, + "SAXIHP2RCOUNT": { + "hide_name": 0, + "bits": [ 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31961.18-31961.31" + } + }, + "SAXIHP2RDATA": { + "hide_name": 0, + "bits": [ 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31953.19-31953.31" + } + }, + "SAXIHP2RDISSUECAP1EN": { + "hide_name": 0, + "bits": [ 1666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32119.11-32119.31" + } + }, + "SAXIHP2RID": { + "hide_name": 0, + "bits": [ 845, 846, 847, 848, 849, 850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31943.18-31943.28" + } + }, + "SAXIHP2RLAST": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31833.12-31833.24" + } + }, + "SAXIHP2RREADY": { + "hide_name": 0, + "bits": [ 1667 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32120.11-32120.24" + } + }, + "SAXIHP2RRESP": { + "hide_name": 0, + "bits": [ 288, 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31880.18-31880.30" + } + }, + "SAXIHP2RVALID": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31834.12-31834.25" + } + }, + "SAXIHP2WACOUNT": { + "hide_name": 0, + "bits": [ 851, 852, 853, 854, 855, 856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31944.18-31944.32" + } + }, + "SAXIHP2WCOUNT": { + "hide_name": 0, + "bits": [ 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31962.18-31962.31" + } + }, + "SAXIHP2WDATA": { + "hide_name": 0, + "bits": [ 3123, 3124, 3125, 3126, 3127, 3128, 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136, 3137, 3138, 3139, 3140, 3141, 3142, 3143, 3144, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32302.18-32302.30" + } + }, + "SAXIHP2WID": { + "hide_name": 0, + "bits": [ 2843, 2844, 2845, 2846, 2847, 2848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32294.17-32294.27" + } + }, + "SAXIHP2WLAST": { + "hide_name": 0, + "bits": [ 1668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32121.11-32121.23" + } + }, + "SAXIHP2WREADY": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31835.12-31835.25" + } + }, + "SAXIHP2WRISSUECAP1EN": { + "hide_name": 0, + "bits": [ 1669 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32122.11-32122.31" + } + }, + "SAXIHP2WSTRB": { + "hide_name": 0, + "bits": [ 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32309.17-32309.29" + } + }, + "SAXIHP2WVALID": { + "hide_name": 0, + "bits": [ 1670 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32123.11-32123.24" + } + }, + "SAXIHP3ACLK": { + "hide_name": 0, + "bits": [ 1671 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32124.11-32124.22" + } + }, + "SAXIHP3ARADDR": { + "hide_name": 0, + "bits": [ 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32225.18-32225.31" + } + }, + "SAXIHP3ARBURST": { + "hide_name": 0, + "bits": [ 1836, 1837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32182.17-32182.31" + } + }, + "SAXIHP3ARCACHE": { + "hide_name": 0, + "bits": [ 2725, 2726, 2727, 2728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32272.17-32272.31" + } + }, + "SAXIHP3ARESETN": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31836.12-31836.26" + } + }, + "SAXIHP3ARID": { + "hide_name": 0, + "bits": [ 2849, 2850, 2851, 2852, 2853, 2854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32295.17-32295.28" + } + }, + "SAXIHP3ARLEN": { + "hide_name": 0, + "bits": [ 2729, 2730, 2731, 2732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32273.17-32273.29" + } + }, + "SAXIHP3ARLOCK": { + "hide_name": 0, + "bits": [ 1838, 1839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32183.17-32183.30" + } + }, + "SAXIHP3ARPROT": { + "hide_name": 0, + "bits": [ 1899, 1900, 1901 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32205.17-32205.30" + } + }, + "SAXIHP3ARQOS": { + "hide_name": 0, + "bits": [ 2733, 2734, 2735, 2736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32274.17-32274.29" + } + }, + "SAXIHP3ARREADY": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31837.12-31837.26" + } + }, + "SAXIHP3ARSIZE": { + "hide_name": 0, + "bits": [ 1840, 1841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32184.17-32184.30" + } + }, + "SAXIHP3ARVALID": { + "hide_name": 0, + "bits": [ 1672 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32125.11-32125.25" + } + }, + "SAXIHP3AWADDR": { + "hide_name": 0, + "bits": [ 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32226.18-32226.31" + } + }, + "SAXIHP3AWBURST": { + "hide_name": 0, + "bits": [ 1842, 1843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32185.17-32185.31" + } + }, + "SAXIHP3AWCACHE": { + "hide_name": 0, + "bits": [ 2737, 2738, 2739, 2740 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32275.17-32275.31" + } + }, + "SAXIHP3AWID": { + "hide_name": 0, + "bits": [ 2855, 2856, 2857, 2858, 2859, 2860 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32296.17-32296.28" + } + }, + "SAXIHP3AWLEN": { + "hide_name": 0, + "bits": [ 2741, 2742, 2743, 2744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32276.17-32276.29" + } + }, + "SAXIHP3AWLOCK": { + "hide_name": 0, + "bits": [ 1844, 1845 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32186.17-32186.30" + } + }, + "SAXIHP3AWPROT": { + "hide_name": 0, + "bits": [ 1902, 1903, 1904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32206.17-32206.30" + } + }, + "SAXIHP3AWQOS": { + "hide_name": 0, + "bits": [ 2745, 2746, 2747, 2748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32277.17-32277.29" + } + }, + "SAXIHP3AWREADY": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31838.12-31838.26" + } + }, + "SAXIHP3AWSIZE": { + "hide_name": 0, + "bits": [ 1846, 1847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32187.17-32187.30" + } + }, + "SAXIHP3AWVALID": { + "hide_name": 0, + "bits": [ 1673 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32126.11-32126.25" + } + }, + "SAXIHP3BID": { + "hide_name": 0, + "bits": [ 857, 858, 859, 860, 861, 862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31945.18-31945.28" + } + }, + "SAXIHP3BREADY": { + "hide_name": 0, + "bits": [ 1674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32127.11-32127.24" + } + }, + "SAXIHP3BRESP": { + "hide_name": 0, + "bits": [ 290, 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31881.18-31881.30" + } + }, + "SAXIHP3BVALID": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31839.12-31839.25" + } + }, + "SAXIHP3RACOUNT": { + "hide_name": 0, + "bits": [ 368, 369, 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31899.18-31899.32" + } + }, + "SAXIHP3RCOUNT": { + "hide_name": 0, + "bits": [ 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31963.18-31963.31" + } + }, + "SAXIHP3RDATA": { + "hide_name": 0, + "bits": [ 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31954.19-31954.31" + } + }, + "SAXIHP3RDISSUECAP1EN": { + "hide_name": 0, + "bits": [ 1675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32128.11-32128.31" + } + }, + "SAXIHP3RID": { + "hide_name": 0, + "bits": [ 863, 864, 865, 866, 867, 868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31946.18-31946.28" + } + }, + "SAXIHP3RLAST": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31840.12-31840.24" + } + }, + "SAXIHP3RREADY": { + "hide_name": 0, + "bits": [ 1676 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32129.11-32129.24" + } + }, + "SAXIHP3RRESP": { + "hide_name": 0, + "bits": [ 292, 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31882.18-31882.30" + } + }, + "SAXIHP3RVALID": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31841.12-31841.25" + } + }, + "SAXIHP3WACOUNT": { + "hide_name": 0, + "bits": [ 869, 870, 871, 872, 873, 874 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31947.18-31947.32" + } + }, + "SAXIHP3WCOUNT": { + "hide_name": 0, + "bits": [ 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31964.18-31964.31" + } + }, + "SAXIHP3WDATA": { + "hide_name": 0, + "bits": [ 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32303.18-32303.30" + } + }, + "SAXIHP3WID": { + "hide_name": 0, + "bits": [ 2861, 2862, 2863, 2864, 2865, 2866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32297.17-32297.27" + } + }, + "SAXIHP3WLAST": { + "hide_name": 0, + "bits": [ 1677 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32130.11-32130.23" + } + }, + "SAXIHP3WREADY": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:31842.12-31842.25" + } + }, + "SAXIHP3WRISSUECAP1EN": { + "hide_name": 0, + "bits": [ 1678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32131.11-32131.31" + } + }, + "SAXIHP3WSTRB": { + "hide_name": 0, + "bits": [ 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32310.17-32310.29" + } + }, + "SAXIHP3WVALID": { + "hide_name": 0, + "bits": [ 1679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32132.11-32132.24" + } + } + } + }, + "PS8": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32314.1-33330.10" + }, + "ports": { + "ADMA2PLCACK": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "ADMA2PLTVLD": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DPAUDIOREFCLK": { + "direction": "output", + "bits": [ 18 ] + }, + "DPAUXDATAOEN": { + "direction": "output", + "bits": [ 19 ] + }, + "DPAUXDATAOUT": { + "direction": "output", + "bits": [ 20 ] + }, + "DPLIVEVIDEODEOUT": { + "direction": "output", + "bits": [ 21 ] + }, + "DPMAXISMIXEDAUDIOTDATA": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DPMAXISMIXEDAUDIOTID": { + "direction": "output", + "bits": [ 54 ] + }, + "DPMAXISMIXEDAUDIOTVALID": { + "direction": "output", + "bits": [ 55 ] + }, + "DPSAXISAUDIOTREADY": { + "direction": "output", + "bits": [ 56 ] + }, + "DPVIDEOOUTHSYNC": { + "direction": "output", + "bits": [ 57 ] + }, + "DPVIDEOOUTPIXEL1": { + "direction": "output", + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ] + }, + "DPVIDEOOUTVSYNC": { + "direction": "output", + "bits": [ 94 ] + }, + "DPVIDEOREFCLK": { + "direction": "output", + "bits": [ 95 ] + }, + "EMIOCAN0PHYTX": { + "direction": "output", + "bits": [ 96 ] + }, + "EMIOCAN1PHYTX": { + "direction": "output", + "bits": [ 97 ] + }, + "EMIOENET0DMABUSWIDTH": { + "direction": "output", + "bits": [ 98, 99 ] + }, + "EMIOENET0DMATXENDTOG": { + "direction": "output", + "bits": [ 100 ] + }, + "EMIOENET0GEMTSUTIMERCNT": { + "direction": "output", + "bits": [ 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194 ] + }, + "EMIOENET0GMIITXD": { + "direction": "output", + "bits": [ 195, 196, 197, 198, 199, 200, 201, 202 ] + }, + "EMIOENET0GMIITXEN": { + "direction": "output", + "bits": [ 203 ] + }, + "EMIOENET0GMIITXER": { + "direction": "output", + "bits": [ 204 ] + }, + "EMIOENET0MDIOMDC": { + "direction": "output", + "bits": [ 205 ] + }, + "EMIOENET0MDIOO": { + "direction": "output", + "bits": [ 206 ] + }, + "EMIOENET0MDIOTN": { + "direction": "output", + "bits": [ 207 ] + }, + "EMIOENET0RXWDATA": { + "direction": "output", + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215 ] + }, + "EMIOENET0RXWEOP": { + "direction": "output", + "bits": [ 216 ] + }, + "EMIOENET0RXWERR": { + "direction": "output", + "bits": [ 217 ] + }, + "EMIOENET0RXWFLUSH": { + "direction": "output", + "bits": [ 218 ] + }, + "EMIOENET0RXWSOP": { + "direction": "output", + "bits": [ 219 ] + }, + "EMIOENET0RXWSTATUS": { + "direction": "output", + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264 ] + }, + "EMIOENET0RXWWR": { + "direction": "output", + "bits": [ 265 ] + }, + "EMIOENET0SPEEDMODE": { + "direction": "output", + "bits": [ 266, 267, 268 ] + }, + "EMIOENET0TXRRD": { + "direction": "output", + "bits": [ 269 ] + }, + "EMIOENET0TXRSTATUS": { + "direction": "output", + "bits": [ 270, 271, 272, 273 ] + }, + "EMIOENET1DMABUSWIDTH": { + "direction": "output", + "bits": [ 274, 275 ] + }, + "EMIOENET1DMATXENDTOG": { + "direction": "output", + "bits": [ 276 ] + }, + "EMIOENET1GMIITXD": { + "direction": "output", + "bits": [ 277, 278, 279, 280, 281, 282, 283, 284 ] + }, + "EMIOENET1GMIITXEN": { + "direction": "output", + "bits": [ 285 ] + }, + "EMIOENET1GMIITXER": { + "direction": "output", + "bits": [ 286 ] + }, + "EMIOENET1MDIOMDC": { + "direction": "output", + "bits": [ 287 ] + }, + "EMIOENET1MDIOO": { + "direction": "output", + "bits": [ 288 ] + }, + "EMIOENET1MDIOTN": { + "direction": "output", + "bits": [ 289 ] + }, + "EMIOENET1RXWDATA": { + "direction": "output", + "bits": [ 290, 291, 292, 293, 294, 295, 296, 297 ] + }, + "EMIOENET1RXWEOP": { + "direction": "output", + "bits": [ 298 ] + }, + "EMIOENET1RXWERR": { + "direction": "output", + "bits": [ 299 ] + }, + "EMIOENET1RXWFLUSH": { + "direction": "output", + "bits": [ 300 ] + }, + "EMIOENET1RXWSOP": { + "direction": "output", + "bits": [ 301 ] + }, + "EMIOENET1RXWSTATUS": { + "direction": "output", + "bits": [ 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346 ] + }, + "EMIOENET1RXWWR": { + "direction": "output", + "bits": [ 347 ] + }, + "EMIOENET1SPEEDMODE": { + "direction": "output", + "bits": [ 348, 349, 350 ] + }, + "EMIOENET1TXRRD": { + "direction": "output", + "bits": [ 351 ] + }, + "EMIOENET1TXRSTATUS": { + "direction": "output", + "bits": [ 352, 353, 354, 355 ] + }, + "EMIOENET2DMABUSWIDTH": { + "direction": "output", + "bits": [ 356, 357 ] + }, + "EMIOENET2DMATXENDTOG": { + "direction": "output", + "bits": [ 358 ] + }, + "EMIOENET2GMIITXD": { + "direction": "output", + "bits": [ 359, 360, 361, 362, 363, 364, 365, 366 ] + }, + "EMIOENET2GMIITXEN": { + "direction": "output", + "bits": [ 367 ] + }, + "EMIOENET2GMIITXER": { + "direction": "output", + "bits": [ 368 ] + }, + "EMIOENET2MDIOMDC": { + "direction": "output", + "bits": [ 369 ] + }, + "EMIOENET2MDIOO": { + "direction": "output", + "bits": [ 370 ] + }, + "EMIOENET2MDIOTN": { + "direction": "output", + "bits": [ 371 ] + }, + "EMIOENET2RXWDATA": { + "direction": "output", + "bits": [ 372, 373, 374, 375, 376, 377, 378, 379 ] + }, + "EMIOENET2RXWEOP": { + "direction": "output", + "bits": [ 380 ] + }, + "EMIOENET2RXWERR": { + "direction": "output", + "bits": [ 381 ] + }, + "EMIOENET2RXWFLUSH": { + "direction": "output", + "bits": [ 382 ] + }, + "EMIOENET2RXWSOP": { + "direction": "output", + "bits": [ 383 ] + }, + "EMIOENET2RXWSTATUS": { + "direction": "output", + "bits": [ 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428 ] + }, + "EMIOENET2RXWWR": { + "direction": "output", + "bits": [ 429 ] + }, + "EMIOENET2SPEEDMODE": { + "direction": "output", + "bits": [ 430, 431, 432 ] + }, + "EMIOENET2TXRRD": { + "direction": "output", + "bits": [ 433 ] + }, + "EMIOENET2TXRSTATUS": { + "direction": "output", + "bits": [ 434, 435, 436, 437 ] + }, + "EMIOENET3DMABUSWIDTH": { + "direction": "output", + "bits": [ 438, 439 ] + }, + "EMIOENET3DMATXENDTOG": { + "direction": "output", + "bits": [ 440 ] + }, + "EMIOENET3GMIITXD": { + "direction": "output", + "bits": [ 441, 442, 443, 444, 445, 446, 447, 448 ] + }, + "EMIOENET3GMIITXEN": { + "direction": "output", + "bits": [ 449 ] + }, + "EMIOENET3GMIITXER": { + "direction": "output", + "bits": [ 450 ] + }, + "EMIOENET3MDIOMDC": { + "direction": "output", + "bits": [ 451 ] + }, + "EMIOENET3MDIOO": { + "direction": "output", + "bits": [ 452 ] + }, + "EMIOENET3MDIOTN": { + "direction": "output", + "bits": [ 453 ] + }, + "EMIOENET3RXWDATA": { + "direction": "output", + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ] + }, + "EMIOENET3RXWEOP": { + "direction": "output", + "bits": [ 462 ] + }, + "EMIOENET3RXWERR": { + "direction": "output", + "bits": [ 463 ] + }, + "EMIOENET3RXWFLUSH": { + "direction": "output", + "bits": [ 464 ] + }, + "EMIOENET3RXWSOP": { + "direction": "output", + "bits": [ 465 ] + }, + "EMIOENET3RXWSTATUS": { + "direction": "output", + "bits": [ 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510 ] + }, + "EMIOENET3RXWWR": { + "direction": "output", + "bits": [ 511 ] + }, + "EMIOENET3SPEEDMODE": { + "direction": "output", + "bits": [ 512, 513, 514 ] + }, + "EMIOENET3TXRRD": { + "direction": "output", + "bits": [ 515 ] + }, + "EMIOENET3TXRSTATUS": { + "direction": "output", + "bits": [ 516, 517, 518, 519 ] + }, + "EMIOGEM0DELAYREQRX": { + "direction": "output", + "bits": [ 520 ] + }, + "EMIOGEM0DELAYREQTX": { + "direction": "output", + "bits": [ 521 ] + }, + "EMIOGEM0PDELAYREQRX": { + "direction": "output", + "bits": [ 522 ] + }, + "EMIOGEM0PDELAYREQTX": { + "direction": "output", + "bits": [ 523 ] + }, + "EMIOGEM0PDELAYRESPRX": { + "direction": "output", + "bits": [ 524 ] + }, + "EMIOGEM0PDELAYRESPTX": { + "direction": "output", + "bits": [ 525 ] + }, + "EMIOGEM0RXSOF": { + "direction": "output", + "bits": [ 526 ] + }, + "EMIOGEM0SYNCFRAMERX": { + "direction": "output", + "bits": [ 527 ] + }, + "EMIOGEM0SYNCFRAMETX": { + "direction": "output", + "bits": [ 528 ] + }, + "EMIOGEM0TSUTIMERCMPVAL": { + "direction": "output", + "bits": [ 529 ] + }, + "EMIOGEM0TXRFIXEDLAT": { + "direction": "output", + "bits": [ 530 ] + }, + "EMIOGEM0TXSOF": { + "direction": "output", + "bits": [ 531 ] + }, + "EMIOGEM1DELAYREQRX": { + "direction": "output", + "bits": [ 532 ] + }, + "EMIOGEM1DELAYREQTX": { + "direction": "output", + "bits": [ 533 ] + }, + "EMIOGEM1PDELAYREQRX": { + "direction": "output", + "bits": [ 534 ] + }, + "EMIOGEM1PDELAYREQTX": { + "direction": "output", + "bits": [ 535 ] + }, + "EMIOGEM1PDELAYRESPRX": { + "direction": "output", + "bits": [ 536 ] + }, + "EMIOGEM1PDELAYRESPTX": { + "direction": "output", + "bits": [ 537 ] + }, + "EMIOGEM1RXSOF": { + "direction": "output", + "bits": [ 538 ] + }, + "EMIOGEM1SYNCFRAMERX": { + "direction": "output", + "bits": [ 539 ] + }, + "EMIOGEM1SYNCFRAMETX": { + "direction": "output", + "bits": [ 540 ] + }, + "EMIOGEM1TSUTIMERCMPVAL": { + "direction": "output", + "bits": [ 541 ] + }, + "EMIOGEM1TXRFIXEDLAT": { + "direction": "output", + "bits": [ 542 ] + }, + "EMIOGEM1TXSOF": { + "direction": "output", + "bits": [ 543 ] + }, + "EMIOGEM2DELAYREQRX": { + "direction": "output", + "bits": [ 544 ] + }, + "EMIOGEM2DELAYREQTX": { + "direction": "output", + "bits": [ 545 ] + }, + "EMIOGEM2PDELAYREQRX": { + "direction": "output", + "bits": [ 546 ] + }, + "EMIOGEM2PDELAYREQTX": { + "direction": "output", + "bits": [ 547 ] + }, + "EMIOGEM2PDELAYRESPRX": { + "direction": "output", + "bits": [ 548 ] + }, + "EMIOGEM2PDELAYRESPTX": { + "direction": "output", + "bits": [ 549 ] + }, + "EMIOGEM2RXSOF": { + "direction": "output", + "bits": [ 550 ] + }, + "EMIOGEM2SYNCFRAMERX": { + "direction": "output", + "bits": [ 551 ] + }, + "EMIOGEM2SYNCFRAMETX": { + "direction": "output", + "bits": [ 552 ] + }, + "EMIOGEM2TSUTIMERCMPVAL": { + "direction": "output", + "bits": [ 553 ] + }, + "EMIOGEM2TXRFIXEDLAT": { + "direction": "output", + "bits": [ 554 ] + }, + "EMIOGEM2TXSOF": { + "direction": "output", + "bits": [ 555 ] + }, + "EMIOGEM3DELAYREQRX": { + "direction": "output", + "bits": [ 556 ] + }, + "EMIOGEM3DELAYREQTX": { + "direction": "output", + "bits": [ 557 ] + }, + "EMIOGEM3PDELAYREQRX": { + "direction": "output", + "bits": [ 558 ] + }, + "EMIOGEM3PDELAYREQTX": { + "direction": "output", + "bits": [ 559 ] + }, + "EMIOGEM3PDELAYRESPRX": { + "direction": "output", + "bits": [ 560 ] + }, + "EMIOGEM3PDELAYRESPTX": { + "direction": "output", + "bits": [ 561 ] + }, + "EMIOGEM3RXSOF": { + "direction": "output", + "bits": [ 562 ] + }, + "EMIOGEM3SYNCFRAMERX": { + "direction": "output", + "bits": [ 563 ] + }, + "EMIOGEM3SYNCFRAMETX": { + "direction": "output", + "bits": [ 564 ] + }, + "EMIOGEM3TSUTIMERCMPVAL": { + "direction": "output", + "bits": [ 565 ] + }, + "EMIOGEM3TXRFIXEDLAT": { + "direction": "output", + "bits": [ 566 ] + }, + "EMIOGEM3TXSOF": { + "direction": "output", + "bits": [ 567 ] + }, + "EMIOGPIOO": { + "direction": "output", + "bits": [ 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663 ] + }, + "EMIOGPIOTN": { + "direction": "output", + "bits": [ 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759 ] + }, + "EMIOI2C0SCLO": { + "direction": "output", + "bits": [ 760 ] + }, + "EMIOI2C0SCLTN": { + "direction": "output", + "bits": [ 761 ] + }, + "EMIOI2C0SDAO": { + "direction": "output", + "bits": [ 762 ] + }, + "EMIOI2C0SDATN": { + "direction": "output", + "bits": [ 763 ] + }, + "EMIOI2C1SCLO": { + "direction": "output", + "bits": [ 764 ] + }, + "EMIOI2C1SCLTN": { + "direction": "output", + "bits": [ 765 ] + }, + "EMIOI2C1SDAO": { + "direction": "output", + "bits": [ 766 ] + }, + "EMIOI2C1SDATN": { + "direction": "output", + "bits": [ 767 ] + }, + "EMIOSDIO0BUSPOWER": { + "direction": "output", + "bits": [ 768 ] + }, + "EMIOSDIO0BUSVOLT": { + "direction": "output", + "bits": [ 769, 770, 771 ] + }, + "EMIOSDIO0CLKOUT": { + "direction": "output", + "bits": [ 772 ] + }, + "EMIOSDIO0CMDENA": { + "direction": "output", + "bits": [ 773 ] + }, + "EMIOSDIO0CMDOUT": { + "direction": "output", + "bits": [ 774 ] + }, + "EMIOSDIO0DATAENA": { + "direction": "output", + "bits": [ 775, 776, 777, 778, 779, 780, 781, 782 ] + }, + "EMIOSDIO0DATAOUT": { + "direction": "output", + "bits": [ 783, 784, 785, 786, 787, 788, 789, 790 ] + }, + "EMIOSDIO0LEDCONTROL": { + "direction": "output", + "bits": [ 791 ] + }, + "EMIOSDIO1BUSPOWER": { + "direction": "output", + "bits": [ 792 ] + }, + "EMIOSDIO1BUSVOLT": { + "direction": "output", + "bits": [ 793, 794, 795 ] + }, + "EMIOSDIO1CLKOUT": { + "direction": "output", + "bits": [ 796 ] + }, + "EMIOSDIO1CMDENA": { + "direction": "output", + "bits": [ 797 ] + }, + "EMIOSDIO1CMDOUT": { + "direction": "output", + "bits": [ 798 ] + }, + "EMIOSDIO1DATAENA": { + "direction": "output", + "bits": [ 799, 800, 801, 802, 803, 804, 805, 806 ] + }, + "EMIOSDIO1DATAOUT": { + "direction": "output", + "bits": [ 807, 808, 809, 810, 811, 812, 813, 814 ] + }, + "EMIOSDIO1LEDCONTROL": { + "direction": "output", + "bits": [ 815 ] + }, + "EMIOSPI0MO": { + "direction": "output", + "bits": [ 816 ] + }, + "EMIOSPI0MOTN": { + "direction": "output", + "bits": [ 817 ] + }, + "EMIOSPI0SCLKO": { + "direction": "output", + "bits": [ 818 ] + }, + "EMIOSPI0SCLKTN": { + "direction": "output", + "bits": [ 819 ] + }, + "EMIOSPI0SO": { + "direction": "output", + "bits": [ 820 ] + }, + "EMIOSPI0SSNTN": { + "direction": "output", + "bits": [ 821 ] + }, + "EMIOSPI0SSON": { + "direction": "output", + "bits": [ 822, 823, 824 ] + }, + "EMIOSPI0STN": { + "direction": "output", + "bits": [ 825 ] + }, + "EMIOSPI1MO": { + "direction": "output", + "bits": [ 826 ] + }, + "EMIOSPI1MOTN": { + "direction": "output", + "bits": [ 827 ] + }, + "EMIOSPI1SCLKO": { + "direction": "output", + "bits": [ 828 ] + }, + "EMIOSPI1SCLKTN": { + "direction": "output", + "bits": [ 829 ] + }, + "EMIOSPI1SO": { + "direction": "output", + "bits": [ 830 ] + }, + "EMIOSPI1SSNTN": { + "direction": "output", + "bits": [ 831 ] + }, + "EMIOSPI1SSON": { + "direction": "output", + "bits": [ 832, 833, 834 ] + }, + "EMIOSPI1STN": { + "direction": "output", + "bits": [ 835 ] + }, + "EMIOTTC0WAVEO": { + "direction": "output", + "bits": [ 836, 837, 838 ] + }, + "EMIOTTC1WAVEO": { + "direction": "output", + "bits": [ 839, 840, 841 ] + }, + "EMIOTTC2WAVEO": { + "direction": "output", + "bits": [ 842, 843, 844 ] + }, + "EMIOTTC3WAVEO": { + "direction": "output", + "bits": [ 845, 846, 847 ] + }, + "EMIOU2DSPORTVBUSCTRLUSB30": { + "direction": "output", + "bits": [ 848 ] + }, + "EMIOU2DSPORTVBUSCTRLUSB31": { + "direction": "output", + "bits": [ 849 ] + }, + "EMIOU3DSPORTVBUSCTRLUSB30": { + "direction": "output", + "bits": [ 850 ] + }, + "EMIOU3DSPORTVBUSCTRLUSB31": { + "direction": "output", + "bits": [ 851 ] + }, + "EMIOUART0DTRN": { + "direction": "output", + "bits": [ 852 ] + }, + "EMIOUART0RTSN": { + "direction": "output", + "bits": [ 853 ] + }, + "EMIOUART0TX": { + "direction": "output", + "bits": [ 854 ] + }, + "EMIOUART1DTRN": { + "direction": "output", + "bits": [ 855 ] + }, + "EMIOUART1RTSN": { + "direction": "output", + "bits": [ 856 ] + }, + "EMIOUART1TX": { + "direction": "output", + "bits": [ 857 ] + }, + "EMIOWDT0RSTO": { + "direction": "output", + "bits": [ 858 ] + }, + "EMIOWDT1RSTO": { + "direction": "output", + "bits": [ 859 ] + }, + "FMIOGEM0FIFORXCLKTOPLBUFG": { + "direction": "output", + "bits": [ 860 ] + }, + "FMIOGEM0FIFOTXCLKTOPLBUFG": { + "direction": "output", + "bits": [ 861 ] + }, + "FMIOGEM1FIFORXCLKTOPLBUFG": { + "direction": "output", + "bits": [ 862 ] + }, + "FMIOGEM1FIFOTXCLKTOPLBUFG": { + "direction": "output", + "bits": [ 863 ] + }, + "FMIOGEM2FIFORXCLKTOPLBUFG": { + "direction": "output", + "bits": [ 864 ] + }, + "FMIOGEM2FIFOTXCLKTOPLBUFG": { + "direction": "output", + "bits": [ 865 ] + }, + "FMIOGEM3FIFORXCLKTOPLBUFG": { + "direction": "output", + "bits": [ 866 ] + }, + "FMIOGEM3FIFOTXCLKTOPLBUFG": { + "direction": "output", + "bits": [ 867 ] + }, + "FMIOGEMTSUCLKTOPLBUFG": { + "direction": "output", + "bits": [ 868 ] + }, + "FTMGPO": { + "direction": "output", + "bits": [ 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900 ] + }, + "GDMA2PLCACK": { + "direction": "output", + "bits": [ 901, 902, 903, 904, 905, 906, 907, 908 ] + }, + "GDMA2PLTVLD": { + "direction": "output", + "bits": [ 909, 910, 911, 912, 913, 914, 915, 916 ] + }, + "MAXIGP0ARADDR": { + "direction": "output", + "bits": [ 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956 ] + }, + "MAXIGP0ARBURST": { + "direction": "output", + "bits": [ 957, 958 ] + }, + "MAXIGP0ARCACHE": { + "direction": "output", + "bits": [ 959, 960, 961, 962 ] + }, + "MAXIGP0ARID": { + "direction": "output", + "bits": [ 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978 ] + }, + "MAXIGP0ARLEN": { + "direction": "output", + "bits": [ 979, 980, 981, 982, 983, 984, 985, 986 ] + }, + "MAXIGP0ARLOCK": { + "direction": "output", + "bits": [ 987 ] + }, + "MAXIGP0ARPROT": { + "direction": "output", + "bits": [ 988, 989, 990 ] + }, + "MAXIGP0ARQOS": { + "direction": "output", + "bits": [ 991, 992, 993, 994 ] + }, + "MAXIGP0ARSIZE": { + "direction": "output", + "bits": [ 995, 996, 997 ] + }, + "MAXIGP0ARUSER": { + "direction": "output", + "bits": [ 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013 ] + }, + "MAXIGP0ARVALID": { + "direction": "output", + "bits": [ 1014 ] + }, + "MAXIGP0AWADDR": { + "direction": "output", + "bits": [ 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054 ] + }, + "MAXIGP0AWBURST": { + "direction": "output", + "bits": [ 1055, 1056 ] + }, + "MAXIGP0AWCACHE": { + "direction": "output", + "bits": [ 1057, 1058, 1059, 1060 ] + }, + "MAXIGP0AWID": { + "direction": "output", + "bits": [ 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076 ] + }, + "MAXIGP0AWLEN": { + "direction": "output", + "bits": [ 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084 ] + }, + "MAXIGP0AWLOCK": { + "direction": "output", + "bits": [ 1085 ] + }, + "MAXIGP0AWPROT": { + "direction": "output", + "bits": [ 1086, 1087, 1088 ] + }, + "MAXIGP0AWQOS": { + "direction": "output", + "bits": [ 1089, 1090, 1091, 1092 ] + }, + "MAXIGP0AWSIZE": { + "direction": "output", + "bits": [ 1093, 1094, 1095 ] + }, + "MAXIGP0AWUSER": { + "direction": "output", + "bits": [ 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111 ] + }, + "MAXIGP0AWVALID": { + "direction": "output", + "bits": [ 1112 ] + }, + "MAXIGP0BREADY": { + "direction": "output", + "bits": [ 1113 ] + }, + "MAXIGP0RREADY": { + "direction": "output", + "bits": [ 1114 ] + }, + "MAXIGP0WDATA": { + "direction": "output", + "bits": [ 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242 ] + }, + "MAXIGP0WLAST": { + "direction": "output", + "bits": [ 1243 ] + }, + "MAXIGP0WSTRB": { + "direction": "output", + "bits": [ 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259 ] + }, + "MAXIGP0WVALID": { + "direction": "output", + "bits": [ 1260 ] + }, + "MAXIGP1ARADDR": { + "direction": "output", + "bits": [ 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300 ] + }, + "MAXIGP1ARBURST": { + "direction": "output", + "bits": [ 1301, 1302 ] + }, + "MAXIGP1ARCACHE": { + "direction": "output", + "bits": [ 1303, 1304, 1305, 1306 ] + }, + "MAXIGP1ARID": { + "direction": "output", + "bits": [ 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322 ] + }, + "MAXIGP1ARLEN": { + "direction": "output", + "bits": [ 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330 ] + }, + "MAXIGP1ARLOCK": { + "direction": "output", + "bits": [ 1331 ] + }, + "MAXIGP1ARPROT": { + "direction": "output", + "bits": [ 1332, 1333, 1334 ] + }, + "MAXIGP1ARQOS": { + "direction": "output", + "bits": [ 1335, 1336, 1337, 1338 ] + }, + "MAXIGP1ARSIZE": { + "direction": "output", + "bits": [ 1339, 1340, 1341 ] + }, + "MAXIGP1ARUSER": { + "direction": "output", + "bits": [ 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357 ] + }, + "MAXIGP1ARVALID": { + "direction": "output", + "bits": [ 1358 ] + }, + "MAXIGP1AWADDR": { + "direction": "output", + "bits": [ 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398 ] + }, + "MAXIGP1AWBURST": { + "direction": "output", + "bits": [ 1399, 1400 ] + }, + "MAXIGP1AWCACHE": { + "direction": "output", + "bits": [ 1401, 1402, 1403, 1404 ] + }, + "MAXIGP1AWID": { + "direction": "output", + "bits": [ 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420 ] + }, + "MAXIGP1AWLEN": { + "direction": "output", + "bits": [ 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428 ] + }, + "MAXIGP1AWLOCK": { + "direction": "output", + "bits": [ 1429 ] + }, + "MAXIGP1AWPROT": { + "direction": "output", + "bits": [ 1430, 1431, 1432 ] + }, + "MAXIGP1AWQOS": { + "direction": "output", + "bits": [ 1433, 1434, 1435, 1436 ] + }, + "MAXIGP1AWSIZE": { + "direction": "output", + "bits": [ 1437, 1438, 1439 ] + }, + "MAXIGP1AWUSER": { + "direction": "output", + "bits": [ 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455 ] + }, + "MAXIGP1AWVALID": { + "direction": "output", + "bits": [ 1456 ] + }, + "MAXIGP1BREADY": { + "direction": "output", + "bits": [ 1457 ] + }, + "MAXIGP1RREADY": { + "direction": "output", + "bits": [ 1458 ] + }, + "MAXIGP1WDATA": { + "direction": "output", + "bits": [ 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586 ] + }, + "MAXIGP1WLAST": { + "direction": "output", + "bits": [ 1587 ] + }, + "MAXIGP1WSTRB": { + "direction": "output", + "bits": [ 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603 ] + }, + "MAXIGP1WVALID": { + "direction": "output", + "bits": [ 1604 ] + }, + "MAXIGP2ARADDR": { + "direction": "output", + "bits": [ 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644 ] + }, + "MAXIGP2ARBURST": { + "direction": "output", + "bits": [ 1645, 1646 ] + }, + "MAXIGP2ARCACHE": { + "direction": "output", + "bits": [ 1647, 1648, 1649, 1650 ] + }, + "MAXIGP2ARID": { + "direction": "output", + "bits": [ 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666 ] + }, + "MAXIGP2ARLEN": { + "direction": "output", + "bits": [ 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674 ] + }, + "MAXIGP2ARLOCK": { + "direction": "output", + "bits": [ 1675 ] + }, + "MAXIGP2ARPROT": { + "direction": "output", + "bits": [ 1676, 1677, 1678 ] + }, + "MAXIGP2ARQOS": { + "direction": "output", + "bits": [ 1679, 1680, 1681, 1682 ] + }, + "MAXIGP2ARSIZE": { + "direction": "output", + "bits": [ 1683, 1684, 1685 ] + }, + "MAXIGP2ARUSER": { + "direction": "output", + "bits": [ 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701 ] + }, + "MAXIGP2ARVALID": { + "direction": "output", + "bits": [ 1702 ] + }, + "MAXIGP2AWADDR": { + "direction": "output", + "bits": [ 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742 ] + }, + "MAXIGP2AWBURST": { + "direction": "output", + "bits": [ 1743, 1744 ] + }, + "MAXIGP2AWCACHE": { + "direction": "output", + "bits": [ 1745, 1746, 1747, 1748 ] + }, + "MAXIGP2AWID": { + "direction": "output", + "bits": [ 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764 ] + }, + "MAXIGP2AWLEN": { + "direction": "output", + "bits": [ 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772 ] + }, + "MAXIGP2AWLOCK": { + "direction": "output", + "bits": [ 1773 ] + }, + "MAXIGP2AWPROT": { + "direction": "output", + "bits": [ 1774, 1775, 1776 ] + }, + "MAXIGP2AWQOS": { + "direction": "output", + "bits": [ 1777, 1778, 1779, 1780 ] + }, + "MAXIGP2AWSIZE": { + "direction": "output", + "bits": [ 1781, 1782, 1783 ] + }, + "MAXIGP2AWUSER": { + "direction": "output", + "bits": [ 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799 ] + }, + "MAXIGP2AWVALID": { + "direction": "output", + "bits": [ 1800 ] + }, + "MAXIGP2BREADY": { + "direction": "output", + "bits": [ 1801 ] + }, + "MAXIGP2RREADY": { + "direction": "output", + "bits": [ 1802 ] + }, + "MAXIGP2WDATA": { + "direction": "output", + "bits": [ 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930 ] + }, + "MAXIGP2WLAST": { + "direction": "output", + "bits": [ 1931 ] + }, + "MAXIGP2WSTRB": { + "direction": "output", + "bits": [ 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947 ] + }, + "MAXIGP2WVALID": { + "direction": "output", + "bits": [ 1948 ] + }, + "OSCRTCCLK": { + "direction": "output", + "bits": [ 1949 ] + }, + "PLCLK": { + "direction": "output", + "bits": [ 1950, 1951, 1952, 1953 ] + }, + "PMUAIBAFIFMFPDREQ": { + "direction": "output", + "bits": [ 1954 ] + }, + "PMUAIBAFIFMLPDREQ": { + "direction": "output", + "bits": [ 1955 ] + }, + "PMUERRORTOPL": { + "direction": "output", + "bits": [ 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002 ] + }, + "PMUPLGPO": { + "direction": "output", + "bits": [ 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034 ] + }, + "PSPLEVENTO": { + "direction": "output", + "bits": [ 2035 ] + }, + "PSPLIRQFPD": { + "direction": "output", + "bits": [ 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099 ] + }, + "PSPLIRQLPD": { + "direction": "output", + "bits": [ 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199 ] + }, + "PSPLSTANDBYWFE": { + "direction": "output", + "bits": [ 2200, 2201, 2202, 2203 ] + }, + "PSPLSTANDBYWFI": { + "direction": "output", + "bits": [ 2204, 2205, 2206, 2207 ] + }, + "PSPLTRACECTL": { + "direction": "output", + "bits": [ 2208 ] + }, + "PSPLTRACEDATA": { + "direction": "output", + "bits": [ 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240 ] + }, + "PSPLTRIGACK": { + "direction": "output", + "bits": [ 2241, 2242, 2243, 2244 ] + }, + "PSPLTRIGGER": { + "direction": "output", + "bits": [ 2245, 2246, 2247, 2248 ] + }, + "PSS_ALTO_CORE_PAD_MGTTXN0OUT": { + "direction": "output", + "bits": [ 2249 ] + }, + "PSS_ALTO_CORE_PAD_MGTTXN1OUT": { + "direction": "output", + "bits": [ 2250 ] + }, + "PSS_ALTO_CORE_PAD_MGTTXN2OUT": { + "direction": "output", + "bits": [ 2251 ] + }, + "PSS_ALTO_CORE_PAD_MGTTXN3OUT": { + "direction": "output", + "bits": [ 2252 ] + }, + "PSS_ALTO_CORE_PAD_MGTTXP0OUT": { + "direction": "output", + "bits": [ 2253 ] + }, + "PSS_ALTO_CORE_PAD_MGTTXP1OUT": { + "direction": "output", + "bits": [ 2254 ] + }, + "PSS_ALTO_CORE_PAD_MGTTXP2OUT": { + "direction": "output", + "bits": [ 2255 ] + }, + "PSS_ALTO_CORE_PAD_MGTTXP3OUT": { + "direction": "output", + "bits": [ 2256 ] + }, + "PSS_ALTO_CORE_PAD_PADO": { + "direction": "output", + "bits": [ 2257 ] + }, + "RPUEVENTO0": { + "direction": "output", + "bits": [ 2258 ] + }, + "RPUEVENTO1": { + "direction": "output", + "bits": [ 2259 ] + }, + "SACEFPDACADDR": { + "direction": "output", + "bits": [ 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303 ] + }, + "SACEFPDACPROT": { + "direction": "output", + "bits": [ 2304, 2305, 2306 ] + }, + "SACEFPDACSNOOP": { + "direction": "output", + "bits": [ 2307, 2308, 2309, 2310 ] + }, + "SACEFPDACVALID": { + "direction": "output", + "bits": [ 2311 ] + }, + "SACEFPDARREADY": { + "direction": "output", + "bits": [ 2312 ] + }, + "SACEFPDAWREADY": { + "direction": "output", + "bits": [ 2313 ] + }, + "SACEFPDBID": { + "direction": "output", + "bits": [ 2314, 2315, 2316, 2317, 2318, 2319 ] + }, + "SACEFPDBRESP": { + "direction": "output", + "bits": [ 2320, 2321 ] + }, + "SACEFPDBUSER": { + "direction": "output", + "bits": [ 2322 ] + }, + "SACEFPDBVALID": { + "direction": "output", + "bits": [ 2323 ] + }, + "SACEFPDCDREADY": { + "direction": "output", + "bits": [ 2324 ] + }, + "SACEFPDCRREADY": { + "direction": "output", + "bits": [ 2325 ] + }, + "SACEFPDRDATA": { + "direction": "output", + "bits": [ 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453 ] + }, + "SACEFPDRID": { + "direction": "output", + "bits": [ 2454, 2455, 2456, 2457, 2458, 2459 ] + }, + "SACEFPDRLAST": { + "direction": "output", + "bits": [ 2460 ] + }, + "SACEFPDRRESP": { + "direction": "output", + "bits": [ 2461, 2462, 2463, 2464 ] + }, + "SACEFPDRUSER": { + "direction": "output", + "bits": [ 2465 ] + }, + "SACEFPDRVALID": { + "direction": "output", + "bits": [ 2466 ] + }, + "SACEFPDWREADY": { + "direction": "output", + "bits": [ 2467 ] + }, + "SAXIACPARREADY": { + "direction": "output", + "bits": [ 2468 ] + }, + "SAXIACPAWREADY": { + "direction": "output", + "bits": [ 2469 ] + }, + "SAXIACPBID": { + "direction": "output", + "bits": [ 2470, 2471, 2472, 2473, 2474 ] + }, + "SAXIACPBRESP": { + "direction": "output", + "bits": [ 2475, 2476 ] + }, + "SAXIACPBVALID": { + "direction": "output", + "bits": [ 2477 ] + }, + "SAXIACPRDATA": { + "direction": "output", + "bits": [ 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605 ] + }, + "SAXIACPRID": { + "direction": "output", + "bits": [ 2606, 2607, 2608, 2609, 2610 ] + }, + "SAXIACPRLAST": { + "direction": "output", + "bits": [ 2611 ] + }, + "SAXIACPRRESP": { + "direction": "output", + "bits": [ 2612, 2613 ] + }, + "SAXIACPRVALID": { + "direction": "output", + "bits": [ 2614 ] + }, + "SAXIACPWREADY": { + "direction": "output", + "bits": [ 2615 ] + }, + "SAXIGP0ARREADY": { + "direction": "output", + "bits": [ 2616 ] + }, + "SAXIGP0AWREADY": { + "direction": "output", + "bits": [ 2617 ] + }, + "SAXIGP0BID": { + "direction": "output", + "bits": [ 2618, 2619, 2620, 2621, 2622, 2623 ] + }, + "SAXIGP0BRESP": { + "direction": "output", + "bits": [ 2624, 2625 ] + }, + "SAXIGP0BVALID": { + "direction": "output", + "bits": [ 2626 ] + }, + "SAXIGP0RACOUNT": { + "direction": "output", + "bits": [ 2627, 2628, 2629, 2630 ] + }, + "SAXIGP0RCOUNT": { + "direction": "output", + "bits": [ 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638 ] + }, + "SAXIGP0RDATA": { + "direction": "output", + "bits": [ 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766 ] + }, + "SAXIGP0RID": { + "direction": "output", + "bits": [ 2767, 2768, 2769, 2770, 2771, 2772 ] + }, + "SAXIGP0RLAST": { + "direction": "output", + "bits": [ 2773 ] + }, + "SAXIGP0RRESP": { + "direction": "output", + "bits": [ 2774, 2775 ] + }, + "SAXIGP0RVALID": { + "direction": "output", + "bits": [ 2776 ] + }, + "SAXIGP0WACOUNT": { + "direction": "output", + "bits": [ 2777, 2778, 2779, 2780 ] + }, + "SAXIGP0WCOUNT": { + "direction": "output", + "bits": [ 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788 ] + }, + "SAXIGP0WREADY": { + "direction": "output", + "bits": [ 2789 ] + }, + "SAXIGP1ARREADY": { + "direction": "output", + "bits": [ 2790 ] + }, + "SAXIGP1AWREADY": { + "direction": "output", + "bits": [ 2791 ] + }, + "SAXIGP1BID": { + "direction": "output", + "bits": [ 2792, 2793, 2794, 2795, 2796, 2797 ] + }, + "SAXIGP1BRESP": { + "direction": "output", + "bits": [ 2798, 2799 ] + }, + "SAXIGP1BVALID": { + "direction": "output", + "bits": [ 2800 ] + }, + "SAXIGP1RACOUNT": { + "direction": "output", + "bits": [ 2801, 2802, 2803, 2804 ] + }, + "SAXIGP1RCOUNT": { + "direction": "output", + "bits": [ 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812 ] + }, + "SAXIGP1RDATA": { + "direction": "output", + "bits": [ 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940 ] + }, + "SAXIGP1RID": { + "direction": "output", + "bits": [ 2941, 2942, 2943, 2944, 2945, 2946 ] + }, + "SAXIGP1RLAST": { + "direction": "output", + "bits": [ 2947 ] + }, + "SAXIGP1RRESP": { + "direction": "output", + "bits": [ 2948, 2949 ] + }, + "SAXIGP1RVALID": { + "direction": "output", + "bits": [ 2950 ] + }, + "SAXIGP1WACOUNT": { + "direction": "output", + "bits": [ 2951, 2952, 2953, 2954 ] + }, + "SAXIGP1WCOUNT": { + "direction": "output", + "bits": [ 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962 ] + }, + "SAXIGP1WREADY": { + "direction": "output", + "bits": [ 2963 ] + }, + "SAXIGP2ARREADY": { + "direction": "output", + "bits": [ 2964 ] + }, + "SAXIGP2AWREADY": { + "direction": "output", + "bits": [ 2965 ] + }, + "SAXIGP2BID": { + "direction": "output", + "bits": [ 2966, 2967, 2968, 2969, 2970, 2971 ] + }, + "SAXIGP2BRESP": { + "direction": "output", + "bits": [ 2972, 2973 ] + }, + "SAXIGP2BVALID": { + "direction": "output", + "bits": [ 2974 ] + }, + "SAXIGP2RACOUNT": { + "direction": "output", + "bits": [ 2975, 2976, 2977, 2978 ] + }, + "SAXIGP2RCOUNT": { + "direction": "output", + "bits": [ 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986 ] + }, + "SAXIGP2RDATA": { + "direction": "output", + "bits": [ 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114 ] + }, + "SAXIGP2RID": { + "direction": "output", + "bits": [ 3115, 3116, 3117, 3118, 3119, 3120 ] + }, + "SAXIGP2RLAST": { + "direction": "output", + "bits": [ 3121 ] + }, + "SAXIGP2RRESP": { + "direction": "output", + "bits": [ 3122, 3123 ] + }, + "SAXIGP2RVALID": { + "direction": "output", + "bits": [ 3124 ] + }, + "SAXIGP2WACOUNT": { + "direction": "output", + "bits": [ 3125, 3126, 3127, 3128 ] + }, + "SAXIGP2WCOUNT": { + "direction": "output", + "bits": [ 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136 ] + }, + "SAXIGP2WREADY": { + "direction": "output", + "bits": [ 3137 ] + }, + "SAXIGP3ARREADY": { + "direction": "output", + "bits": [ 3138 ] + }, + "SAXIGP3AWREADY": { + "direction": "output", + "bits": [ 3139 ] + }, + "SAXIGP3BID": { + "direction": "output", + "bits": [ 3140, 3141, 3142, 3143, 3144, 3145 ] + }, + "SAXIGP3BRESP": { + "direction": "output", + "bits": [ 3146, 3147 ] + }, + "SAXIGP3BVALID": { + "direction": "output", + "bits": [ 3148 ] + }, + "SAXIGP3RACOUNT": { + "direction": "output", + "bits": [ 3149, 3150, 3151, 3152 ] + }, + "SAXIGP3RCOUNT": { + "direction": "output", + "bits": [ 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160 ] + }, + "SAXIGP3RDATA": { + "direction": "output", + "bits": [ 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288 ] + }, + "SAXIGP3RID": { + "direction": "output", + "bits": [ 3289, 3290, 3291, 3292, 3293, 3294 ] + }, + "SAXIGP3RLAST": { + "direction": "output", + "bits": [ 3295 ] + }, + "SAXIGP3RRESP": { + "direction": "output", + "bits": [ 3296, 3297 ] + }, + "SAXIGP3RVALID": { + "direction": "output", + "bits": [ 3298 ] + }, + "SAXIGP3WACOUNT": { + "direction": "output", + "bits": [ 3299, 3300, 3301, 3302 ] + }, + "SAXIGP3WCOUNT": { + "direction": "output", + "bits": [ 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310 ] + }, + "SAXIGP3WREADY": { + "direction": "output", + "bits": [ 3311 ] + }, + "SAXIGP4ARREADY": { + "direction": "output", + "bits": [ 3312 ] + }, + "SAXIGP4AWREADY": { + "direction": "output", + "bits": [ 3313 ] + }, + "SAXIGP4BID": { + "direction": "output", + "bits": [ 3314, 3315, 3316, 3317, 3318, 3319 ] + }, + "SAXIGP4BRESP": { + "direction": "output", + "bits": [ 3320, 3321 ] + }, + "SAXIGP4BVALID": { + "direction": "output", + "bits": [ 3322 ] + }, + "SAXIGP4RACOUNT": { + "direction": "output", + "bits": [ 3323, 3324, 3325, 3326 ] + }, + "SAXIGP4RCOUNT": { + "direction": "output", + "bits": [ 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334 ] + }, + "SAXIGP4RDATA": { + "direction": "output", + "bits": [ 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462 ] + }, + "SAXIGP4RID": { + "direction": "output", + "bits": [ 3463, 3464, 3465, 3466, 3467, 3468 ] + }, + "SAXIGP4RLAST": { + "direction": "output", + "bits": [ 3469 ] + }, + "SAXIGP4RRESP": { + "direction": "output", + "bits": [ 3470, 3471 ] + }, + "SAXIGP4RVALID": { + "direction": "output", + "bits": [ 3472 ] + }, + "SAXIGP4WACOUNT": { + "direction": "output", + "bits": [ 3473, 3474, 3475, 3476 ] + }, + "SAXIGP4WCOUNT": { + "direction": "output", + "bits": [ 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484 ] + }, + "SAXIGP4WREADY": { + "direction": "output", + "bits": [ 3485 ] + }, + "SAXIGP5ARREADY": { + "direction": "output", + "bits": [ 3486 ] + }, + "SAXIGP5AWREADY": { + "direction": "output", + "bits": [ 3487 ] + }, + "SAXIGP5BID": { + "direction": "output", + "bits": [ 3488, 3489, 3490, 3491, 3492, 3493 ] + }, + "SAXIGP5BRESP": { + "direction": "output", + "bits": [ 3494, 3495 ] + }, + "SAXIGP5BVALID": { + "direction": "output", + "bits": [ 3496 ] + }, + "SAXIGP5RACOUNT": { + "direction": "output", + "bits": [ 3497, 3498, 3499, 3500 ] + }, + "SAXIGP5RCOUNT": { + "direction": "output", + "bits": [ 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508 ] + }, + "SAXIGP5RDATA": { + "direction": "output", + "bits": [ 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636 ] + }, + "SAXIGP5RID": { + "direction": "output", + "bits": [ 3637, 3638, 3639, 3640, 3641, 3642 ] + }, + "SAXIGP5RLAST": { + "direction": "output", + "bits": [ 3643 ] + }, + "SAXIGP5RRESP": { + "direction": "output", + "bits": [ 3644, 3645 ] + }, + "SAXIGP5RVALID": { + "direction": "output", + "bits": [ 3646 ] + }, + "SAXIGP5WACOUNT": { + "direction": "output", + "bits": [ 3647, 3648, 3649, 3650 ] + }, + "SAXIGP5WCOUNT": { + "direction": "output", + "bits": [ 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658 ] + }, + "SAXIGP5WREADY": { + "direction": "output", + "bits": [ 3659 ] + }, + "SAXIGP6ARREADY": { + "direction": "output", + "bits": [ 3660 ] + }, + "SAXIGP6AWREADY": { + "direction": "output", + "bits": [ 3661 ] + }, + "SAXIGP6BID": { + "direction": "output", + "bits": [ 3662, 3663, 3664, 3665, 3666, 3667 ] + }, + "SAXIGP6BRESP": { + "direction": "output", + "bits": [ 3668, 3669 ] + }, + "SAXIGP6BVALID": { + "direction": "output", + "bits": [ 3670 ] + }, + "SAXIGP6RACOUNT": { + "direction": "output", + "bits": [ 3671, 3672, 3673, 3674 ] + }, + "SAXIGP6RCOUNT": { + "direction": "output", + "bits": [ 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682 ] + }, + "SAXIGP6RDATA": { + "direction": "output", + "bits": [ 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810 ] + }, + "SAXIGP6RID": { + "direction": "output", + "bits": [ 3811, 3812, 3813, 3814, 3815, 3816 ] + }, + "SAXIGP6RLAST": { + "direction": "output", + "bits": [ 3817 ] + }, + "SAXIGP6RRESP": { + "direction": "output", + "bits": [ 3818, 3819 ] + }, + "SAXIGP6RVALID": { + "direction": "output", + "bits": [ 3820 ] + }, + "SAXIGP6WACOUNT": { + "direction": "output", + "bits": [ 3821, 3822, 3823, 3824 ] + }, + "SAXIGP6WCOUNT": { + "direction": "output", + "bits": [ 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832 ] + }, + "SAXIGP6WREADY": { + "direction": "output", + "bits": [ 3833 ] + }, + "PSS_ALTO_CORE_PAD_BOOTMODE": { + "direction": "inout", + "bits": [ 3834, 3835, 3836, 3837 ] + }, + "PSS_ALTO_CORE_PAD_CLK": { + "direction": "inout", + "bits": [ 3838 ] + }, + "PSS_ALTO_CORE_PAD_DONEB": { + "direction": "inout", + "bits": [ 3839 ] + }, + "PSS_ALTO_CORE_PAD_DRAMA": { + "direction": "inout", + "bits": [ 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857 ] + }, + "PSS_ALTO_CORE_PAD_DRAMACTN": { + "direction": "inout", + "bits": [ 3858 ] + }, + "PSS_ALTO_CORE_PAD_DRAMALERTN": { + "direction": "inout", + "bits": [ 3859 ] + }, + "PSS_ALTO_CORE_PAD_DRAMBA": { + "direction": "inout", + "bits": [ 3860, 3861 ] + }, + "PSS_ALTO_CORE_PAD_DRAMBG": { + "direction": "inout", + "bits": [ 3862, 3863 ] + }, + "PSS_ALTO_CORE_PAD_DRAMCK": { + "direction": "inout", + "bits": [ 3864, 3865 ] + }, + "PSS_ALTO_CORE_PAD_DRAMCKE": { + "direction": "inout", + "bits": [ 3866, 3867 ] + }, + "PSS_ALTO_CORE_PAD_DRAMCKN": { + "direction": "inout", + "bits": [ 3868, 3869 ] + }, + "PSS_ALTO_CORE_PAD_DRAMCSN": { + "direction": "inout", + "bits": [ 3870, 3871 ] + }, + "PSS_ALTO_CORE_PAD_DRAMDM": { + "direction": "inout", + "bits": [ 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880 ] + }, + "PSS_ALTO_CORE_PAD_DRAMDQ": { + "direction": "inout", + "bits": [ 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952 ] + }, + "PSS_ALTO_CORE_PAD_DRAMDQS": { + "direction": "inout", + "bits": [ 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961 ] + }, + "PSS_ALTO_CORE_PAD_DRAMDQSN": { + "direction": "inout", + "bits": [ 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970 ] + }, + "PSS_ALTO_CORE_PAD_DRAMODT": { + "direction": "inout", + "bits": [ 3971, 3972 ] + }, + "PSS_ALTO_CORE_PAD_DRAMPARITY": { + "direction": "inout", + "bits": [ 3973 ] + }, + "PSS_ALTO_CORE_PAD_DRAMRAMRSTN": { + "direction": "inout", + "bits": [ 3974 ] + }, + "PSS_ALTO_CORE_PAD_ERROROUT": { + "direction": "inout", + "bits": [ 3975 ] + }, + "PSS_ALTO_CORE_PAD_ERRORSTATUS": { + "direction": "inout", + "bits": [ 3976 ] + }, + "PSS_ALTO_CORE_PAD_INITB": { + "direction": "inout", + "bits": [ 3977 ] + }, + "PSS_ALTO_CORE_PAD_JTAGTCK": { + "direction": "inout", + "bits": [ 3978 ] + }, + "PSS_ALTO_CORE_PAD_JTAGTDI": { + "direction": "inout", + "bits": [ 3979 ] + }, + "PSS_ALTO_CORE_PAD_JTAGTDO": { + "direction": "inout", + "bits": [ 3980 ] + }, + "PSS_ALTO_CORE_PAD_JTAGTMS": { + "direction": "inout", + "bits": [ 3981 ] + }, + "PSS_ALTO_CORE_PAD_MIO": { + "direction": "inout", + "bits": [ 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059 ] + }, + "PSS_ALTO_CORE_PAD_PORB": { + "direction": "inout", + "bits": [ 4060 ] + }, + "PSS_ALTO_CORE_PAD_PROGB": { + "direction": "inout", + "bits": [ 4061 ] + }, + "PSS_ALTO_CORE_PAD_RCALIBINOUT": { + "direction": "inout", + "bits": [ 4062 ] + }, + "PSS_ALTO_CORE_PAD_SRSTB": { + "direction": "inout", + "bits": [ 4063 ] + }, + "PSS_ALTO_CORE_PAD_ZQ": { + "direction": "inout", + "bits": [ 4064 ] + }, + "ADMAFCICLK": { + "direction": "input", + "bits": [ 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072 ] + }, + "AIBPMUAFIFMFPDACK": { + "direction": "input", + "bits": [ 4073 ] + }, + "AIBPMUAFIFMLPDACK": { + "direction": "input", + "bits": [ 4074 ] + }, + "DDRCEXTREFRESHRANK0REQ": { + "direction": "input", + "bits": [ 4075 ] + }, + "DDRCEXTREFRESHRANK1REQ": { + "direction": "input", + "bits": [ 4076 ] + }, + "DDRCREFRESHPLCLK": { + "direction": "input", + "bits": [ 4077 ] + }, + "DPAUXDATAIN": { + "direction": "input", + "bits": [ 4078 ] + }, + "DPEXTERNALCUSTOMEVENT1": { + "direction": "input", + "bits": [ 4079 ] + }, + "DPEXTERNALCUSTOMEVENT2": { + "direction": "input", + "bits": [ 4080 ] + }, + "DPEXTERNALVSYNCEVENT": { + "direction": "input", + "bits": [ 4081 ] + }, + "DPHOTPLUGDETECT": { + "direction": "input", + "bits": [ 4082 ] + }, + "DPLIVEGFXALPHAIN": { + "direction": "input", + "bits": [ 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090 ] + }, + "DPLIVEGFXPIXEL1IN": { + "direction": "input", + "bits": [ 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126 ] + }, + "DPLIVEVIDEOINDE": { + "direction": "input", + "bits": [ 4127 ] + }, + "DPLIVEVIDEOINHSYNC": { + "direction": "input", + "bits": [ 4128 ] + }, + "DPLIVEVIDEOINPIXEL1": { + "direction": "input", + "bits": [ 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164 ] + }, + "DPLIVEVIDEOINVSYNC": { + "direction": "input", + "bits": [ 4165 ] + }, + "DPMAXISMIXEDAUDIOTREADY": { + "direction": "input", + "bits": [ 4166 ] + }, + "DPSAXISAUDIOCLK": { + "direction": "input", + "bits": [ 4167 ] + }, + "DPSAXISAUDIOTDATA": { + "direction": "input", + "bits": [ 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199 ] + }, + "DPSAXISAUDIOTID": { + "direction": "input", + "bits": [ 4200 ] + }, + "DPSAXISAUDIOTVALID": { + "direction": "input", + "bits": [ 4201 ] + }, + "DPVIDEOINCLK": { + "direction": "input", + "bits": [ 4202 ] + }, + "EMIOCAN0PHYRX": { + "direction": "input", + "bits": [ 4203 ] + }, + "EMIOCAN1PHYRX": { + "direction": "input", + "bits": [ 4204 ] + }, + "EMIOENET0DMATXSTATUSTOG": { + "direction": "input", + "bits": [ 4205 ] + }, + "EMIOENET0EXTINTIN": { + "direction": "input", + "bits": [ 4206 ] + }, + "EMIOENET0GMIICOL": { + "direction": "input", + "bits": [ 4207 ] + }, + "EMIOENET0GMIICRS": { + "direction": "input", + "bits": [ 4208 ] + }, + "EMIOENET0GMIIRXCLK": { + "direction": "input", + "bits": [ 4209 ] + }, + "EMIOENET0GMIIRXD": { + "direction": "input", + "bits": [ 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217 ] + }, + "EMIOENET0GMIIRXDV": { + "direction": "input", + "bits": [ 4218 ] + }, + "EMIOENET0GMIIRXER": { + "direction": "input", + "bits": [ 4219 ] + }, + "EMIOENET0GMIITXCLK": { + "direction": "input", + "bits": [ 4220 ] + }, + "EMIOENET0MDIOI": { + "direction": "input", + "bits": [ 4221 ] + }, + "EMIOENET0RXWOVERFLOW": { + "direction": "input", + "bits": [ 4222 ] + }, + "EMIOENET0TXRCONTROL": { + "direction": "input", + "bits": [ 4223 ] + }, + "EMIOENET0TXRDATA": { + "direction": "input", + "bits": [ 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231 ] + }, + "EMIOENET0TXRDATARDY": { + "direction": "input", + "bits": [ 4232 ] + }, + "EMIOENET0TXREOP": { + "direction": "input", + "bits": [ 4233 ] + }, + "EMIOENET0TXRERR": { + "direction": "input", + "bits": [ 4234 ] + }, + "EMIOENET0TXRFLUSHED": { + "direction": "input", + "bits": [ 4235 ] + }, + "EMIOENET0TXRSOP": { + "direction": "input", + "bits": [ 4236 ] + }, + "EMIOENET0TXRUNDERFLOW": { + "direction": "input", + "bits": [ 4237 ] + }, + "EMIOENET0TXRVALID": { + "direction": "input", + "bits": [ 4238 ] + }, + "EMIOENET1DMATXSTATUSTOG": { + "direction": "input", + "bits": [ 4239 ] + }, + "EMIOENET1EXTINTIN": { + "direction": "input", + "bits": [ 4240 ] + }, + "EMIOENET1GMIICOL": { + "direction": "input", + "bits": [ 4241 ] + }, + "EMIOENET1GMIICRS": { + "direction": "input", + "bits": [ 4242 ] + }, + "EMIOENET1GMIIRXCLK": { + "direction": "input", + "bits": [ 4243 ] + }, + "EMIOENET1GMIIRXD": { + "direction": "input", + "bits": [ 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251 ] + }, + "EMIOENET1GMIIRXDV": { + "direction": "input", + "bits": [ 4252 ] + }, + "EMIOENET1GMIIRXER": { + "direction": "input", + "bits": [ 4253 ] + }, + "EMIOENET1GMIITXCLK": { + "direction": "input", + "bits": [ 4254 ] + }, + "EMIOENET1MDIOI": { + "direction": "input", + "bits": [ 4255 ] + }, + "EMIOENET1RXWOVERFLOW": { + "direction": "input", + "bits": [ 4256 ] + }, + "EMIOENET1TXRCONTROL": { + "direction": "input", + "bits": [ 4257 ] + }, + "EMIOENET1TXRDATA": { + "direction": "input", + "bits": [ 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265 ] + }, + "EMIOENET1TXRDATARDY": { + "direction": "input", + "bits": [ 4266 ] + }, + "EMIOENET1TXREOP": { + "direction": "input", + "bits": [ 4267 ] + }, + "EMIOENET1TXRERR": { + "direction": "input", + "bits": [ 4268 ] + }, + "EMIOENET1TXRFLUSHED": { + "direction": "input", + "bits": [ 4269 ] + }, + "EMIOENET1TXRSOP": { + "direction": "input", + "bits": [ 4270 ] + }, + "EMIOENET1TXRUNDERFLOW": { + "direction": "input", + "bits": [ 4271 ] + }, + "EMIOENET1TXRVALID": { + "direction": "input", + "bits": [ 4272 ] + }, + "EMIOENET2DMATXSTATUSTOG": { + "direction": "input", + "bits": [ 4273 ] + }, + "EMIOENET2EXTINTIN": { + "direction": "input", + "bits": [ 4274 ] + }, + "EMIOENET2GMIICOL": { + "direction": "input", + "bits": [ 4275 ] + }, + "EMIOENET2GMIICRS": { + "direction": "input", + "bits": [ 4276 ] + }, + "EMIOENET2GMIIRXCLK": { + "direction": "input", + "bits": [ 4277 ] + }, + "EMIOENET2GMIIRXD": { + "direction": "input", + "bits": [ 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285 ] + }, + "EMIOENET2GMIIRXDV": { + "direction": "input", + "bits": [ 4286 ] + }, + "EMIOENET2GMIIRXER": { + "direction": "input", + "bits": [ 4287 ] + }, + "EMIOENET2GMIITXCLK": { + "direction": "input", + "bits": [ 4288 ] + }, + "EMIOENET2MDIOI": { + "direction": "input", + "bits": [ 4289 ] + }, + "EMIOENET2RXWOVERFLOW": { + "direction": "input", + "bits": [ 4290 ] + }, + "EMIOENET2TXRCONTROL": { + "direction": "input", + "bits": [ 4291 ] + }, + "EMIOENET2TXRDATA": { + "direction": "input", + "bits": [ 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299 ] + }, + "EMIOENET2TXRDATARDY": { + "direction": "input", + "bits": [ 4300 ] + }, + "EMIOENET2TXREOP": { + "direction": "input", + "bits": [ 4301 ] + }, + "EMIOENET2TXRERR": { + "direction": "input", + "bits": [ 4302 ] + }, + "EMIOENET2TXRFLUSHED": { + "direction": "input", + "bits": [ 4303 ] + }, + "EMIOENET2TXRSOP": { + "direction": "input", + "bits": [ 4304 ] + }, + "EMIOENET2TXRUNDERFLOW": { + "direction": "input", + "bits": [ 4305 ] + }, + "EMIOENET2TXRVALID": { + "direction": "input", + "bits": [ 4306 ] + }, + "EMIOENET3DMATXSTATUSTOG": { + "direction": "input", + "bits": [ 4307 ] + }, + "EMIOENET3EXTINTIN": { + "direction": "input", + "bits": [ 4308 ] + }, + "EMIOENET3GMIICOL": { + "direction": "input", + "bits": [ 4309 ] + }, + "EMIOENET3GMIICRS": { + "direction": "input", + "bits": [ 4310 ] + }, + "EMIOENET3GMIIRXCLK": { + "direction": "input", + "bits": [ 4311 ] + }, + "EMIOENET3GMIIRXD": { + "direction": "input", + "bits": [ 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319 ] + }, + "EMIOENET3GMIIRXDV": { + "direction": "input", + "bits": [ 4320 ] + }, + "EMIOENET3GMIIRXER": { + "direction": "input", + "bits": [ 4321 ] + }, + "EMIOENET3GMIITXCLK": { + "direction": "input", + "bits": [ 4322 ] + }, + "EMIOENET3MDIOI": { + "direction": "input", + "bits": [ 4323 ] + }, + "EMIOENET3RXWOVERFLOW": { + "direction": "input", + "bits": [ 4324 ] + }, + "EMIOENET3TXRCONTROL": { + "direction": "input", + "bits": [ 4325 ] + }, + "EMIOENET3TXRDATA": { + "direction": "input", + "bits": [ 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333 ] + }, + "EMIOENET3TXRDATARDY": { + "direction": "input", + "bits": [ 4334 ] + }, + "EMIOENET3TXREOP": { + "direction": "input", + "bits": [ 4335 ] + }, + "EMIOENET3TXRERR": { + "direction": "input", + "bits": [ 4336 ] + }, + "EMIOENET3TXRFLUSHED": { + "direction": "input", + "bits": [ 4337 ] + }, + "EMIOENET3TXRSOP": { + "direction": "input", + "bits": [ 4338 ] + }, + "EMIOENET3TXRUNDERFLOW": { + "direction": "input", + "bits": [ 4339 ] + }, + "EMIOENET3TXRVALID": { + "direction": "input", + "bits": [ 4340 ] + }, + "EMIOENETTSUCLK": { + "direction": "input", + "bits": [ 4341 ] + }, + "EMIOGEM0TSUINCCTRL": { + "direction": "input", + "bits": [ 4342, 4343 ] + }, + "EMIOGEM1TSUINCCTRL": { + "direction": "input", + "bits": [ 4344, 4345 ] + }, + "EMIOGEM2TSUINCCTRL": { + "direction": "input", + "bits": [ 4346, 4347 ] + }, + "EMIOGEM3TSUINCCTRL": { + "direction": "input", + "bits": [ 4348, 4349 ] + }, + "EMIOGPIOI": { + "direction": "input", + "bits": [ 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445 ] + }, + "EMIOHUBPORTOVERCRNTUSB20": { + "direction": "input", + "bits": [ 4446 ] + }, + "EMIOHUBPORTOVERCRNTUSB21": { + "direction": "input", + "bits": [ 4447 ] + }, + "EMIOHUBPORTOVERCRNTUSB30": { + "direction": "input", + "bits": [ 4448 ] + }, + "EMIOHUBPORTOVERCRNTUSB31": { + "direction": "input", + "bits": [ 4449 ] + }, + "EMIOI2C0SCLI": { + "direction": "input", + "bits": [ 4450 ] + }, + "EMIOI2C0SDAI": { + "direction": "input", + "bits": [ 4451 ] + }, + "EMIOI2C1SCLI": { + "direction": "input", + "bits": [ 4452 ] + }, + "EMIOI2C1SDAI": { + "direction": "input", + "bits": [ 4453 ] + }, + "EMIOSDIO0CDN": { + "direction": "input", + "bits": [ 4454 ] + }, + "EMIOSDIO0CMDIN": { + "direction": "input", + "bits": [ 4455 ] + }, + "EMIOSDIO0DATAIN": { + "direction": "input", + "bits": [ 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463 ] + }, + "EMIOSDIO0FBCLKIN": { + "direction": "input", + "bits": [ 4464 ] + }, + "EMIOSDIO0WP": { + "direction": "input", + "bits": [ 4465 ] + }, + "EMIOSDIO1CDN": { + "direction": "input", + "bits": [ 4466 ] + }, + "EMIOSDIO1CMDIN": { + "direction": "input", + "bits": [ 4467 ] + }, + "EMIOSDIO1DATAIN": { + "direction": "input", + "bits": [ 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475 ] + }, + "EMIOSDIO1FBCLKIN": { + "direction": "input", + "bits": [ 4476 ] + }, + "EMIOSDIO1WP": { + "direction": "input", + "bits": [ 4477 ] + }, + "EMIOSPI0MI": { + "direction": "input", + "bits": [ 4478 ] + }, + "EMIOSPI0SCLKI": { + "direction": "input", + "bits": [ 4479 ] + }, + "EMIOSPI0SI": { + "direction": "input", + "bits": [ 4480 ] + }, + "EMIOSPI0SSIN": { + "direction": "input", + "bits": [ 4481 ] + }, + "EMIOSPI1MI": { + "direction": "input", + "bits": [ 4482 ] + }, + "EMIOSPI1SCLKI": { + "direction": "input", + "bits": [ 4483 ] + }, + "EMIOSPI1SI": { + "direction": "input", + "bits": [ 4484 ] + }, + "EMIOSPI1SSIN": { + "direction": "input", + "bits": [ 4485 ] + }, + "EMIOTTC0CLKI": { + "direction": "input", + "bits": [ 4486, 4487, 4488 ] + }, + "EMIOTTC1CLKI": { + "direction": "input", + "bits": [ 4489, 4490, 4491 ] + }, + "EMIOTTC2CLKI": { + "direction": "input", + "bits": [ 4492, 4493, 4494 ] + }, + "EMIOTTC3CLKI": { + "direction": "input", + "bits": [ 4495, 4496, 4497 ] + }, + "EMIOUART0CTSN": { + "direction": "input", + "bits": [ 4498 ] + }, + "EMIOUART0DCDN": { + "direction": "input", + "bits": [ 4499 ] + }, + "EMIOUART0DSRN": { + "direction": "input", + "bits": [ 4500 ] + }, + "EMIOUART0RIN": { + "direction": "input", + "bits": [ 4501 ] + }, + "EMIOUART0RX": { + "direction": "input", + "bits": [ 4502 ] + }, + "EMIOUART1CTSN": { + "direction": "input", + "bits": [ 4503 ] + }, + "EMIOUART1DCDN": { + "direction": "input", + "bits": [ 4504 ] + }, + "EMIOUART1DSRN": { + "direction": "input", + "bits": [ 4505 ] + }, + "EMIOUART1RIN": { + "direction": "input", + "bits": [ 4506 ] + }, + "EMIOUART1RX": { + "direction": "input", + "bits": [ 4507 ] + }, + "EMIOWDT0CLKI": { + "direction": "input", + "bits": [ 4508 ] + }, + "EMIOWDT1CLKI": { + "direction": "input", + "bits": [ 4509 ] + }, + "FMIOGEM0FIFORXCLKFROMPL": { + "direction": "input", + "bits": [ 4510 ] + }, + "FMIOGEM0FIFOTXCLKFROMPL": { + "direction": "input", + "bits": [ 4511 ] + }, + "FMIOGEM0SIGNALDETECT": { + "direction": "input", + "bits": [ 4512 ] + }, + "FMIOGEM1FIFORXCLKFROMPL": { + "direction": "input", + "bits": [ 4513 ] + }, + "FMIOGEM1FIFOTXCLKFROMPL": { + "direction": "input", + "bits": [ 4514 ] + }, + "FMIOGEM1SIGNALDETECT": { + "direction": "input", + "bits": [ 4515 ] + }, + "FMIOGEM2FIFORXCLKFROMPL": { + "direction": "input", + "bits": [ 4516 ] + }, + "FMIOGEM2FIFOTXCLKFROMPL": { + "direction": "input", + "bits": [ 4517 ] + }, + "FMIOGEM2SIGNALDETECT": { + "direction": "input", + "bits": [ 4518 ] + }, + "FMIOGEM3FIFORXCLKFROMPL": { + "direction": "input", + "bits": [ 4519 ] + }, + "FMIOGEM3FIFOTXCLKFROMPL": { + "direction": "input", + "bits": [ 4520 ] + }, + "FMIOGEM3SIGNALDETECT": { + "direction": "input", + "bits": [ 4521 ] + }, + "FMIOGEMTSUCLKFROMPL": { + "direction": "input", + "bits": [ 4522 ] + }, + "FTMGPI": { + "direction": "input", + "bits": [ 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554 ] + }, + "GDMAFCICLK": { + "direction": "input", + "bits": [ 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562 ] + }, + "MAXIGP0ACLK": { + "direction": "input", + "bits": [ 4563 ] + }, + "MAXIGP0ARREADY": { + "direction": "input", + "bits": [ 4564 ] + }, + "MAXIGP0AWREADY": { + "direction": "input", + "bits": [ 4565 ] + }, + "MAXIGP0BID": { + "direction": "input", + "bits": [ 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581 ] + }, + "MAXIGP0BRESP": { + "direction": "input", + "bits": [ 4582, 4583 ] + }, + "MAXIGP0BVALID": { + "direction": "input", + "bits": [ 4584 ] + }, + "MAXIGP0RDATA": { + "direction": "input", + "bits": [ 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712 ] + }, + "MAXIGP0RID": { + "direction": "input", + "bits": [ 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728 ] + }, + "MAXIGP0RLAST": { + "direction": "input", + "bits": [ 4729 ] + }, + "MAXIGP0RRESP": { + "direction": "input", + "bits": [ 4730, 4731 ] + }, + "MAXIGP0RVALID": { + "direction": "input", + "bits": [ 4732 ] + }, + "MAXIGP0WREADY": { + "direction": "input", + "bits": [ 4733 ] + }, + "MAXIGP1ACLK": { + "direction": "input", + "bits": [ 4734 ] + }, + "MAXIGP1ARREADY": { + "direction": "input", + "bits": [ 4735 ] + }, + "MAXIGP1AWREADY": { + "direction": "input", + "bits": [ 4736 ] + }, + "MAXIGP1BID": { + "direction": "input", + "bits": [ 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752 ] + }, + "MAXIGP1BRESP": { + "direction": "input", + "bits": [ 4753, 4754 ] + }, + "MAXIGP1BVALID": { + "direction": "input", + "bits": [ 4755 ] + }, + "MAXIGP1RDATA": { + "direction": "input", + "bits": [ 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883 ] + }, + "MAXIGP1RID": { + "direction": "input", + "bits": [ 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899 ] + }, + "MAXIGP1RLAST": { + "direction": "input", + "bits": [ 4900 ] + }, + "MAXIGP1RRESP": { + "direction": "input", + "bits": [ 4901, 4902 ] + }, + "MAXIGP1RVALID": { + "direction": "input", + "bits": [ 4903 ] + }, + "MAXIGP1WREADY": { + "direction": "input", + "bits": [ 4904 ] + }, + "MAXIGP2ACLK": { + "direction": "input", + "bits": [ 4905 ] + }, + "MAXIGP2ARREADY": { + "direction": "input", + "bits": [ 4906 ] + }, + "MAXIGP2AWREADY": { + "direction": "input", + "bits": [ 4907 ] + }, + "MAXIGP2BID": { + "direction": "input", + "bits": [ 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923 ] + }, + "MAXIGP2BRESP": { + "direction": "input", + "bits": [ 4924, 4925 ] + }, + "MAXIGP2BVALID": { + "direction": "input", + "bits": [ 4926 ] + }, + "MAXIGP2RDATA": { + "direction": "input", + "bits": [ 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054 ] + }, + "MAXIGP2RID": { + "direction": "input", + "bits": [ 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070 ] + }, + "MAXIGP2RLAST": { + "direction": "input", + "bits": [ 5071 ] + }, + "MAXIGP2RRESP": { + "direction": "input", + "bits": [ 5072, 5073 ] + }, + "MAXIGP2RVALID": { + "direction": "input", + "bits": [ 5074 ] + }, + "MAXIGP2WREADY": { + "direction": "input", + "bits": [ 5075 ] + }, + "NFIQ0LPDRPU": { + "direction": "input", + "bits": [ 5076 ] + }, + "NFIQ1LPDRPU": { + "direction": "input", + "bits": [ 5077 ] + }, + "NIRQ0LPDRPU": { + "direction": "input", + "bits": [ 5078 ] + }, + "NIRQ1LPDRPU": { + "direction": "input", + "bits": [ 5079 ] + }, + "PL2ADMACVLD": { + "direction": "input", + "bits": [ 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087 ] + }, + "PL2ADMATACK": { + "direction": "input", + "bits": [ 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095 ] + }, + "PL2GDMACVLD": { + "direction": "input", + "bits": [ 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103 ] + }, + "PL2GDMATACK": { + "direction": "input", + "bits": [ 5104, 5105, 5106, 5107, 5108, 5109, 5110, 5111 ] + }, + "PLACECLK": { + "direction": "input", + "bits": [ 5112 ] + }, + "PLACPINACT": { + "direction": "input", + "bits": [ 5113 ] + }, + "PLFPGASTOP": { + "direction": "input", + "bits": [ 5114, 5115, 5116, 5117 ] + }, + "PLLAUXREFCLKFPD": { + "direction": "input", + "bits": [ 5118, 5119, 5120 ] + }, + "PLLAUXREFCLKLPD": { + "direction": "input", + "bits": [ 5121, 5122 ] + }, + "PLPMUGPI": { + "direction": "input", + "bits": [ 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154 ] + }, + "PLPSAPUGICFIQ": { + "direction": "input", + "bits": [ 5155, 5156, 5157, 5158 ] + }, + "PLPSAPUGICIRQ": { + "direction": "input", + "bits": [ 5159, 5160, 5161, 5162 ] + }, + "PLPSEVENTI": { + "direction": "input", + "bits": [ 5163 ] + }, + "PLPSIRQ0": { + "direction": "input", + "bits": [ 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171 ] + }, + "PLPSIRQ1": { + "direction": "input", + "bits": [ 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179 ] + }, + "PLPSTRACECLK": { + "direction": "input", + "bits": [ 5180 ] + }, + "PLPSTRIGACK": { + "direction": "input", + "bits": [ 5181, 5182, 5183, 5184 ] + }, + "PLPSTRIGGER": { + "direction": "input", + "bits": [ 5185, 5186, 5187, 5188 ] + }, + "PMUERRORFROMPL": { + "direction": "input", + "bits": [ 5189, 5190, 5191, 5192 ] + }, + "PSS_ALTO_CORE_PAD_MGTRXN0IN": { + "direction": "input", + "bits": [ 5193 ] + }, + "PSS_ALTO_CORE_PAD_MGTRXN1IN": { + "direction": "input", + "bits": [ 5194 ] + }, + "PSS_ALTO_CORE_PAD_MGTRXN2IN": { + "direction": "input", + "bits": [ 5195 ] + }, + "PSS_ALTO_CORE_PAD_MGTRXN3IN": { + "direction": "input", + "bits": [ 5196 ] + }, + "PSS_ALTO_CORE_PAD_MGTRXP0IN": { + "direction": "input", + "bits": [ 5197 ] + }, + "PSS_ALTO_CORE_PAD_MGTRXP1IN": { + "direction": "input", + "bits": [ 5198 ] + }, + "PSS_ALTO_CORE_PAD_MGTRXP2IN": { + "direction": "input", + "bits": [ 5199 ] + }, + "PSS_ALTO_CORE_PAD_MGTRXP3IN": { + "direction": "input", + "bits": [ 5200 ] + }, + "PSS_ALTO_CORE_PAD_PADI": { + "direction": "input", + "bits": [ 5201 ] + }, + "PSS_ALTO_CORE_PAD_REFN0IN": { + "direction": "input", + "bits": [ 5202 ] + }, + "PSS_ALTO_CORE_PAD_REFN1IN": { + "direction": "input", + "bits": [ 5203 ] + }, + "PSS_ALTO_CORE_PAD_REFN2IN": { + "direction": "input", + "bits": [ 5204 ] + }, + "PSS_ALTO_CORE_PAD_REFN3IN": { + "direction": "input", + "bits": [ 5205 ] + }, + "PSS_ALTO_CORE_PAD_REFP0IN": { + "direction": "input", + "bits": [ 5206 ] + }, + "PSS_ALTO_CORE_PAD_REFP1IN": { + "direction": "input", + "bits": [ 5207 ] + }, + "PSS_ALTO_CORE_PAD_REFP2IN": { + "direction": "input", + "bits": [ 5208 ] + }, + "PSS_ALTO_CORE_PAD_REFP3IN": { + "direction": "input", + "bits": [ 5209 ] + }, + "RPUEVENTI0": { + "direction": "input", + "bits": [ 5210 ] + }, + "RPUEVENTI1": { + "direction": "input", + "bits": [ 5211 ] + }, + "SACEFPDACREADY": { + "direction": "input", + "bits": [ 5212 ] + }, + "SACEFPDARADDR": { + "direction": "input", + "bits": [ 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256 ] + }, + "SACEFPDARBAR": { + "direction": "input", + "bits": [ 5257, 5258 ] + }, + "SACEFPDARBURST": { + "direction": "input", + "bits": [ 5259, 5260 ] + }, + "SACEFPDARCACHE": { + "direction": "input", + "bits": [ 5261, 5262, 5263, 5264 ] + }, + "SACEFPDARDOMAIN": { + "direction": "input", + "bits": [ 5265, 5266 ] + }, + "SACEFPDARID": { + "direction": "input", + "bits": [ 5267, 5268, 5269, 5270, 5271, 5272 ] + }, + "SACEFPDARLEN": { + "direction": "input", + "bits": [ 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280 ] + }, + "SACEFPDARLOCK": { + "direction": "input", + "bits": [ 5281 ] + }, + "SACEFPDARPROT": { + "direction": "input", + "bits": [ 5282, 5283, 5284 ] + }, + "SACEFPDARQOS": { + "direction": "input", + "bits": [ 5285, 5286, 5287, 5288 ] + }, + "SACEFPDARREGION": { + "direction": "input", + "bits": [ 5289, 5290, 5291, 5292 ] + }, + "SACEFPDARSIZE": { + "direction": "input", + "bits": [ 5293, 5294, 5295 ] + }, + "SACEFPDARSNOOP": { + "direction": "input", + "bits": [ 5296, 5297, 5298, 5299 ] + }, + "SACEFPDARUSER": { + "direction": "input", + "bits": [ 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315 ] + }, + "SACEFPDARVALID": { + "direction": "input", + "bits": [ 5316 ] + }, + "SACEFPDAWADDR": { + "direction": "input", + "bits": [ 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360 ] + }, + "SACEFPDAWBAR": { + "direction": "input", + "bits": [ 5361, 5362 ] + }, + "SACEFPDAWBURST": { + "direction": "input", + "bits": [ 5363, 5364 ] + }, + "SACEFPDAWCACHE": { + "direction": "input", + "bits": [ 5365, 5366, 5367, 5368 ] + }, + "SACEFPDAWDOMAIN": { + "direction": "input", + "bits": [ 5369, 5370 ] + }, + "SACEFPDAWID": { + "direction": "input", + "bits": [ 5371, 5372, 5373, 5374, 5375, 5376 ] + }, + "SACEFPDAWLEN": { + "direction": "input", + "bits": [ 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384 ] + }, + "SACEFPDAWLOCK": { + "direction": "input", + "bits": [ 5385 ] + }, + "SACEFPDAWPROT": { + "direction": "input", + "bits": [ 5386, 5387, 5388 ] + }, + "SACEFPDAWQOS": { + "direction": "input", + "bits": [ 5389, 5390, 5391, 5392 ] + }, + "SACEFPDAWREGION": { + "direction": "input", + "bits": [ 5393, 5394, 5395, 5396 ] + }, + "SACEFPDAWSIZE": { + "direction": "input", + "bits": [ 5397, 5398, 5399 ] + }, + "SACEFPDAWSNOOP": { + "direction": "input", + "bits": [ 5400, 5401, 5402 ] + }, + "SACEFPDAWUSER": { + "direction": "input", + "bits": [ 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418 ] + }, + "SACEFPDAWVALID": { + "direction": "input", + "bits": [ 5419 ] + }, + "SACEFPDBREADY": { + "direction": "input", + "bits": [ 5420 ] + }, + "SACEFPDCDDATA": { + "direction": "input", + "bits": [ 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548 ] + }, + "SACEFPDCDLAST": { + "direction": "input", + "bits": [ 5549 ] + }, + "SACEFPDCDVALID": { + "direction": "input", + "bits": [ 5550 ] + }, + "SACEFPDCRRESP": { + "direction": "input", + "bits": [ 5551, 5552, 5553, 5554, 5555 ] + }, + "SACEFPDCRVALID": { + "direction": "input", + "bits": [ 5556 ] + }, + "SACEFPDRACK": { + "direction": "input", + "bits": [ 5557 ] + }, + "SACEFPDRREADY": { + "direction": "input", + "bits": [ 5558 ] + }, + "SACEFPDWACK": { + "direction": "input", + "bits": [ 5559 ] + }, + "SACEFPDWDATA": { + "direction": "input", + "bits": [ 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687 ] + }, + "SACEFPDWLAST": { + "direction": "input", + "bits": [ 5688 ] + }, + "SACEFPDWSTRB": { + "direction": "input", + "bits": [ 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704 ] + }, + "SACEFPDWUSER": { + "direction": "input", + "bits": [ 5705 ] + }, + "SACEFPDWVALID": { + "direction": "input", + "bits": [ 5706 ] + }, + "SAXIACPACLK": { + "direction": "input", + "bits": [ 5707 ] + }, + "SAXIACPARADDR": { + "direction": "input", + "bits": [ 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747 ] + }, + "SAXIACPARBURST": { + "direction": "input", + "bits": [ 5748, 5749 ] + }, + "SAXIACPARCACHE": { + "direction": "input", + "bits": [ 5750, 5751, 5752, 5753 ] + }, + "SAXIACPARID": { + "direction": "input", + "bits": [ 5754, 5755, 5756, 5757, 5758 ] + }, + "SAXIACPARLEN": { + "direction": "input", + "bits": [ 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766 ] + }, + "SAXIACPARLOCK": { + "direction": "input", + "bits": [ 5767 ] + }, + "SAXIACPARPROT": { + "direction": "input", + "bits": [ 5768, 5769, 5770 ] + }, + "SAXIACPARQOS": { + "direction": "input", + "bits": [ 5771, 5772, 5773, 5774 ] + }, + "SAXIACPARSIZE": { + "direction": "input", + "bits": [ 5775, 5776, 5777 ] + }, + "SAXIACPARUSER": { + "direction": "input", + "bits": [ 5778, 5779 ] + }, + "SAXIACPARVALID": { + "direction": "input", + "bits": [ 5780 ] + }, + "SAXIACPAWADDR": { + "direction": "input", + "bits": [ 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820 ] + }, + "SAXIACPAWBURST": { + "direction": "input", + "bits": [ 5821, 5822 ] + }, + "SAXIACPAWCACHE": { + "direction": "input", + "bits": [ 5823, 5824, 5825, 5826 ] + }, + "SAXIACPAWID": { + "direction": "input", + "bits": [ 5827, 5828, 5829, 5830, 5831 ] + }, + "SAXIACPAWLEN": { + "direction": "input", + "bits": [ 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839 ] + }, + "SAXIACPAWLOCK": { + "direction": "input", + "bits": [ 5840 ] + }, + "SAXIACPAWPROT": { + "direction": "input", + "bits": [ 5841, 5842, 5843 ] + }, + "SAXIACPAWQOS": { + "direction": "input", + "bits": [ 5844, 5845, 5846, 5847 ] + }, + "SAXIACPAWSIZE": { + "direction": "input", + "bits": [ 5848, 5849, 5850 ] + }, + "SAXIACPAWUSER": { + "direction": "input", + "bits": [ 5851, 5852 ] + }, + "SAXIACPAWVALID": { + "direction": "input", + "bits": [ 5853 ] + }, + "SAXIACPBREADY": { + "direction": "input", + "bits": [ 5854 ] + }, + "SAXIACPRREADY": { + "direction": "input", + "bits": [ 5855 ] + }, + "SAXIACPWDATA": { + "direction": "input", + "bits": [ 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948, 5949, 5950, 5951, 5952, 5953, 5954, 5955, 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983 ] + }, + "SAXIACPWLAST": { + "direction": "input", + "bits": [ 5984 ] + }, + "SAXIACPWSTRB": { + "direction": "input", + "bits": [ 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994, 5995, 5996, 5997, 5998, 5999, 6000 ] + }, + "SAXIACPWVALID": { + "direction": "input", + "bits": [ 6001 ] + }, + "SAXIGP0ARADDR": { + "direction": "input", + "bits": [ 6002, 6003, 6004, 6005, 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016, 6017, 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027, 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050 ] + }, + "SAXIGP0ARBURST": { + "direction": "input", + "bits": [ 6051, 6052 ] + }, + "SAXIGP0ARCACHE": { + "direction": "input", + "bits": [ 6053, 6054, 6055, 6056 ] + }, + "SAXIGP0ARID": { + "direction": "input", + "bits": [ 6057, 6058, 6059, 6060, 6061, 6062 ] + }, + "SAXIGP0ARLEN": { + "direction": "input", + "bits": [ 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070 ] + }, + "SAXIGP0ARLOCK": { + "direction": "input", + "bits": [ 6071 ] + }, + "SAXIGP0ARPROT": { + "direction": "input", + "bits": [ 6072, 6073, 6074 ] + }, + "SAXIGP0ARQOS": { + "direction": "input", + "bits": [ 6075, 6076, 6077, 6078 ] + }, + "SAXIGP0ARSIZE": { + "direction": "input", + "bits": [ 6079, 6080, 6081 ] + }, + "SAXIGP0ARUSER": { + "direction": "input", + "bits": [ 6082 ] + }, + "SAXIGP0ARVALID": { + "direction": "input", + "bits": [ 6083 ] + }, + "SAXIGP0AWADDR": { + "direction": "input", + "bits": [ 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132 ] + }, + "SAXIGP0AWBURST": { + "direction": "input", + "bits": [ 6133, 6134 ] + }, + "SAXIGP0AWCACHE": { + "direction": "input", + "bits": [ 6135, 6136, 6137, 6138 ] + }, + "SAXIGP0AWID": { + "direction": "input", + "bits": [ 6139, 6140, 6141, 6142, 6143, 6144 ] + }, + "SAXIGP0AWLEN": { + "direction": "input", + "bits": [ 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152 ] + }, + "SAXIGP0AWLOCK": { + "direction": "input", + "bits": [ 6153 ] + }, + "SAXIGP0AWPROT": { + "direction": "input", + "bits": [ 6154, 6155, 6156 ] + }, + "SAXIGP0AWQOS": { + "direction": "input", + "bits": [ 6157, 6158, 6159, 6160 ] + }, + "SAXIGP0AWSIZE": { + "direction": "input", + "bits": [ 6161, 6162, 6163 ] + }, + "SAXIGP0AWUSER": { + "direction": "input", + "bits": [ 6164 ] + }, + "SAXIGP0AWVALID": { + "direction": "input", + "bits": [ 6165 ] + }, + "SAXIGP0BREADY": { + "direction": "input", + "bits": [ 6166 ] + }, + "SAXIGP0RCLK": { + "direction": "input", + "bits": [ 6167 ] + }, + "SAXIGP0RREADY": { + "direction": "input", + "bits": [ 6168 ] + }, + "SAXIGP0WCLK": { + "direction": "input", + "bits": [ 6169 ] + }, + "SAXIGP0WDATA": { + "direction": "input", + "bits": [ 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283, 6284, 6285, 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297 ] + }, + "SAXIGP0WLAST": { + "direction": "input", + "bits": [ 6298 ] + }, + "SAXIGP0WSTRB": { + "direction": "input", + "bits": [ 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314 ] + }, + "SAXIGP0WVALID": { + "direction": "input", + "bits": [ 6315 ] + }, + "SAXIGP1ARADDR": { + "direction": "input", + "bits": [ 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364 ] + }, + "SAXIGP1ARBURST": { + "direction": "input", + "bits": [ 6365, 6366 ] + }, + "SAXIGP1ARCACHE": { + "direction": "input", + "bits": [ 6367, 6368, 6369, 6370 ] + }, + "SAXIGP1ARID": { + "direction": "input", + "bits": [ 6371, 6372, 6373, 6374, 6375, 6376 ] + }, + "SAXIGP1ARLEN": { + "direction": "input", + "bits": [ 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384 ] + }, + "SAXIGP1ARLOCK": { + "direction": "input", + "bits": [ 6385 ] + }, + "SAXIGP1ARPROT": { + "direction": "input", + "bits": [ 6386, 6387, 6388 ] + }, + "SAXIGP1ARQOS": { + "direction": "input", + "bits": [ 6389, 6390, 6391, 6392 ] + }, + "SAXIGP1ARSIZE": { + "direction": "input", + "bits": [ 6393, 6394, 6395 ] + }, + "SAXIGP1ARUSER": { + "direction": "input", + "bits": [ 6396 ] + }, + "SAXIGP1ARVALID": { + "direction": "input", + "bits": [ 6397 ] + }, + "SAXIGP1AWADDR": { + "direction": "input", + "bits": [ 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411, 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446 ] + }, + "SAXIGP1AWBURST": { + "direction": "input", + "bits": [ 6447, 6448 ] + }, + "SAXIGP1AWCACHE": { + "direction": "input", + "bits": [ 6449, 6450, 6451, 6452 ] + }, + "SAXIGP1AWID": { + "direction": "input", + "bits": [ 6453, 6454, 6455, 6456, 6457, 6458 ] + }, + "SAXIGP1AWLEN": { + "direction": "input", + "bits": [ 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466 ] + }, + "SAXIGP1AWLOCK": { + "direction": "input", + "bits": [ 6467 ] + }, + "SAXIGP1AWPROT": { + "direction": "input", + "bits": [ 6468, 6469, 6470 ] + }, + "SAXIGP1AWQOS": { + "direction": "input", + "bits": [ 6471, 6472, 6473, 6474 ] + }, + "SAXIGP1AWSIZE": { + "direction": "input", + "bits": [ 6475, 6476, 6477 ] + }, + "SAXIGP1AWUSER": { + "direction": "input", + "bits": [ 6478 ] + }, + "SAXIGP1AWVALID": { + "direction": "input", + "bits": [ 6479 ] + }, + "SAXIGP1BREADY": { + "direction": "input", + "bits": [ 6480 ] + }, + "SAXIGP1RCLK": { + "direction": "input", + "bits": [ 6481 ] + }, + "SAXIGP1RREADY": { + "direction": "input", + "bits": [ 6482 ] + }, + "SAXIGP1WCLK": { + "direction": "input", + "bits": [ 6483 ] + }, + "SAXIGP1WDATA": { + "direction": "input", + "bits": [ 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539, 6540, 6541, 6542, 6543, 6544, 6545, 6546, 6547, 6548, 6549, 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560, 6561, 6562, 6563, 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581, 6582, 6583, 6584, 6585, 6586, 6587, 6588, 6589, 6590, 6591, 6592, 6593, 6594, 6595, 6596, 6597, 6598, 6599, 6600, 6601, 6602, 6603, 6604, 6605, 6606, 6607, 6608, 6609, 6610, 6611 ] + }, + "SAXIGP1WLAST": { + "direction": "input", + "bits": [ 6612 ] + }, + "SAXIGP1WSTRB": { + "direction": "input", + "bits": [ 6613, 6614, 6615, 6616, 6617, 6618, 6619, 6620, 6621, 6622, 6623, 6624, 6625, 6626, 6627, 6628 ] + }, + "SAXIGP1WVALID": { + "direction": "input", + "bits": [ 6629 ] + }, + "SAXIGP2ARADDR": { + "direction": "input", + "bits": [ 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638, 6639, 6640, 6641, 6642, 6643, 6644, 6645, 6646, 6647, 6648, 6649, 6650, 6651, 6652, 6653, 6654, 6655, 6656, 6657, 6658, 6659, 6660, 6661, 6662, 6663, 6664, 6665, 6666, 6667, 6668, 6669, 6670, 6671, 6672, 6673, 6674, 6675, 6676, 6677, 6678 ] + }, + "SAXIGP2ARBURST": { + "direction": "input", + "bits": [ 6679, 6680 ] + }, + "SAXIGP2ARCACHE": { + "direction": "input", + "bits": [ 6681, 6682, 6683, 6684 ] + }, + "SAXIGP2ARID": { + "direction": "input", + "bits": [ 6685, 6686, 6687, 6688, 6689, 6690 ] + }, + "SAXIGP2ARLEN": { + "direction": "input", + "bits": [ 6691, 6692, 6693, 6694, 6695, 6696, 6697, 6698 ] + }, + "SAXIGP2ARLOCK": { + "direction": "input", + "bits": [ 6699 ] + }, + "SAXIGP2ARPROT": { + "direction": "input", + "bits": [ 6700, 6701, 6702 ] + }, + "SAXIGP2ARQOS": { + "direction": "input", + "bits": [ 6703, 6704, 6705, 6706 ] + }, + "SAXIGP2ARSIZE": { + "direction": "input", + "bits": [ 6707, 6708, 6709 ] + }, + "SAXIGP2ARUSER": { + "direction": "input", + "bits": [ 6710 ] + }, + "SAXIGP2ARVALID": { + "direction": "input", + "bits": [ 6711 ] + }, + "SAXIGP2AWADDR": { + "direction": "input", + "bits": [ 6712, 6713, 6714, 6715, 6716, 6717, 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733, 6734, 6735, 6736, 6737, 6738, 6739, 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752, 6753, 6754, 6755, 6756, 6757, 6758, 6759, 6760 ] + }, + "SAXIGP2AWBURST": { + "direction": "input", + "bits": [ 6761, 6762 ] + }, + "SAXIGP2AWCACHE": { + "direction": "input", + "bits": [ 6763, 6764, 6765, 6766 ] + }, + "SAXIGP2AWID": { + "direction": "input", + "bits": [ 6767, 6768, 6769, 6770, 6771, 6772 ] + }, + "SAXIGP2AWLEN": { + "direction": "input", + "bits": [ 6773, 6774, 6775, 6776, 6777, 6778, 6779, 6780 ] + }, + "SAXIGP2AWLOCK": { + "direction": "input", + "bits": [ 6781 ] + }, + "SAXIGP2AWPROT": { + "direction": "input", + "bits": [ 6782, 6783, 6784 ] + }, + "SAXIGP2AWQOS": { + "direction": "input", + "bits": [ 6785, 6786, 6787, 6788 ] + }, + "SAXIGP2AWSIZE": { + "direction": "input", + "bits": [ 6789, 6790, 6791 ] + }, + "SAXIGP2AWUSER": { + "direction": "input", + "bits": [ 6792 ] + }, + "SAXIGP2AWVALID": { + "direction": "input", + "bits": [ 6793 ] + }, + "SAXIGP2BREADY": { + "direction": "input", + "bits": [ 6794 ] + }, + "SAXIGP2RCLK": { + "direction": "input", + "bits": [ 6795 ] + }, + "SAXIGP2RREADY": { + "direction": "input", + "bits": [ 6796 ] + }, + "SAXIGP2WCLK": { + "direction": "input", + "bits": [ 6797 ] + }, + "SAXIGP2WDATA": { + "direction": "input", + "bits": [ 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810, 6811, 6812, 6813, 6814, 6815, 6816, 6817, 6818, 6819, 6820, 6821, 6822, 6823, 6824, 6825, 6826, 6827, 6828, 6829, 6830, 6831, 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849, 6850, 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864, 6865, 6866, 6867, 6868, 6869, 6870, 6871, 6872, 6873, 6874, 6875, 6876, 6877, 6878, 6879, 6880, 6881, 6882, 6883, 6884, 6885, 6886, 6887, 6888, 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906, 6907, 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925 ] + }, + "SAXIGP2WLAST": { + "direction": "input", + "bits": [ 6926 ] + }, + "SAXIGP2WSTRB": { + "direction": "input", + "bits": [ 6927, 6928, 6929, 6930, 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942 ] + }, + "SAXIGP2WVALID": { + "direction": "input", + "bits": [ 6943 ] + }, + "SAXIGP3ARADDR": { + "direction": "input", + "bits": [ 6944, 6945, 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962, 6963, 6964, 6965, 6966, 6967, 6968, 6969, 6970, 6971, 6972, 6973, 6974, 6975, 6976, 6977, 6978, 6979, 6980, 6981, 6982, 6983, 6984, 6985, 6986, 6987, 6988, 6989, 6990, 6991, 6992 ] + }, + "SAXIGP3ARBURST": { + "direction": "input", + "bits": [ 6993, 6994 ] + }, + "SAXIGP3ARCACHE": { + "direction": "input", + "bits": [ 6995, 6996, 6997, 6998 ] + }, + "SAXIGP3ARID": { + "direction": "input", + "bits": [ 6999, 7000, 7001, 7002, 7003, 7004 ] + }, + "SAXIGP3ARLEN": { + "direction": "input", + "bits": [ 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012 ] + }, + "SAXIGP3ARLOCK": { + "direction": "input", + "bits": [ 7013 ] + }, + "SAXIGP3ARPROT": { + "direction": "input", + "bits": [ 7014, 7015, 7016 ] + }, + "SAXIGP3ARQOS": { + "direction": "input", + "bits": [ 7017, 7018, 7019, 7020 ] + }, + "SAXIGP3ARSIZE": { + "direction": "input", + "bits": [ 7021, 7022, 7023 ] + }, + "SAXIGP3ARUSER": { + "direction": "input", + "bits": [ 7024 ] + }, + "SAXIGP3ARVALID": { + "direction": "input", + "bits": [ 7025 ] + }, + "SAXIGP3AWADDR": { + "direction": "input", + "bits": [ 7026, 7027, 7028, 7029, 7030, 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052, 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060, 7061, 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074 ] + }, + "SAXIGP3AWBURST": { + "direction": "input", + "bits": [ 7075, 7076 ] + }, + "SAXIGP3AWCACHE": { + "direction": "input", + "bits": [ 7077, 7078, 7079, 7080 ] + }, + "SAXIGP3AWID": { + "direction": "input", + "bits": [ 7081, 7082, 7083, 7084, 7085, 7086 ] + }, + "SAXIGP3AWLEN": { + "direction": "input", + "bits": [ 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094 ] + }, + "SAXIGP3AWLOCK": { + "direction": "input", + "bits": [ 7095 ] + }, + "SAXIGP3AWPROT": { + "direction": "input", + "bits": [ 7096, 7097, 7098 ] + }, + "SAXIGP3AWQOS": { + "direction": "input", + "bits": [ 7099, 7100, 7101, 7102 ] + }, + "SAXIGP3AWSIZE": { + "direction": "input", + "bits": [ 7103, 7104, 7105 ] + }, + "SAXIGP3AWUSER": { + "direction": "input", + "bits": [ 7106 ] + }, + "SAXIGP3AWVALID": { + "direction": "input", + "bits": [ 7107 ] + }, + "SAXIGP3BREADY": { + "direction": "input", + "bits": [ 7108 ] + }, + "SAXIGP3RCLK": { + "direction": "input", + "bits": [ 7109 ] + }, + "SAXIGP3RREADY": { + "direction": "input", + "bits": [ 7110 ] + }, + "SAXIGP3WCLK": { + "direction": "input", + "bits": [ 7111 ] + }, + "SAXIGP3WDATA": { + "direction": "input", + "bits": [ 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139, 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171, 7172, 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204, 7205, 7206, 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239 ] + }, + "SAXIGP3WLAST": { + "direction": "input", + "bits": [ 7240 ] + }, + "SAXIGP3WSTRB": { + "direction": "input", + "bits": [ 7241, 7242, 7243, 7244, 7245, 7246, 7247, 7248, 7249, 7250, 7251, 7252, 7253, 7254, 7255, 7256 ] + }, + "SAXIGP3WVALID": { + "direction": "input", + "bits": [ 7257 ] + }, + "SAXIGP4ARADDR": { + "direction": "input", + "bits": [ 7258, 7259, 7260, 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286, 7287, 7288, 7289, 7290, 7291, 7292, 7293, 7294, 7295, 7296, 7297, 7298, 7299, 7300, 7301, 7302, 7303, 7304, 7305, 7306 ] + }, + "SAXIGP4ARBURST": { + "direction": "input", + "bits": [ 7307, 7308 ] + }, + "SAXIGP4ARCACHE": { + "direction": "input", + "bits": [ 7309, 7310, 7311, 7312 ] + }, + "SAXIGP4ARID": { + "direction": "input", + "bits": [ 7313, 7314, 7315, 7316, 7317, 7318 ] + }, + "SAXIGP4ARLEN": { + "direction": "input", + "bits": [ 7319, 7320, 7321, 7322, 7323, 7324, 7325, 7326 ] + }, + "SAXIGP4ARLOCK": { + "direction": "input", + "bits": [ 7327 ] + }, + "SAXIGP4ARPROT": { + "direction": "input", + "bits": [ 7328, 7329, 7330 ] + }, + "SAXIGP4ARQOS": { + "direction": "input", + "bits": [ 7331, 7332, 7333, 7334 ] + }, + "SAXIGP4ARSIZE": { + "direction": "input", + "bits": [ 7335, 7336, 7337 ] + }, + "SAXIGP4ARUSER": { + "direction": "input", + "bits": [ 7338 ] + }, + "SAXIGP4ARVALID": { + "direction": "input", + "bits": [ 7339 ] + }, + "SAXIGP4AWADDR": { + "direction": "input", + "bits": [ 7340, 7341, 7342, 7343, 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351, 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359, 7360, 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372, 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388 ] + }, + "SAXIGP4AWBURST": { + "direction": "input", + "bits": [ 7389, 7390 ] + }, + "SAXIGP4AWCACHE": { + "direction": "input", + "bits": [ 7391, 7392, 7393, 7394 ] + }, + "SAXIGP4AWID": { + "direction": "input", + "bits": [ 7395, 7396, 7397, 7398, 7399, 7400 ] + }, + "SAXIGP4AWLEN": { + "direction": "input", + "bits": [ 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408 ] + }, + "SAXIGP4AWLOCK": { + "direction": "input", + "bits": [ 7409 ] + }, + "SAXIGP4AWPROT": { + "direction": "input", + "bits": [ 7410, 7411, 7412 ] + }, + "SAXIGP4AWQOS": { + "direction": "input", + "bits": [ 7413, 7414, 7415, 7416 ] + }, + "SAXIGP4AWSIZE": { + "direction": "input", + "bits": [ 7417, 7418, 7419 ] + }, + "SAXIGP4AWUSER": { + "direction": "input", + "bits": [ 7420 ] + }, + "SAXIGP4AWVALID": { + "direction": "input", + "bits": [ 7421 ] + }, + "SAXIGP4BREADY": { + "direction": "input", + "bits": [ 7422 ] + }, + "SAXIGP4RCLK": { + "direction": "input", + "bits": [ 7423 ] + }, + "SAXIGP4RREADY": { + "direction": "input", + "bits": [ 7424 ] + }, + "SAXIGP4WCLK": { + "direction": "input", + "bits": [ 7425 ] + }, + "SAXIGP4WDATA": { + "direction": "input", + "bits": [ 7426, 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458, 7459, 7460, 7461, 7462, 7463, 7464, 7465, 7466, 7467, 7468, 7469, 7470, 7471, 7472, 7473, 7474, 7475, 7476, 7477, 7478, 7479, 7480, 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510, 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540, 7541, 7542, 7543, 7544, 7545, 7546, 7547, 7548, 7549, 7550, 7551, 7552, 7553 ] + }, + "SAXIGP4WLAST": { + "direction": "input", + "bits": [ 7554 ] + }, + "SAXIGP4WSTRB": { + "direction": "input", + "bits": [ 7555, 7556, 7557, 7558, 7559, 7560, 7561, 7562, 7563, 7564, 7565, 7566, 7567, 7568, 7569, 7570 ] + }, + "SAXIGP4WVALID": { + "direction": "input", + "bits": [ 7571 ] + }, + "SAXIGP5ARADDR": { + "direction": "input", + "bits": [ 7572, 7573, 7574, 7575, 7576, 7577, 7578, 7579, 7580, 7581, 7582, 7583, 7584, 7585, 7586, 7587, 7588, 7589, 7590, 7591, 7592, 7593, 7594, 7595, 7596, 7597, 7598, 7599, 7600, 7601, 7602, 7603, 7604, 7605, 7606, 7607, 7608, 7609, 7610, 7611, 7612, 7613, 7614, 7615, 7616, 7617, 7618, 7619, 7620 ] + }, + "SAXIGP5ARBURST": { + "direction": "input", + "bits": [ 7621, 7622 ] + }, + "SAXIGP5ARCACHE": { + "direction": "input", + "bits": [ 7623, 7624, 7625, 7626 ] + }, + "SAXIGP5ARID": { + "direction": "input", + "bits": [ 7627, 7628, 7629, 7630, 7631, 7632 ] + }, + "SAXIGP5ARLEN": { + "direction": "input", + "bits": [ 7633, 7634, 7635, 7636, 7637, 7638, 7639, 7640 ] + }, + "SAXIGP5ARLOCK": { + "direction": "input", + "bits": [ 7641 ] + }, + "SAXIGP5ARPROT": { + "direction": "input", + "bits": [ 7642, 7643, 7644 ] + }, + "SAXIGP5ARQOS": { + "direction": "input", + "bits": [ 7645, 7646, 7647, 7648 ] + }, + "SAXIGP5ARSIZE": { + "direction": "input", + "bits": [ 7649, 7650, 7651 ] + }, + "SAXIGP5ARUSER": { + "direction": "input", + "bits": [ 7652 ] + }, + "SAXIGP5ARVALID": { + "direction": "input", + "bits": [ 7653 ] + }, + "SAXIGP5AWADDR": { + "direction": "input", + "bits": [ 7654, 7655, 7656, 7657, 7658, 7659, 7660, 7661, 7662, 7663, 7664, 7665, 7666, 7667, 7668, 7669, 7670, 7671, 7672, 7673, 7674, 7675, 7676, 7677, 7678, 7679, 7680, 7681, 7682, 7683, 7684, 7685, 7686, 7687, 7688, 7689, 7690, 7691, 7692, 7693, 7694, 7695, 7696, 7697, 7698, 7699, 7700, 7701, 7702 ] + }, + "SAXIGP5AWBURST": { + "direction": "input", + "bits": [ 7703, 7704 ] + }, + "SAXIGP5AWCACHE": { + "direction": "input", + "bits": [ 7705, 7706, 7707, 7708 ] + }, + "SAXIGP5AWID": { + "direction": "input", + "bits": [ 7709, 7710, 7711, 7712, 7713, 7714 ] + }, + "SAXIGP5AWLEN": { + "direction": "input", + "bits": [ 7715, 7716, 7717, 7718, 7719, 7720, 7721, 7722 ] + }, + "SAXIGP5AWLOCK": { + "direction": "input", + "bits": [ 7723 ] + }, + "SAXIGP5AWPROT": { + "direction": "input", + "bits": [ 7724, 7725, 7726 ] + }, + "SAXIGP5AWQOS": { + "direction": "input", + "bits": [ 7727, 7728, 7729, 7730 ] + }, + "SAXIGP5AWSIZE": { + "direction": "input", + "bits": [ 7731, 7732, 7733 ] + }, + "SAXIGP5AWUSER": { + "direction": "input", + "bits": [ 7734 ] + }, + "SAXIGP5AWVALID": { + "direction": "input", + "bits": [ 7735 ] + }, + "SAXIGP5BREADY": { + "direction": "input", + "bits": [ 7736 ] + }, + "SAXIGP5RCLK": { + "direction": "input", + "bits": [ 7737 ] + }, + "SAXIGP5RREADY": { + "direction": "input", + "bits": [ 7738 ] + }, + "SAXIGP5WCLK": { + "direction": "input", + "bits": [ 7739 ] + }, + "SAXIGP5WDATA": { + "direction": "input", + "bits": [ 7740, 7741, 7742, 7743, 7744, 7745, 7746, 7747, 7748, 7749, 7750, 7751, 7752, 7753, 7754, 7755, 7756, 7757, 7758, 7759, 7760, 7761, 7762, 7763, 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 7828, 7829, 7830, 7831, 7832, 7833, 7834, 7835, 7836, 7837, 7838, 7839, 7840, 7841, 7842, 7843, 7844, 7845, 7846, 7847, 7848, 7849, 7850, 7851, 7852, 7853, 7854, 7855, 7856, 7857, 7858, 7859, 7860, 7861, 7862, 7863, 7864, 7865, 7866, 7867 ] + }, + "SAXIGP5WLAST": { + "direction": "input", + "bits": [ 7868 ] + }, + "SAXIGP5WSTRB": { + "direction": "input", + "bits": [ 7869, 7870, 7871, 7872, 7873, 7874, 7875, 7876, 7877, 7878, 7879, 7880, 7881, 7882, 7883, 7884 ] + }, + "SAXIGP5WVALID": { + "direction": "input", + "bits": [ 7885 ] + }, + "SAXIGP6ARADDR": { + "direction": "input", + "bits": [ 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 7898, 7899, 7900, 7901, 7902, 7903, 7904, 7905, 7906, 7907, 7908, 7909, 7910, 7911, 7912, 7913, 7914, 7915, 7916, 7917, 7918, 7919, 7920, 7921, 7922, 7923, 7924, 7925, 7926, 7927, 7928, 7929, 7930, 7931, 7932, 7933, 7934 ] + }, + "SAXIGP6ARBURST": { + "direction": "input", + "bits": [ 7935, 7936 ] + }, + "SAXIGP6ARCACHE": { + "direction": "input", + "bits": [ 7937, 7938, 7939, 7940 ] + }, + "SAXIGP6ARID": { + "direction": "input", + "bits": [ 7941, 7942, 7943, 7944, 7945, 7946 ] + }, + "SAXIGP6ARLEN": { + "direction": "input", + "bits": [ 7947, 7948, 7949, 7950, 7951, 7952, 7953, 7954 ] + }, + "SAXIGP6ARLOCK": { + "direction": "input", + "bits": [ 7955 ] + }, + "SAXIGP6ARPROT": { + "direction": "input", + "bits": [ 7956, 7957, 7958 ] + }, + "SAXIGP6ARQOS": { + "direction": "input", + "bits": [ 7959, 7960, 7961, 7962 ] + }, + "SAXIGP6ARSIZE": { + "direction": "input", + "bits": [ 7963, 7964, 7965 ] + }, + "SAXIGP6ARUSER": { + "direction": "input", + "bits": [ 7966 ] + }, + "SAXIGP6ARVALID": { + "direction": "input", + "bits": [ 7967 ] + }, + "SAXIGP6AWADDR": { + "direction": "input", + "bits": [ 7968, 7969, 7970, 7971, 7972, 7973, 7974, 7975, 7976, 7977, 7978, 7979, 7980, 7981, 7982, 7983, 7984, 7985, 7986, 7987, 7988, 7989, 7990, 7991, 7992, 7993, 7994, 7995, 7996, 7997, 7998, 7999, 8000, 8001, 8002, 8003, 8004, 8005, 8006, 8007, 8008, 8009, 8010, 8011, 8012, 8013, 8014, 8015, 8016 ] + }, + "SAXIGP6AWBURST": { + "direction": "input", + "bits": [ 8017, 8018 ] + }, + "SAXIGP6AWCACHE": { + "direction": "input", + "bits": [ 8019, 8020, 8021, 8022 ] + }, + "SAXIGP6AWID": { + "direction": "input", + "bits": [ 8023, 8024, 8025, 8026, 8027, 8028 ] + }, + "SAXIGP6AWLEN": { + "direction": "input", + "bits": [ 8029, 8030, 8031, 8032, 8033, 8034, 8035, 8036 ] + }, + "SAXIGP6AWLOCK": { + "direction": "input", + "bits": [ 8037 ] + }, + "SAXIGP6AWPROT": { + "direction": "input", + "bits": [ 8038, 8039, 8040 ] + }, + "SAXIGP6AWQOS": { + "direction": "input", + "bits": [ 8041, 8042, 8043, 8044 ] + }, + "SAXIGP6AWSIZE": { + "direction": "input", + "bits": [ 8045, 8046, 8047 ] + }, + "SAXIGP6AWUSER": { + "direction": "input", + "bits": [ 8048 ] + }, + "SAXIGP6AWVALID": { + "direction": "input", + "bits": [ 8049 ] + }, + "SAXIGP6BREADY": { + "direction": "input", + "bits": [ 8050 ] + }, + "SAXIGP6RCLK": { + "direction": "input", + "bits": [ 8051 ] + }, + "SAXIGP6RREADY": { + "direction": "input", + "bits": [ 8052 ] + }, + "SAXIGP6WCLK": { + "direction": "input", + "bits": [ 8053 ] + }, + "SAXIGP6WDATA": { + "direction": "input", + "bits": [ 8054, 8055, 8056, 8057, 8058, 8059, 8060, 8061, 8062, 8063, 8064, 8065, 8066, 8067, 8068, 8069, 8070, 8071, 8072, 8073, 8074, 8075, 8076, 8077, 8078, 8079, 8080, 8081, 8082, 8083, 8084, 8085, 8086, 8087, 8088, 8089, 8090, 8091, 8092, 8093, 8094, 8095, 8096, 8097, 8098, 8099, 8100, 8101, 8102, 8103, 8104, 8105, 8106, 8107, 8108, 8109, 8110, 8111, 8112, 8113, 8114, 8115, 8116, 8117, 8118, 8119, 8120, 8121, 8122, 8123, 8124, 8125, 8126, 8127, 8128, 8129, 8130, 8131, 8132, 8133, 8134, 8135, 8136, 8137, 8138, 8139, 8140, 8141, 8142, 8143, 8144, 8145, 8146, 8147, 8148, 8149, 8150, 8151, 8152, 8153, 8154, 8155, 8156, 8157, 8158, 8159, 8160, 8161, 8162, 8163, 8164, 8165, 8166, 8167, 8168, 8169, 8170, 8171, 8172, 8173, 8174, 8175, 8176, 8177, 8178, 8179, 8180, 8181 ] + }, + "SAXIGP6WLAST": { + "direction": "input", + "bits": [ 8182 ] + }, + "SAXIGP6WSTRB": { + "direction": "input", + "bits": [ 8183, 8184, 8185, 8186, 8187, 8188, 8189, 8190, 8191, 8192, 8193, 8194, 8195, 8196, 8197, 8198 ] + }, + "SAXIGP6WVALID": { + "direction": "input", + "bits": [ 8199 ] + }, + "STMEVENT": { + "direction": "input", + "bits": [ 8200, 8201, 8202, 8203, 8204, 8205, 8206, 8207, 8208, 8209, 8210, 8211, 8212, 8213, 8214, 8215, 8216, 8217, 8218, 8219, 8220, 8221, 8222, 8223, 8224, 8225, 8226, 8227, 8228, 8229, 8230, 8231, 8232, 8233, 8234, 8235, 8236, 8237, 8238, 8239, 8240, 8241, 8242, 8243, 8244, 8245, 8246, 8247, 8248, 8249, 8250, 8251, 8252, 8253, 8254, 8255, 8256, 8257, 8258, 8259 ] + } + }, + "cells": { + }, + "netnames": { + "ADMA2PLCACK": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32315.18-32315.29" + } + }, + "ADMA2PLTVLD": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32316.18-32316.29" + } + }, + "ADMAFCICLK": { + "hide_name": 0, + "bits": [ 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32799.17-32799.27" + } + }, + "AIBPMUAFIFMFPDACK": { + "hide_name": 0, + "bits": [ 4073 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32800.11-32800.28" + } + }, + "AIBPMUAFIFMLPDACK": { + "hide_name": 0, + "bits": [ 4074 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32801.11-32801.28" + } + }, + "DDRCEXTREFRESHRANK0REQ": { + "hide_name": 0, + "bits": [ 4075 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32802.11-32802.33" + } + }, + "DDRCEXTREFRESHRANK1REQ": { + "hide_name": 0, + "bits": [ 4076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32803.11-32803.33" + } + }, + "DDRCREFRESHPLCLK": { + "hide_name": 0, + "bits": [ 4077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32804.11-32804.27" + } + }, + "DPAUDIOREFCLK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32317.12-32317.25" + } + }, + "DPAUXDATAIN": { + "hide_name": 0, + "bits": [ 4078 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32805.11-32805.22" + } + }, + "DPAUXDATAOEN": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32318.12-32318.24" + } + }, + "DPAUXDATAOUT": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32319.12-32319.24" + } + }, + "DPEXTERNALCUSTOMEVENT1": { + "hide_name": 0, + "bits": [ 4079 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32806.11-32806.33" + } + }, + "DPEXTERNALCUSTOMEVENT2": { + "hide_name": 0, + "bits": [ 4080 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32807.11-32807.33" + } + }, + "DPEXTERNALVSYNCEVENT": { + "hide_name": 0, + "bits": [ 4081 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32808.11-32808.31" + } + }, + "DPHOTPLUGDETECT": { + "hide_name": 0, + "bits": [ 4082 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32809.11-32809.26" + } + }, + "DPLIVEGFXALPHAIN": { + "hide_name": 0, + "bits": [ 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32810.17-32810.33" + } + }, + "DPLIVEGFXPIXEL1IN": { + "hide_name": 0, + "bits": [ 4091, 4092, 4093, 4094, 4095, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32811.18-32811.35" + } + }, + "DPLIVEVIDEODEOUT": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32320.12-32320.28" + } + }, + "DPLIVEVIDEOINDE": { + "hide_name": 0, + "bits": [ 4127 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32812.11-32812.26" + } + }, + "DPLIVEVIDEOINHSYNC": { + "hide_name": 0, + "bits": [ 4128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32813.11-32813.29" + } + }, + "DPLIVEVIDEOINPIXEL1": { + "hide_name": 0, + "bits": [ 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32814.18-32814.37" + } + }, + "DPLIVEVIDEOINVSYNC": { + "hide_name": 0, + "bits": [ 4165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32815.11-32815.29" + } + }, + "DPMAXISMIXEDAUDIOTDATA": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32321.19-32321.41" + } + }, + "DPMAXISMIXEDAUDIOTID": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32322.12-32322.32" + } + }, + "DPMAXISMIXEDAUDIOTREADY": { + "hide_name": 0, + "bits": [ 4166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32816.11-32816.34" + } + }, + "DPMAXISMIXEDAUDIOTVALID": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32323.12-32323.35" + } + }, + "DPSAXISAUDIOCLK": { + "hide_name": 0, + "bits": [ 4167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32817.11-32817.26" + } + }, + "DPSAXISAUDIOTDATA": { + "hide_name": 0, + "bits": [ 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32818.18-32818.35" + } + }, + "DPSAXISAUDIOTID": { + "hide_name": 0, + "bits": [ 4200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32819.11-32819.26" + } + }, + "DPSAXISAUDIOTREADY": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32324.12-32324.30" + } + }, + "DPSAXISAUDIOTVALID": { + "hide_name": 0, + "bits": [ 4201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32820.11-32820.29" + } + }, + "DPVIDEOINCLK": { + "hide_name": 0, + "bits": [ 4202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32821.11-32821.23" + } + }, + "DPVIDEOOUTHSYNC": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32325.12-32325.27" + } + }, + "DPVIDEOOUTPIXEL1": { + "hide_name": 0, + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32326.19-32326.35" + } + }, + "DPVIDEOOUTVSYNC": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32327.12-32327.27" + } + }, + "DPVIDEOREFCLK": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32328.12-32328.25" + } + }, + "EMIOCAN0PHYRX": { + "hide_name": 0, + "bits": [ 4203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32822.11-32822.24" + } + }, + "EMIOCAN0PHYTX": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32329.12-32329.25" + } + }, + "EMIOCAN1PHYRX": { + "hide_name": 0, + "bits": [ 4204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32823.11-32823.24" + } + }, + "EMIOCAN1PHYTX": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32330.12-32330.25" + } + }, + "EMIOENET0DMABUSWIDTH": { + "hide_name": 0, + "bits": [ 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32331.18-32331.38" + } + }, + "EMIOENET0DMATXENDTOG": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32332.12-32332.32" + } + }, + "EMIOENET0DMATXSTATUSTOG": { + "hide_name": 0, + "bits": [ 4205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32824.11-32824.34" + } + }, + "EMIOENET0EXTINTIN": { + "hide_name": 0, + "bits": [ 4206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32825.11-32825.28" + } + }, + "EMIOENET0GEMTSUTIMERCNT": { + "hide_name": 0, + "bits": [ 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32333.19-32333.42" + } + }, + "EMIOENET0GMIICOL": { + "hide_name": 0, + "bits": [ 4207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32826.11-32826.27" + } + }, + "EMIOENET0GMIICRS": { + "hide_name": 0, + "bits": [ 4208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32827.11-32827.27" + } + }, + "EMIOENET0GMIIRXCLK": { + "hide_name": 0, + "bits": [ 4209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32828.11-32828.29" + } + }, + "EMIOENET0GMIIRXD": { + "hide_name": 0, + "bits": [ 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32829.17-32829.33" + } + }, + "EMIOENET0GMIIRXDV": { + "hide_name": 0, + "bits": [ 4218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32830.11-32830.28" + } + }, + "EMIOENET0GMIIRXER": { + "hide_name": 0, + "bits": [ 4219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32831.11-32831.28" + } + }, + "EMIOENET0GMIITXCLK": { + "hide_name": 0, + "bits": [ 4220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32832.11-32832.29" + } + }, + "EMIOENET0GMIITXD": { + "hide_name": 0, + "bits": [ 195, 196, 197, 198, 199, 200, 201, 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32334.18-32334.34" + } + }, + "EMIOENET0GMIITXEN": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32335.12-32335.29" + } + }, + "EMIOENET0GMIITXER": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32336.12-32336.29" + } + }, + "EMIOENET0MDIOI": { + "hide_name": 0, + "bits": [ 4221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32833.11-32833.25" + } + }, + "EMIOENET0MDIOMDC": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32337.12-32337.28" + } + }, + "EMIOENET0MDIOO": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32338.12-32338.26" + } + }, + "EMIOENET0MDIOTN": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32339.12-32339.27" + } + }, + "EMIOENET0RXWDATA": { + "hide_name": 0, + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32340.18-32340.34" + } + }, + "EMIOENET0RXWEOP": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32341.12-32341.27" + } + }, + "EMIOENET0RXWERR": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32342.12-32342.27" + } + }, + "EMIOENET0RXWFLUSH": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32343.12-32343.29" + } + }, + "EMIOENET0RXWOVERFLOW": { + "hide_name": 0, + "bits": [ 4222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32834.11-32834.31" + } + }, + "EMIOENET0RXWSOP": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32344.12-32344.27" + } + }, + "EMIOENET0RXWSTATUS": { + "hide_name": 0, + "bits": [ 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32345.19-32345.37" + } + }, + "EMIOENET0RXWWR": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32346.12-32346.26" + } + }, + "EMIOENET0SPEEDMODE": { + "hide_name": 0, + "bits": [ 266, 267, 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32347.18-32347.36" + } + }, + "EMIOENET0TXRCONTROL": { + "hide_name": 0, + "bits": [ 4223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32835.11-32835.30" + } + }, + "EMIOENET0TXRDATA": { + "hide_name": 0, + "bits": [ 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32836.17-32836.33" + } + }, + "EMIOENET0TXRDATARDY": { + "hide_name": 0, + "bits": [ 4232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32837.11-32837.30" + } + }, + "EMIOENET0TXREOP": { + "hide_name": 0, + "bits": [ 4233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32838.11-32838.26" + } + }, + "EMIOENET0TXRERR": { + "hide_name": 0, + "bits": [ 4234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32839.11-32839.26" + } + }, + "EMIOENET0TXRFLUSHED": { + "hide_name": 0, + "bits": [ 4235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32840.11-32840.30" + } + }, + "EMIOENET0TXRRD": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32348.12-32348.26" + } + }, + "EMIOENET0TXRSOP": { + "hide_name": 0, + "bits": [ 4236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32841.11-32841.26" + } + }, + "EMIOENET0TXRSTATUS": { + "hide_name": 0, + "bits": [ 270, 271, 272, 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32349.18-32349.36" + } + }, + "EMIOENET0TXRUNDERFLOW": { + "hide_name": 0, + "bits": [ 4237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32842.11-32842.32" + } + }, + "EMIOENET0TXRVALID": { + "hide_name": 0, + "bits": [ 4238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32843.11-32843.28" + } + }, + "EMIOENET1DMABUSWIDTH": { + "hide_name": 0, + "bits": [ 274, 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32350.18-32350.38" + } + }, + "EMIOENET1DMATXENDTOG": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32351.12-32351.32" + } + }, + "EMIOENET1DMATXSTATUSTOG": { + "hide_name": 0, + "bits": [ 4239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32844.11-32844.34" + } + }, + "EMIOENET1EXTINTIN": { + "hide_name": 0, + "bits": [ 4240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32845.11-32845.28" + } + }, + "EMIOENET1GMIICOL": { + "hide_name": 0, + "bits": [ 4241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32846.11-32846.27" + } + }, + "EMIOENET1GMIICRS": { + "hide_name": 0, + "bits": [ 4242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32847.11-32847.27" + } + }, + "EMIOENET1GMIIRXCLK": { + "hide_name": 0, + "bits": [ 4243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32848.11-32848.29" + } + }, + "EMIOENET1GMIIRXD": { + "hide_name": 0, + "bits": [ 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32849.17-32849.33" + } + }, + "EMIOENET1GMIIRXDV": { + "hide_name": 0, + "bits": [ 4252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32850.11-32850.28" + } + }, + "EMIOENET1GMIIRXER": { + "hide_name": 0, + "bits": [ 4253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32851.11-32851.28" + } + }, + "EMIOENET1GMIITXCLK": { + "hide_name": 0, + "bits": [ 4254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32852.11-32852.29" + } + }, + "EMIOENET1GMIITXD": { + "hide_name": 0, + "bits": [ 277, 278, 279, 280, 281, 282, 283, 284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32352.18-32352.34" + } + }, + "EMIOENET1GMIITXEN": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32353.12-32353.29" + } + }, + "EMIOENET1GMIITXER": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32354.12-32354.29" + } + }, + "EMIOENET1MDIOI": { + "hide_name": 0, + "bits": [ 4255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32853.11-32853.25" + } + }, + "EMIOENET1MDIOMDC": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32355.12-32355.28" + } + }, + "EMIOENET1MDIOO": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32356.12-32356.26" + } + }, + "EMIOENET1MDIOTN": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32357.12-32357.27" + } + }, + "EMIOENET1RXWDATA": { + "hide_name": 0, + "bits": [ 290, 291, 292, 293, 294, 295, 296, 297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32358.18-32358.34" + } + }, + "EMIOENET1RXWEOP": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32359.12-32359.27" + } + }, + "EMIOENET1RXWERR": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32360.12-32360.27" + } + }, + "EMIOENET1RXWFLUSH": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32361.12-32361.29" + } + }, + "EMIOENET1RXWOVERFLOW": { + "hide_name": 0, + "bits": [ 4256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32854.11-32854.31" + } + }, + "EMIOENET1RXWSOP": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32362.12-32362.27" + } + }, + "EMIOENET1RXWSTATUS": { + "hide_name": 0, + "bits": [ 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32363.19-32363.37" + } + }, + "EMIOENET1RXWWR": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32364.12-32364.26" + } + }, + "EMIOENET1SPEEDMODE": { + "hide_name": 0, + "bits": [ 348, 349, 350 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32365.18-32365.36" + } + }, + "EMIOENET1TXRCONTROL": { + "hide_name": 0, + "bits": [ 4257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32855.11-32855.30" + } + }, + "EMIOENET1TXRDATA": { + "hide_name": 0, + "bits": [ 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32856.17-32856.33" + } + }, + "EMIOENET1TXRDATARDY": { + "hide_name": 0, + "bits": [ 4266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32857.11-32857.30" + } + }, + "EMIOENET1TXREOP": { + "hide_name": 0, + "bits": [ 4267 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32858.11-32858.26" + } + }, + "EMIOENET1TXRERR": { + "hide_name": 0, + "bits": [ 4268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32859.11-32859.26" + } + }, + "EMIOENET1TXRFLUSHED": { + "hide_name": 0, + "bits": [ 4269 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32860.11-32860.30" + } + }, + "EMIOENET1TXRRD": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32366.12-32366.26" + } + }, + "EMIOENET1TXRSOP": { + "hide_name": 0, + "bits": [ 4270 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32861.11-32861.26" + } + }, + "EMIOENET1TXRSTATUS": { + "hide_name": 0, + "bits": [ 352, 353, 354, 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32367.18-32367.36" + } + }, + "EMIOENET1TXRUNDERFLOW": { + "hide_name": 0, + "bits": [ 4271 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32862.11-32862.32" + } + }, + "EMIOENET1TXRVALID": { + "hide_name": 0, + "bits": [ 4272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32863.11-32863.28" + } + }, + "EMIOENET2DMABUSWIDTH": { + "hide_name": 0, + "bits": [ 356, 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32368.18-32368.38" + } + }, + "EMIOENET2DMATXENDTOG": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32369.12-32369.32" + } + }, + "EMIOENET2DMATXSTATUSTOG": { + "hide_name": 0, + "bits": [ 4273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32864.11-32864.34" + } + }, + "EMIOENET2EXTINTIN": { + "hide_name": 0, + "bits": [ 4274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32865.11-32865.28" + } + }, + "EMIOENET2GMIICOL": { + "hide_name": 0, + "bits": [ 4275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32866.11-32866.27" + } + }, + "EMIOENET2GMIICRS": { + "hide_name": 0, + "bits": [ 4276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32867.11-32867.27" + } + }, + "EMIOENET2GMIIRXCLK": { + "hide_name": 0, + "bits": [ 4277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32868.11-32868.29" + } + }, + "EMIOENET2GMIIRXD": { + "hide_name": 0, + "bits": [ 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32869.17-32869.33" + } + }, + "EMIOENET2GMIIRXDV": { + "hide_name": 0, + "bits": [ 4286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32870.11-32870.28" + } + }, + "EMIOENET2GMIIRXER": { + "hide_name": 0, + "bits": [ 4287 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32871.11-32871.28" + } + }, + "EMIOENET2GMIITXCLK": { + "hide_name": 0, + "bits": [ 4288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32872.11-32872.29" + } + }, + "EMIOENET2GMIITXD": { + "hide_name": 0, + "bits": [ 359, 360, 361, 362, 363, 364, 365, 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32370.18-32370.34" + } + }, + "EMIOENET2GMIITXEN": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32371.12-32371.29" + } + }, + "EMIOENET2GMIITXER": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32372.12-32372.29" + } + }, + "EMIOENET2MDIOI": { + "hide_name": 0, + "bits": [ 4289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32873.11-32873.25" + } + }, + "EMIOENET2MDIOMDC": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32373.12-32373.28" + } + }, + "EMIOENET2MDIOO": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32374.12-32374.26" + } + }, + "EMIOENET2MDIOTN": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32375.12-32375.27" + } + }, + "EMIOENET2RXWDATA": { + "hide_name": 0, + "bits": [ 372, 373, 374, 375, 376, 377, 378, 379 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32376.18-32376.34" + } + }, + "EMIOENET2RXWEOP": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32377.12-32377.27" + } + }, + "EMIOENET2RXWERR": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32378.12-32378.27" + } + }, + "EMIOENET2RXWFLUSH": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32379.12-32379.29" + } + }, + "EMIOENET2RXWOVERFLOW": { + "hide_name": 0, + "bits": [ 4290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32874.11-32874.31" + } + }, + "EMIOENET2RXWSOP": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32380.12-32380.27" + } + }, + "EMIOENET2RXWSTATUS": { + "hide_name": 0, + "bits": [ 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32381.19-32381.37" + } + }, + "EMIOENET2RXWWR": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32382.12-32382.26" + } + }, + "EMIOENET2SPEEDMODE": { + "hide_name": 0, + "bits": [ 430, 431, 432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32383.18-32383.36" + } + }, + "EMIOENET2TXRCONTROL": { + "hide_name": 0, + "bits": [ 4291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32875.11-32875.30" + } + }, + "EMIOENET2TXRDATA": { + "hide_name": 0, + "bits": [ 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32876.17-32876.33" + } + }, + "EMIOENET2TXRDATARDY": { + "hide_name": 0, + "bits": [ 4300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32877.11-32877.30" + } + }, + "EMIOENET2TXREOP": { + "hide_name": 0, + "bits": [ 4301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32878.11-32878.26" + } + }, + "EMIOENET2TXRERR": { + "hide_name": 0, + "bits": [ 4302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32879.11-32879.26" + } + }, + "EMIOENET2TXRFLUSHED": { + "hide_name": 0, + "bits": [ 4303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32880.11-32880.30" + } + }, + "EMIOENET2TXRRD": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32384.12-32384.26" + } + }, + "EMIOENET2TXRSOP": { + "hide_name": 0, + "bits": [ 4304 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32881.11-32881.26" + } + }, + "EMIOENET2TXRSTATUS": { + "hide_name": 0, + "bits": [ 434, 435, 436, 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32385.18-32385.36" + } + }, + "EMIOENET2TXRUNDERFLOW": { + "hide_name": 0, + "bits": [ 4305 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32882.11-32882.32" + } + }, + "EMIOENET2TXRVALID": { + "hide_name": 0, + "bits": [ 4306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32883.11-32883.28" + } + }, + "EMIOENET3DMABUSWIDTH": { + "hide_name": 0, + "bits": [ 438, 439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32386.18-32386.38" + } + }, + "EMIOENET3DMATXENDTOG": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32387.12-32387.32" + } + }, + "EMIOENET3DMATXSTATUSTOG": { + "hide_name": 0, + "bits": [ 4307 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32884.11-32884.34" + } + }, + "EMIOENET3EXTINTIN": { + "hide_name": 0, + "bits": [ 4308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32885.11-32885.28" + } + }, + "EMIOENET3GMIICOL": { + "hide_name": 0, + "bits": [ 4309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32886.11-32886.27" + } + }, + "EMIOENET3GMIICRS": { + "hide_name": 0, + "bits": [ 4310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32887.11-32887.27" + } + }, + "EMIOENET3GMIIRXCLK": { + "hide_name": 0, + "bits": [ 4311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32888.11-32888.29" + } + }, + "EMIOENET3GMIIRXD": { + "hide_name": 0, + "bits": [ 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32889.17-32889.33" + } + }, + "EMIOENET3GMIIRXDV": { + "hide_name": 0, + "bits": [ 4320 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32890.11-32890.28" + } + }, + "EMIOENET3GMIIRXER": { + "hide_name": 0, + "bits": [ 4321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32891.11-32891.28" + } + }, + "EMIOENET3GMIITXCLK": { + "hide_name": 0, + "bits": [ 4322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32892.11-32892.29" + } + }, + "EMIOENET3GMIITXD": { + "hide_name": 0, + "bits": [ 441, 442, 443, 444, 445, 446, 447, 448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32388.18-32388.34" + } + }, + "EMIOENET3GMIITXEN": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32389.12-32389.29" + } + }, + "EMIOENET3GMIITXER": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32390.12-32390.29" + } + }, + "EMIOENET3MDIOI": { + "hide_name": 0, + "bits": [ 4323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32893.11-32893.25" + } + }, + "EMIOENET3MDIOMDC": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32391.12-32391.28" + } + }, + "EMIOENET3MDIOO": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32392.12-32392.26" + } + }, + "EMIOENET3MDIOTN": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32393.12-32393.27" + } + }, + "EMIOENET3RXWDATA": { + "hide_name": 0, + "bits": [ 454, 455, 456, 457, 458, 459, 460, 461 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32394.18-32394.34" + } + }, + "EMIOENET3RXWEOP": { + "hide_name": 0, + "bits": [ 462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32395.12-32395.27" + } + }, + "EMIOENET3RXWERR": { + "hide_name": 0, + "bits": [ 463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32396.12-32396.27" + } + }, + "EMIOENET3RXWFLUSH": { + "hide_name": 0, + "bits": [ 464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32397.12-32397.29" + } + }, + "EMIOENET3RXWOVERFLOW": { + "hide_name": 0, + "bits": [ 4324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32894.11-32894.31" + } + }, + "EMIOENET3RXWSOP": { + "hide_name": 0, + "bits": [ 465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32398.12-32398.27" + } + }, + "EMIOENET3RXWSTATUS": { + "hide_name": 0, + "bits": [ 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32399.19-32399.37" + } + }, + "EMIOENET3RXWWR": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32400.12-32400.26" + } + }, + "EMIOENET3SPEEDMODE": { + "hide_name": 0, + "bits": [ 512, 513, 514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32401.18-32401.36" + } + }, + "EMIOENET3TXRCONTROL": { + "hide_name": 0, + "bits": [ 4325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32895.11-32895.30" + } + }, + "EMIOENET3TXRDATA": { + "hide_name": 0, + "bits": [ 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32896.17-32896.33" + } + }, + "EMIOENET3TXRDATARDY": { + "hide_name": 0, + "bits": [ 4334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32897.11-32897.30" + } + }, + "EMIOENET3TXREOP": { + "hide_name": 0, + "bits": [ 4335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32898.11-32898.26" + } + }, + "EMIOENET3TXRERR": { + "hide_name": 0, + "bits": [ 4336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32899.11-32899.26" + } + }, + "EMIOENET3TXRFLUSHED": { + "hide_name": 0, + "bits": [ 4337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32900.11-32900.30" + } + }, + "EMIOENET3TXRRD": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32402.12-32402.26" + } + }, + "EMIOENET3TXRSOP": { + "hide_name": 0, + "bits": [ 4338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32901.11-32901.26" + } + }, + "EMIOENET3TXRSTATUS": { + "hide_name": 0, + "bits": [ 516, 517, 518, 519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32403.18-32403.36" + } + }, + "EMIOENET3TXRUNDERFLOW": { + "hide_name": 0, + "bits": [ 4339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32902.11-32902.32" + } + }, + "EMIOENET3TXRVALID": { + "hide_name": 0, + "bits": [ 4340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32903.11-32903.28" + } + }, + "EMIOENETTSUCLK": { + "hide_name": 0, + "bits": [ 4341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32904.11-32904.25" + } + }, + "EMIOGEM0DELAYREQRX": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32404.12-32404.30" + } + }, + "EMIOGEM0DELAYREQTX": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32405.12-32405.30" + } + }, + "EMIOGEM0PDELAYREQRX": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32406.12-32406.31" + } + }, + "EMIOGEM0PDELAYREQTX": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32407.12-32407.31" + } + }, + "EMIOGEM0PDELAYRESPRX": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32408.12-32408.32" + } + }, + "EMIOGEM0PDELAYRESPTX": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32409.12-32409.32" + } + }, + "EMIOGEM0RXSOF": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32410.12-32410.25" + } + }, + "EMIOGEM0SYNCFRAMERX": { + "hide_name": 0, + "bits": [ 527 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32411.12-32411.31" + } + }, + "EMIOGEM0SYNCFRAMETX": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32412.12-32412.31" + } + }, + "EMIOGEM0TSUINCCTRL": { + "hide_name": 0, + "bits": [ 4342, 4343 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32905.17-32905.35" + } + }, + "EMIOGEM0TSUTIMERCMPVAL": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32413.12-32413.34" + } + }, + "EMIOGEM0TXRFIXEDLAT": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32414.12-32414.31" + } + }, + "EMIOGEM0TXSOF": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32415.12-32415.25" + } + }, + "EMIOGEM1DELAYREQRX": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32416.12-32416.30" + } + }, + "EMIOGEM1DELAYREQTX": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32417.12-32417.30" + } + }, + "EMIOGEM1PDELAYREQRX": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32418.12-32418.31" + } + }, + "EMIOGEM1PDELAYREQTX": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32419.12-32419.31" + } + }, + "EMIOGEM1PDELAYRESPRX": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32420.12-32420.32" + } + }, + "EMIOGEM1PDELAYRESPTX": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32421.12-32421.32" + } + }, + "EMIOGEM1RXSOF": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32422.12-32422.25" + } + }, + "EMIOGEM1SYNCFRAMERX": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32423.12-32423.31" + } + }, + "EMIOGEM1SYNCFRAMETX": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32424.12-32424.31" + } + }, + "EMIOGEM1TSUINCCTRL": { + "hide_name": 0, + "bits": [ 4344, 4345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32906.17-32906.35" + } + }, + "EMIOGEM1TSUTIMERCMPVAL": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32425.12-32425.34" + } + }, + "EMIOGEM1TXRFIXEDLAT": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32426.12-32426.31" + } + }, + "EMIOGEM1TXSOF": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32427.12-32427.25" + } + }, + "EMIOGEM2DELAYREQRX": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32428.12-32428.30" + } + }, + "EMIOGEM2DELAYREQTX": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32429.12-32429.30" + } + }, + "EMIOGEM2PDELAYREQRX": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32430.12-32430.31" + } + }, + "EMIOGEM2PDELAYREQTX": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32431.12-32431.31" + } + }, + "EMIOGEM2PDELAYRESPRX": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32432.12-32432.32" + } + }, + "EMIOGEM2PDELAYRESPTX": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32433.12-32433.32" + } + }, + "EMIOGEM2RXSOF": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32434.12-32434.25" + } + }, + "EMIOGEM2SYNCFRAMERX": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32435.12-32435.31" + } + }, + "EMIOGEM2SYNCFRAMETX": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32436.12-32436.31" + } + }, + "EMIOGEM2TSUINCCTRL": { + "hide_name": 0, + "bits": [ 4346, 4347 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32907.17-32907.35" + } + }, + "EMIOGEM2TSUTIMERCMPVAL": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32437.12-32437.34" + } + }, + "EMIOGEM2TXRFIXEDLAT": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32438.12-32438.31" + } + }, + "EMIOGEM2TXSOF": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32439.12-32439.25" + } + }, + "EMIOGEM3DELAYREQRX": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32440.12-32440.30" + } + }, + "EMIOGEM3DELAYREQTX": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32441.12-32441.30" + } + }, + "EMIOGEM3PDELAYREQRX": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32442.12-32442.31" + } + }, + "EMIOGEM3PDELAYREQTX": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32443.12-32443.31" + } + }, + "EMIOGEM3PDELAYRESPRX": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32444.12-32444.32" + } + }, + "EMIOGEM3PDELAYRESPTX": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32445.12-32445.32" + } + }, + "EMIOGEM3RXSOF": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32446.12-32446.25" + } + }, + "EMIOGEM3SYNCFRAMERX": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32447.12-32447.31" + } + }, + "EMIOGEM3SYNCFRAMETX": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32448.12-32448.31" + } + }, + "EMIOGEM3TSUINCCTRL": { + "hide_name": 0, + "bits": [ 4348, 4349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32908.17-32908.35" + } + }, + "EMIOGEM3TSUTIMERCMPVAL": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32449.12-32449.34" + } + }, + "EMIOGEM3TXRFIXEDLAT": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32450.12-32450.31" + } + }, + "EMIOGEM3TXSOF": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32451.12-32451.25" + } + }, + "EMIOGPIOI": { + "hide_name": 0, + "bits": [ 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32909.18-32909.27" + } + }, + "EMIOGPIOO": { + "hide_name": 0, + "bits": [ 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32452.19-32452.28" + } + }, + "EMIOGPIOTN": { + "hide_name": 0, + "bits": [ 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32453.19-32453.29" + } + }, + "EMIOHUBPORTOVERCRNTUSB20": { + "hide_name": 0, + "bits": [ 4446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32910.11-32910.35" + } + }, + "EMIOHUBPORTOVERCRNTUSB21": { + "hide_name": 0, + "bits": [ 4447 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32911.11-32911.35" + } + }, + "EMIOHUBPORTOVERCRNTUSB30": { + "hide_name": 0, + "bits": [ 4448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32912.11-32912.35" + } + }, + "EMIOHUBPORTOVERCRNTUSB31": { + "hide_name": 0, + "bits": [ 4449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32913.11-32913.35" + } + }, + "EMIOI2C0SCLI": { + "hide_name": 0, + "bits": [ 4450 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32914.11-32914.23" + } + }, + "EMIOI2C0SCLO": { + "hide_name": 0, + "bits": [ 760 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32454.12-32454.24" + } + }, + "EMIOI2C0SCLTN": { + "hide_name": 0, + "bits": [ 761 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32455.12-32455.25" + } + }, + "EMIOI2C0SDAI": { + "hide_name": 0, + "bits": [ 4451 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32915.11-32915.23" + } + }, + "EMIOI2C0SDAO": { + "hide_name": 0, + "bits": [ 762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32456.12-32456.24" + } + }, + "EMIOI2C0SDATN": { + "hide_name": 0, + "bits": [ 763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32457.12-32457.25" + } + }, + "EMIOI2C1SCLI": { + "hide_name": 0, + "bits": [ 4452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32916.11-32916.23" + } + }, + "EMIOI2C1SCLO": { + "hide_name": 0, + "bits": [ 764 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32458.12-32458.24" + } + }, + "EMIOI2C1SCLTN": { + "hide_name": 0, + "bits": [ 765 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32459.12-32459.25" + } + }, + "EMIOI2C1SDAI": { + "hide_name": 0, + "bits": [ 4453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32917.11-32917.23" + } + }, + "EMIOI2C1SDAO": { + "hide_name": 0, + "bits": [ 766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32460.12-32460.24" + } + }, + "EMIOI2C1SDATN": { + "hide_name": 0, + "bits": [ 767 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32461.12-32461.25" + } + }, + "EMIOSDIO0BUSPOWER": { + "hide_name": 0, + "bits": [ 768 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32462.12-32462.29" + } + }, + "EMIOSDIO0BUSVOLT": { + "hide_name": 0, + "bits": [ 769, 770, 771 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32463.18-32463.34" + } + }, + "EMIOSDIO0CDN": { + "hide_name": 0, + "bits": [ 4454 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32918.11-32918.23" + } + }, + "EMIOSDIO0CLKOUT": { + "hide_name": 0, + "bits": [ 772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32464.12-32464.27" + } + }, + "EMIOSDIO0CMDENA": { + "hide_name": 0, + "bits": [ 773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32465.12-32465.27" + } + }, + "EMIOSDIO0CMDIN": { + "hide_name": 0, + "bits": [ 4455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32919.11-32919.25" + } + }, + "EMIOSDIO0CMDOUT": { + "hide_name": 0, + "bits": [ 774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32466.12-32466.27" + } + }, + "EMIOSDIO0DATAENA": { + "hide_name": 0, + "bits": [ 775, 776, 777, 778, 779, 780, 781, 782 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32467.18-32467.34" + } + }, + "EMIOSDIO0DATAIN": { + "hide_name": 0, + "bits": [ 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32920.17-32920.32" + } + }, + "EMIOSDIO0DATAOUT": { + "hide_name": 0, + "bits": [ 783, 784, 785, 786, 787, 788, 789, 790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32468.18-32468.34" + } + }, + "EMIOSDIO0FBCLKIN": { + "hide_name": 0, + "bits": [ 4464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32921.11-32921.27" + } + }, + "EMIOSDIO0LEDCONTROL": { + "hide_name": 0, + "bits": [ 791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32469.12-32469.31" + } + }, + "EMIOSDIO0WP": { + "hide_name": 0, + "bits": [ 4465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32922.11-32922.22" + } + }, + "EMIOSDIO1BUSPOWER": { + "hide_name": 0, + "bits": [ 792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32470.12-32470.29" + } + }, + "EMIOSDIO1BUSVOLT": { + "hide_name": 0, + "bits": [ 793, 794, 795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32471.18-32471.34" + } + }, + "EMIOSDIO1CDN": { + "hide_name": 0, + "bits": [ 4466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32923.11-32923.23" + } + }, + "EMIOSDIO1CLKOUT": { + "hide_name": 0, + "bits": [ 796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32472.12-32472.27" + } + }, + "EMIOSDIO1CMDENA": { + "hide_name": 0, + "bits": [ 797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32473.12-32473.27" + } + }, + "EMIOSDIO1CMDIN": { + "hide_name": 0, + "bits": [ 4467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32924.11-32924.25" + } + }, + "EMIOSDIO1CMDOUT": { + "hide_name": 0, + "bits": [ 798 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32474.12-32474.27" + } + }, + "EMIOSDIO1DATAENA": { + "hide_name": 0, + "bits": [ 799, 800, 801, 802, 803, 804, 805, 806 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32475.18-32475.34" + } + }, + "EMIOSDIO1DATAIN": { + "hide_name": 0, + "bits": [ 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32925.17-32925.32" + } + }, + "EMIOSDIO1DATAOUT": { + "hide_name": 0, + "bits": [ 807, 808, 809, 810, 811, 812, 813, 814 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32476.18-32476.34" + } + }, + "EMIOSDIO1FBCLKIN": { + "hide_name": 0, + "bits": [ 4476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32926.11-32926.27" + } + }, + "EMIOSDIO1LEDCONTROL": { + "hide_name": 0, + "bits": [ 815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32477.12-32477.31" + } + }, + "EMIOSDIO1WP": { + "hide_name": 0, + "bits": [ 4477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32927.11-32927.22" + } + }, + "EMIOSPI0MI": { + "hide_name": 0, + "bits": [ 4478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32928.11-32928.21" + } + }, + "EMIOSPI0MO": { + "hide_name": 0, + "bits": [ 816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32478.12-32478.22" + } + }, + "EMIOSPI0MOTN": { + "hide_name": 0, + "bits": [ 817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32479.12-32479.24" + } + }, + "EMIOSPI0SCLKI": { + "hide_name": 0, + "bits": [ 4479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32929.11-32929.24" + } + }, + "EMIOSPI0SCLKO": { + "hide_name": 0, + "bits": [ 818 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32480.12-32480.25" + } + }, + "EMIOSPI0SCLKTN": { + "hide_name": 0, + "bits": [ 819 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32481.12-32481.26" + } + }, + "EMIOSPI0SI": { + "hide_name": 0, + "bits": [ 4480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32930.11-32930.21" + } + }, + "EMIOSPI0SO": { + "hide_name": 0, + "bits": [ 820 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32482.12-32482.22" + } + }, + "EMIOSPI0SSIN": { + "hide_name": 0, + "bits": [ 4481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32931.11-32931.23" + } + }, + "EMIOSPI0SSNTN": { + "hide_name": 0, + "bits": [ 821 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32483.12-32483.25" + } + }, + "EMIOSPI0SSON": { + "hide_name": 0, + "bits": [ 822, 823, 824 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32484.18-32484.30" + } + }, + "EMIOSPI0STN": { + "hide_name": 0, + "bits": [ 825 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32485.12-32485.23" + } + }, + "EMIOSPI1MI": { + "hide_name": 0, + "bits": [ 4482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32932.11-32932.21" + } + }, + "EMIOSPI1MO": { + "hide_name": 0, + "bits": [ 826 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32486.12-32486.22" + } + }, + "EMIOSPI1MOTN": { + "hide_name": 0, + "bits": [ 827 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32487.12-32487.24" + } + }, + "EMIOSPI1SCLKI": { + "hide_name": 0, + "bits": [ 4483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32933.11-32933.24" + } + }, + "EMIOSPI1SCLKO": { + "hide_name": 0, + "bits": [ 828 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32488.12-32488.25" + } + }, + "EMIOSPI1SCLKTN": { + "hide_name": 0, + "bits": [ 829 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32489.12-32489.26" + } + }, + "EMIOSPI1SI": { + "hide_name": 0, + "bits": [ 4484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32934.11-32934.21" + } + }, + "EMIOSPI1SO": { + "hide_name": 0, + "bits": [ 830 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32490.12-32490.22" + } + }, + "EMIOSPI1SSIN": { + "hide_name": 0, + "bits": [ 4485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32935.11-32935.23" + } + }, + "EMIOSPI1SSNTN": { + "hide_name": 0, + "bits": [ 831 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32491.12-32491.25" + } + }, + "EMIOSPI1SSON": { + "hide_name": 0, + "bits": [ 832, 833, 834 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32492.18-32492.30" + } + }, + "EMIOSPI1STN": { + "hide_name": 0, + "bits": [ 835 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32493.12-32493.23" + } + }, + "EMIOTTC0CLKI": { + "hide_name": 0, + "bits": [ 4486, 4487, 4488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32936.17-32936.29" + } + }, + "EMIOTTC0WAVEO": { + "hide_name": 0, + "bits": [ 836, 837, 838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32494.18-32494.31" + } + }, + "EMIOTTC1CLKI": { + "hide_name": 0, + "bits": [ 4489, 4490, 4491 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32937.17-32937.29" + } + }, + "EMIOTTC1WAVEO": { + "hide_name": 0, + "bits": [ 839, 840, 841 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32495.18-32495.31" + } + }, + "EMIOTTC2CLKI": { + "hide_name": 0, + "bits": [ 4492, 4493, 4494 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32938.17-32938.29" + } + }, + "EMIOTTC2WAVEO": { + "hide_name": 0, + "bits": [ 842, 843, 844 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32496.18-32496.31" + } + }, + "EMIOTTC3CLKI": { + "hide_name": 0, + "bits": [ 4495, 4496, 4497 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32939.17-32939.29" + } + }, + "EMIOTTC3WAVEO": { + "hide_name": 0, + "bits": [ 845, 846, 847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32497.18-32497.31" + } + }, + "EMIOU2DSPORTVBUSCTRLUSB30": { + "hide_name": 0, + "bits": [ 848 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32498.12-32498.37" + } + }, + "EMIOU2DSPORTVBUSCTRLUSB31": { + "hide_name": 0, + "bits": [ 849 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32499.12-32499.37" + } + }, + "EMIOU3DSPORTVBUSCTRLUSB30": { + "hide_name": 0, + "bits": [ 850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32500.12-32500.37" + } + }, + "EMIOU3DSPORTVBUSCTRLUSB31": { + "hide_name": 0, + "bits": [ 851 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32501.12-32501.37" + } + }, + "EMIOUART0CTSN": { + "hide_name": 0, + "bits": [ 4498 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32940.11-32940.24" + } + }, + "EMIOUART0DCDN": { + "hide_name": 0, + "bits": [ 4499 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32941.11-32941.24" + } + }, + "EMIOUART0DSRN": { + "hide_name": 0, + "bits": [ 4500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32942.11-32942.24" + } + }, + "EMIOUART0DTRN": { + "hide_name": 0, + "bits": [ 852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32502.12-32502.25" + } + }, + "EMIOUART0RIN": { + "hide_name": 0, + "bits": [ 4501 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32943.11-32943.23" + } + }, + "EMIOUART0RTSN": { + "hide_name": 0, + "bits": [ 853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32503.12-32503.25" + } + }, + "EMIOUART0RX": { + "hide_name": 0, + "bits": [ 4502 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32944.11-32944.22" + } + }, + "EMIOUART0TX": { + "hide_name": 0, + "bits": [ 854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32504.12-32504.23" + } + }, + "EMIOUART1CTSN": { + "hide_name": 0, + "bits": [ 4503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32945.11-32945.24" + } + }, + "EMIOUART1DCDN": { + "hide_name": 0, + "bits": [ 4504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32946.11-32946.24" + } + }, + "EMIOUART1DSRN": { + "hide_name": 0, + "bits": [ 4505 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32947.11-32947.24" + } + }, + "EMIOUART1DTRN": { + "hide_name": 0, + "bits": [ 855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32505.12-32505.25" + } + }, + "EMIOUART1RIN": { + "hide_name": 0, + "bits": [ 4506 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32948.11-32948.23" + } + }, + "EMIOUART1RTSN": { + "hide_name": 0, + "bits": [ 856 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32506.12-32506.25" + } + }, + "EMIOUART1RX": { + "hide_name": 0, + "bits": [ 4507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32949.11-32949.22" + } + }, + "EMIOUART1TX": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32507.12-32507.23" + } + }, + "EMIOWDT0CLKI": { + "hide_name": 0, + "bits": [ 4508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32950.11-32950.23" + } + }, + "EMIOWDT0RSTO": { + "hide_name": 0, + "bits": [ 858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32508.12-32508.24" + } + }, + "EMIOWDT1CLKI": { + "hide_name": 0, + "bits": [ 4509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32951.11-32951.23" + } + }, + "EMIOWDT1RSTO": { + "hide_name": 0, + "bits": [ 859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32509.12-32509.24" + } + }, + "FMIOGEM0FIFORXCLKFROMPL": { + "hide_name": 0, + "bits": [ 4510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32952.11-32952.34" + } + }, + "FMIOGEM0FIFORXCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 860 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32510.12-32510.37" + } + }, + "FMIOGEM0FIFOTXCLKFROMPL": { + "hide_name": 0, + "bits": [ 4511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32953.11-32953.34" + } + }, + "FMIOGEM0FIFOTXCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 861 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32511.12-32511.37" + } + }, + "FMIOGEM0SIGNALDETECT": { + "hide_name": 0, + "bits": [ 4512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32954.11-32954.31" + } + }, + "FMIOGEM1FIFORXCLKFROMPL": { + "hide_name": 0, + "bits": [ 4513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32955.11-32955.34" + } + }, + "FMIOGEM1FIFORXCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 862 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32512.12-32512.37" + } + }, + "FMIOGEM1FIFOTXCLKFROMPL": { + "hide_name": 0, + "bits": [ 4514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32956.11-32956.34" + } + }, + "FMIOGEM1FIFOTXCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32513.12-32513.37" + } + }, + "FMIOGEM1SIGNALDETECT": { + "hide_name": 0, + "bits": [ 4515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32957.11-32957.31" + } + }, + "FMIOGEM2FIFORXCLKFROMPL": { + "hide_name": 0, + "bits": [ 4516 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32958.11-32958.34" + } + }, + "FMIOGEM2FIFORXCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 864 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32514.12-32514.37" + } + }, + "FMIOGEM2FIFOTXCLKFROMPL": { + "hide_name": 0, + "bits": [ 4517 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32959.11-32959.34" + } + }, + "FMIOGEM2FIFOTXCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32515.12-32515.37" + } + }, + "FMIOGEM2SIGNALDETECT": { + "hide_name": 0, + "bits": [ 4518 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32960.11-32960.31" + } + }, + "FMIOGEM3FIFORXCLKFROMPL": { + "hide_name": 0, + "bits": [ 4519 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32961.11-32961.34" + } + }, + "FMIOGEM3FIFORXCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 866 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32516.12-32516.37" + } + }, + "FMIOGEM3FIFOTXCLKFROMPL": { + "hide_name": 0, + "bits": [ 4520 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32962.11-32962.34" + } + }, + "FMIOGEM3FIFOTXCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32517.12-32517.37" + } + }, + "FMIOGEM3SIGNALDETECT": { + "hide_name": 0, + "bits": [ 4521 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32963.11-32963.31" + } + }, + "FMIOGEMTSUCLKFROMPL": { + "hide_name": 0, + "bits": [ 4522 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32964.11-32964.30" + } + }, + "FMIOGEMTSUCLKTOPLBUFG": { + "hide_name": 0, + "bits": [ 868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32518.12-32518.33" + } + }, + "FTMGPI": { + "hide_name": 0, + "bits": [ 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32965.18-32965.24" + } + }, + "FTMGPO": { + "hide_name": 0, + "bits": [ 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32519.19-32519.25" + } + }, + "GDMA2PLCACK": { + "hide_name": 0, + "bits": [ 901, 902, 903, 904, 905, 906, 907, 908 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32520.18-32520.29" + } + }, + "GDMA2PLTVLD": { + "hide_name": 0, + "bits": [ 909, 910, 911, 912, 913, 914, 915, 916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32521.18-32521.29" + } + }, + "GDMAFCICLK": { + "hide_name": 0, + "bits": [ 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32966.17-32966.27" + } + }, + "MAXIGP0ACLK": { + "hide_name": 0, + "bits": [ 4563 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32967.11-32967.22" + } + }, + "MAXIGP0ARADDR": { + "hide_name": 0, + "bits": [ 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32522.19-32522.32" + } + }, + "MAXIGP0ARBURST": { + "hide_name": 0, + "bits": [ 957, 958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32523.18-32523.32" + } + }, + "MAXIGP0ARCACHE": { + "hide_name": 0, + "bits": [ 959, 960, 961, 962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32524.18-32524.32" + } + }, + "MAXIGP0ARID": { + "hide_name": 0, + "bits": [ 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32525.19-32525.30" + } + }, + "MAXIGP0ARLEN": { + "hide_name": 0, + "bits": [ 979, 980, 981, 982, 983, 984, 985, 986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32526.18-32526.30" + } + }, + "MAXIGP0ARLOCK": { + "hide_name": 0, + "bits": [ 987 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32527.12-32527.25" + } + }, + "MAXIGP0ARPROT": { + "hide_name": 0, + "bits": [ 988, 989, 990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32528.18-32528.31" + } + }, + "MAXIGP0ARQOS": { + "hide_name": 0, + "bits": [ 991, 992, 993, 994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32529.18-32529.30" + } + }, + "MAXIGP0ARREADY": { + "hide_name": 0, + "bits": [ 4564 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32968.11-32968.25" + } + }, + "MAXIGP0ARSIZE": { + "hide_name": 0, + "bits": [ 995, 996, 997 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32530.18-32530.31" + } + }, + "MAXIGP0ARUSER": { + "hide_name": 0, + "bits": [ 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32531.19-32531.32" + } + }, + "MAXIGP0ARVALID": { + "hide_name": 0, + "bits": [ 1014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32532.12-32532.26" + } + }, + "MAXIGP0AWADDR": { + "hide_name": 0, + "bits": [ 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32533.19-32533.32" + } + }, + "MAXIGP0AWBURST": { + "hide_name": 0, + "bits": [ 1055, 1056 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32534.18-32534.32" + } + }, + "MAXIGP0AWCACHE": { + "hide_name": 0, + "bits": [ 1057, 1058, 1059, 1060 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32535.18-32535.32" + } + }, + "MAXIGP0AWID": { + "hide_name": 0, + "bits": [ 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32536.19-32536.30" + } + }, + "MAXIGP0AWLEN": { + "hide_name": 0, + "bits": [ 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32537.18-32537.30" + } + }, + "MAXIGP0AWLOCK": { + "hide_name": 0, + "bits": [ 1085 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32538.12-32538.25" + } + }, + "MAXIGP0AWPROT": { + "hide_name": 0, + "bits": [ 1086, 1087, 1088 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32539.18-32539.31" + } + }, + "MAXIGP0AWQOS": { + "hide_name": 0, + "bits": [ 1089, 1090, 1091, 1092 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32540.18-32540.30" + } + }, + "MAXIGP0AWREADY": { + "hide_name": 0, + "bits": [ 4565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32969.11-32969.25" + } + }, + "MAXIGP0AWSIZE": { + "hide_name": 0, + "bits": [ 1093, 1094, 1095 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32541.18-32541.31" + } + }, + "MAXIGP0AWUSER": { + "hide_name": 0, + "bits": [ 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32542.19-32542.32" + } + }, + "MAXIGP0AWVALID": { + "hide_name": 0, + "bits": [ 1112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32543.12-32543.26" + } + }, + "MAXIGP0BID": { + "hide_name": 0, + "bits": [ 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32970.18-32970.28" + } + }, + "MAXIGP0BREADY": { + "hide_name": 0, + "bits": [ 1113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32544.12-32544.25" + } + }, + "MAXIGP0BRESP": { + "hide_name": 0, + "bits": [ 4582, 4583 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32971.17-32971.29" + } + }, + "MAXIGP0BVALID": { + "hide_name": 0, + "bits": [ 4584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32972.11-32972.24" + } + }, + "MAXIGP0RDATA": { + "hide_name": 0, + "bits": [ 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32973.19-32973.31" + } + }, + "MAXIGP0RID": { + "hide_name": 0, + "bits": [ 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32974.18-32974.28" + } + }, + "MAXIGP0RLAST": { + "hide_name": 0, + "bits": [ 4729 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32975.11-32975.23" + } + }, + "MAXIGP0RREADY": { + "hide_name": 0, + "bits": [ 1114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32545.12-32545.25" + } + }, + "MAXIGP0RRESP": { + "hide_name": 0, + "bits": [ 4730, 4731 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32976.17-32976.29" + } + }, + "MAXIGP0RVALID": { + "hide_name": 0, + "bits": [ 4732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32977.11-32977.24" + } + }, + "MAXIGP0WDATA": { + "hide_name": 0, + "bits": [ 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32546.20-32546.32" + } + }, + "MAXIGP0WLAST": { + "hide_name": 0, + "bits": [ 1243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32547.12-32547.24" + } + }, + "MAXIGP0WREADY": { + "hide_name": 0, + "bits": [ 4733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32978.11-32978.24" + } + }, + "MAXIGP0WSTRB": { + "hide_name": 0, + "bits": [ 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32548.19-32548.31" + } + }, + "MAXIGP0WVALID": { + "hide_name": 0, + "bits": [ 1260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32549.12-32549.25" + } + }, + "MAXIGP1ACLK": { + "hide_name": 0, + "bits": [ 4734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32979.11-32979.22" + } + }, + "MAXIGP1ARADDR": { + "hide_name": 0, + "bits": [ 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32550.19-32550.32" + } + }, + "MAXIGP1ARBURST": { + "hide_name": 0, + "bits": [ 1301, 1302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32551.18-32551.32" + } + }, + "MAXIGP1ARCACHE": { + "hide_name": 0, + "bits": [ 1303, 1304, 1305, 1306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32552.18-32552.32" + } + }, + "MAXIGP1ARID": { + "hide_name": 0, + "bits": [ 1307, 1308, 1309, 1310, 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32553.19-32553.30" + } + }, + "MAXIGP1ARLEN": { + "hide_name": 0, + "bits": [ 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32554.18-32554.30" + } + }, + "MAXIGP1ARLOCK": { + "hide_name": 0, + "bits": [ 1331 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32555.12-32555.25" + } + }, + "MAXIGP1ARPROT": { + "hide_name": 0, + "bits": [ 1332, 1333, 1334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32556.18-32556.31" + } + }, + "MAXIGP1ARQOS": { + "hide_name": 0, + "bits": [ 1335, 1336, 1337, 1338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32557.18-32557.30" + } + }, + "MAXIGP1ARREADY": { + "hide_name": 0, + "bits": [ 4735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32980.11-32980.25" + } + }, + "MAXIGP1ARSIZE": { + "hide_name": 0, + "bits": [ 1339, 1340, 1341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32558.18-32558.31" + } + }, + "MAXIGP1ARUSER": { + "hide_name": 0, + "bits": [ 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32559.19-32559.32" + } + }, + "MAXIGP1ARVALID": { + "hide_name": 0, + "bits": [ 1358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32560.12-32560.26" + } + }, + "MAXIGP1AWADDR": { + "hide_name": 0, + "bits": [ 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32561.19-32561.32" + } + }, + "MAXIGP1AWBURST": { + "hide_name": 0, + "bits": [ 1399, 1400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32562.18-32562.32" + } + }, + "MAXIGP1AWCACHE": { + "hide_name": 0, + "bits": [ 1401, 1402, 1403, 1404 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32563.18-32563.32" + } + }, + "MAXIGP1AWID": { + "hide_name": 0, + "bits": [ 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32564.19-32564.30" + } + }, + "MAXIGP1AWLEN": { + "hide_name": 0, + "bits": [ 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32565.18-32565.30" + } + }, + "MAXIGP1AWLOCK": { + "hide_name": 0, + "bits": [ 1429 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32566.12-32566.25" + } + }, + "MAXIGP1AWPROT": { + "hide_name": 0, + "bits": [ 1430, 1431, 1432 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32567.18-32567.31" + } + }, + "MAXIGP1AWQOS": { + "hide_name": 0, + "bits": [ 1433, 1434, 1435, 1436 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32568.18-32568.30" + } + }, + "MAXIGP1AWREADY": { + "hide_name": 0, + "bits": [ 4736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32981.11-32981.25" + } + }, + "MAXIGP1AWSIZE": { + "hide_name": 0, + "bits": [ 1437, 1438, 1439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32569.18-32569.31" + } + }, + "MAXIGP1AWUSER": { + "hide_name": 0, + "bits": [ 1440, 1441, 1442, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32570.19-32570.32" + } + }, + "MAXIGP1AWVALID": { + "hide_name": 0, + "bits": [ 1456 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32571.12-32571.26" + } + }, + "MAXIGP1BID": { + "hide_name": 0, + "bits": [ 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32982.18-32982.28" + } + }, + "MAXIGP1BREADY": { + "hide_name": 0, + "bits": [ 1457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32572.12-32572.25" + } + }, + "MAXIGP1BRESP": { + "hide_name": 0, + "bits": [ 4753, 4754 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32983.17-32983.29" + } + }, + "MAXIGP1BVALID": { + "hide_name": 0, + "bits": [ 4755 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32984.11-32984.24" + } + }, + "MAXIGP1RDATA": { + "hide_name": 0, + "bits": [ 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32985.19-32985.31" + } + }, + "MAXIGP1RID": { + "hide_name": 0, + "bits": [ 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32986.18-32986.28" + } + }, + "MAXIGP1RLAST": { + "hide_name": 0, + "bits": [ 4900 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32987.11-32987.23" + } + }, + "MAXIGP1RREADY": { + "hide_name": 0, + "bits": [ 1458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32573.12-32573.25" + } + }, + "MAXIGP1RRESP": { + "hide_name": 0, + "bits": [ 4901, 4902 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32988.17-32988.29" + } + }, + "MAXIGP1RVALID": { + "hide_name": 0, + "bits": [ 4903 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32989.11-32989.24" + } + }, + "MAXIGP1WDATA": { + "hide_name": 0, + "bits": [ 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32574.20-32574.32" + } + }, + "MAXIGP1WLAST": { + "hide_name": 0, + "bits": [ 1587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32575.12-32575.24" + } + }, + "MAXIGP1WREADY": { + "hide_name": 0, + "bits": [ 4904 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32990.11-32990.24" + } + }, + "MAXIGP1WSTRB": { + "hide_name": 0, + "bits": [ 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32576.19-32576.31" + } + }, + "MAXIGP1WVALID": { + "hide_name": 0, + "bits": [ 1604 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32577.12-32577.25" + } + }, + "MAXIGP2ACLK": { + "hide_name": 0, + "bits": [ 4905 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32991.11-32991.22" + } + }, + "MAXIGP2ARADDR": { + "hide_name": 0, + "bits": [ 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1628, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32578.19-32578.32" + } + }, + "MAXIGP2ARBURST": { + "hide_name": 0, + "bits": [ 1645, 1646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32579.18-32579.32" + } + }, + "MAXIGP2ARCACHE": { + "hide_name": 0, + "bits": [ 1647, 1648, 1649, 1650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32580.18-32580.32" + } + }, + "MAXIGP2ARID": { + "hide_name": 0, + "bits": [ 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32581.19-32581.30" + } + }, + "MAXIGP2ARLEN": { + "hide_name": 0, + "bits": [ 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32582.18-32582.30" + } + }, + "MAXIGP2ARLOCK": { + "hide_name": 0, + "bits": [ 1675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32583.12-32583.25" + } + }, + "MAXIGP2ARPROT": { + "hide_name": 0, + "bits": [ 1676, 1677, 1678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32584.18-32584.31" + } + }, + "MAXIGP2ARQOS": { + "hide_name": 0, + "bits": [ 1679, 1680, 1681, 1682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32585.18-32585.30" + } + }, + "MAXIGP2ARREADY": { + "hide_name": 0, + "bits": [ 4906 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32992.11-32992.25" + } + }, + "MAXIGP2ARSIZE": { + "hide_name": 0, + "bits": [ 1683, 1684, 1685 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32586.18-32586.31" + } + }, + "MAXIGP2ARUSER": { + "hide_name": 0, + "bits": [ 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1700, 1701 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32587.19-32587.32" + } + }, + "MAXIGP2ARVALID": { + "hide_name": 0, + "bits": [ 1702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32588.12-32588.26" + } + }, + "MAXIGP2AWADDR": { + "hide_name": 0, + "bits": [ 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1735, 1736, 1737, 1738, 1739, 1740, 1741, 1742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32589.19-32589.32" + } + }, + "MAXIGP2AWBURST": { + "hide_name": 0, + "bits": [ 1743, 1744 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32590.18-32590.32" + } + }, + "MAXIGP2AWCACHE": { + "hide_name": 0, + "bits": [ 1745, 1746, 1747, 1748 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32591.18-32591.32" + } + }, + "MAXIGP2AWID": { + "hide_name": 0, + "bits": [ 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32592.19-32592.30" + } + }, + "MAXIGP2AWLEN": { + "hide_name": 0, + "bits": [ 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32593.18-32593.30" + } + }, + "MAXIGP2AWLOCK": { + "hide_name": 0, + "bits": [ 1773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32594.12-32594.25" + } + }, + "MAXIGP2AWPROT": { + "hide_name": 0, + "bits": [ 1774, 1775, 1776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32595.18-32595.31" + } + }, + "MAXIGP2AWQOS": { + "hide_name": 0, + "bits": [ 1777, 1778, 1779, 1780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32596.18-32596.30" + } + }, + "MAXIGP2AWREADY": { + "hide_name": 0, + "bits": [ 4907 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32993.11-32993.25" + } + }, + "MAXIGP2AWSIZE": { + "hide_name": 0, + "bits": [ 1781, 1782, 1783 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32597.18-32597.31" + } + }, + "MAXIGP2AWUSER": { + "hide_name": 0, + "bits": [ 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32598.19-32598.32" + } + }, + "MAXIGP2AWVALID": { + "hide_name": 0, + "bits": [ 1800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32599.12-32599.26" + } + }, + "MAXIGP2BID": { + "hide_name": 0, + "bits": [ 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32994.18-32994.28" + } + }, + "MAXIGP2BREADY": { + "hide_name": 0, + "bits": [ 1801 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32600.12-32600.25" + } + }, + "MAXIGP2BRESP": { + "hide_name": 0, + "bits": [ 4924, 4925 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32995.17-32995.29" + } + }, + "MAXIGP2BVALID": { + "hide_name": 0, + "bits": [ 4926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32996.11-32996.24" + } + }, + "MAXIGP2RDATA": { + "hide_name": 0, + "bits": [ 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32997.19-32997.31" + } + }, + "MAXIGP2RID": { + "hide_name": 0, + "bits": [ 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069, 5070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32998.18-32998.28" + } + }, + "MAXIGP2RLAST": { + "hide_name": 0, + "bits": [ 5071 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32999.11-32999.23" + } + }, + "MAXIGP2RREADY": { + "hide_name": 0, + "bits": [ 1802 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32601.12-32601.25" + } + }, + "MAXIGP2RRESP": { + "hide_name": 0, + "bits": [ 5072, 5073 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33000.17-33000.29" + } + }, + "MAXIGP2RVALID": { + "hide_name": 0, + "bits": [ 5074 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33001.11-33001.24" + } + }, + "MAXIGP2WDATA": { + "hide_name": 0, + "bits": [ 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32602.20-32602.32" + } + }, + "MAXIGP2WLAST": { + "hide_name": 0, + "bits": [ 1931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32603.12-32603.24" + } + }, + "MAXIGP2WREADY": { + "hide_name": 0, + "bits": [ 5075 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33002.11-33002.24" + } + }, + "MAXIGP2WSTRB": { + "hide_name": 0, + "bits": [ 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32604.19-32604.31" + } + }, + "MAXIGP2WVALID": { + "hide_name": 0, + "bits": [ 1948 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32605.12-32605.25" + } + }, + "NFIQ0LPDRPU": { + "hide_name": 0, + "bits": [ 5076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33003.11-33003.22" + } + }, + "NFIQ1LPDRPU": { + "hide_name": 0, + "bits": [ 5077 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33004.11-33004.22" + } + }, + "NIRQ0LPDRPU": { + "hide_name": 0, + "bits": [ 5078 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33005.11-33005.22" + } + }, + "NIRQ1LPDRPU": { + "hide_name": 0, + "bits": [ 5079 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33006.11-33006.22" + } + }, + "OSCRTCCLK": { + "hide_name": 0, + "bits": [ 1949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32606.12-32606.21" + } + }, + "PL2ADMACVLD": { + "hide_name": 0, + "bits": [ 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33007.17-33007.28" + } + }, + "PL2ADMATACK": { + "hide_name": 0, + "bits": [ 5088, 5089, 5090, 5091, 5092, 5093, 5094, 5095 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33008.17-33008.28" + } + }, + "PL2GDMACVLD": { + "hide_name": 0, + "bits": [ 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33009.17-33009.28" + } + }, + "PL2GDMATACK": { + "hide_name": 0, + "bits": [ 5104, 5105, 5106, 5107, 5108, 5109, 5110, 5111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33010.17-33010.28" + } + }, + "PLACECLK": { + "hide_name": 0, + "bits": [ 5112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33011.11-33011.19" + } + }, + "PLACPINACT": { + "hide_name": 0, + "bits": [ 5113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33012.11-33012.21" + } + }, + "PLCLK": { + "hide_name": 0, + "bits": [ 1950, 1951, 1952, 1953 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32607.18-32607.23" + } + }, + "PLFPGASTOP": { + "hide_name": 0, + "bits": [ 5114, 5115, 5116, 5117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33013.17-33013.27" + } + }, + "PLLAUXREFCLKFPD": { + "hide_name": 0, + "bits": [ 5118, 5119, 5120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33014.17-33014.32" + } + }, + "PLLAUXREFCLKLPD": { + "hide_name": 0, + "bits": [ 5121, 5122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33015.17-33015.32" + } + }, + "PLPMUGPI": { + "hide_name": 0, + "bits": [ 5123, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 5150, 5151, 5152, 5153, 5154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33016.18-33016.26" + } + }, + "PLPSAPUGICFIQ": { + "hide_name": 0, + "bits": [ 5155, 5156, 5157, 5158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33017.17-33017.30" + } + }, + "PLPSAPUGICIRQ": { + "hide_name": 0, + "bits": [ 5159, 5160, 5161, 5162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33018.17-33018.30" + } + }, + "PLPSEVENTI": { + "hide_name": 0, + "bits": [ 5163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33019.11-33019.21" + } + }, + "PLPSIRQ0": { + "hide_name": 0, + "bits": [ 5164, 5165, 5166, 5167, 5168, 5169, 5170, 5171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33020.17-33020.25" + } + }, + "PLPSIRQ1": { + "hide_name": 0, + "bits": [ 5172, 5173, 5174, 5175, 5176, 5177, 5178, 5179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33021.17-33021.25" + } + }, + "PLPSTRACECLK": { + "hide_name": 0, + "bits": [ 5180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33022.11-33022.23" + } + }, + "PLPSTRIGACK": { + "hide_name": 0, + "bits": [ 5181, 5182, 5183, 5184 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33023.17-33023.28" + } + }, + "PLPSTRIGGER": { + "hide_name": 0, + "bits": [ 5185, 5186, 5187, 5188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33024.17-33024.28" + } + }, + "PMUAIBAFIFMFPDREQ": { + "hide_name": 0, + "bits": [ 1954 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32608.12-32608.29" + } + }, + "PMUAIBAFIFMLPDREQ": { + "hide_name": 0, + "bits": [ 1955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32609.12-32609.29" + } + }, + "PMUERRORFROMPL": { + "hide_name": 0, + "bits": [ 5189, 5190, 5191, 5192 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33025.17-33025.31" + } + }, + "PMUERRORTOPL": { + "hide_name": 0, + "bits": [ 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32610.19-32610.31" + } + }, + "PMUPLGPO": { + "hide_name": 0, + "bits": [ 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32611.19-32611.27" + } + }, + "PSPLEVENTO": { + "hide_name": 0, + "bits": [ 2035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32612.12-32612.22" + } + }, + "PSPLIRQFPD": { + "hide_name": 0, + "bits": [ 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32613.19-32613.29" + } + }, + "PSPLIRQLPD": { + "hide_name": 0, + "bits": [ 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32614.19-32614.29" + } + }, + "PSPLSTANDBYWFE": { + "hide_name": 0, + "bits": [ 2200, 2201, 2202, 2203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32615.18-32615.32" + } + }, + "PSPLSTANDBYWFI": { + "hide_name": 0, + "bits": [ 2204, 2205, 2206, 2207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32616.18-32616.32" + } + }, + "PSPLTRACECTL": { + "hide_name": 0, + "bits": [ 2208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32617.12-32617.24" + } + }, + "PSPLTRACEDATA": { + "hide_name": 0, + "bits": [ 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32618.19-32618.32" + } + }, + "PSPLTRIGACK": { + "hide_name": 0, + "bits": [ 2241, 2242, 2243, 2244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32619.18-32619.29" + } + }, + "PSPLTRIGGER": { + "hide_name": 0, + "bits": [ 2245, 2246, 2247, 2248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32620.18-32620.29" + } + }, + "PSS_ALTO_CORE_PAD_BOOTMODE": { + "hide_name": 0, + "bits": [ 3834, 3835, 3836, 3837 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32767.17-32767.43" + } + }, + "PSS_ALTO_CORE_PAD_CLK": { + "hide_name": 0, + "bits": [ 3838 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32768.11-32768.32" + } + }, + "PSS_ALTO_CORE_PAD_DONEB": { + "hide_name": 0, + "bits": [ 3839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32769.11-32769.34" + } + }, + "PSS_ALTO_CORE_PAD_DRAMA": { + "hide_name": 0, + "bits": [ 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32770.18-32770.41" + } + }, + "PSS_ALTO_CORE_PAD_DRAMACTN": { + "hide_name": 0, + "bits": [ 3858 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32771.11-32771.37" + } + }, + "PSS_ALTO_CORE_PAD_DRAMALERTN": { + "hide_name": 0, + "bits": [ 3859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32772.11-32772.39" + } + }, + "PSS_ALTO_CORE_PAD_DRAMBA": { + "hide_name": 0, + "bits": [ 3860, 3861 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32773.17-32773.41" + } + }, + "PSS_ALTO_CORE_PAD_DRAMBG": { + "hide_name": 0, + "bits": [ 3862, 3863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32774.17-32774.41" + } + }, + "PSS_ALTO_CORE_PAD_DRAMCK": { + "hide_name": 0, + "bits": [ 3864, 3865 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32775.17-32775.41" + } + }, + "PSS_ALTO_CORE_PAD_DRAMCKE": { + "hide_name": 0, + "bits": [ 3866, 3867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32776.17-32776.42" + } + }, + "PSS_ALTO_CORE_PAD_DRAMCKN": { + "hide_name": 0, + "bits": [ 3868, 3869 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32777.17-32777.42" + } + }, + "PSS_ALTO_CORE_PAD_DRAMCSN": { + "hide_name": 0, + "bits": [ 3870, 3871 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32778.17-32778.42" + } + }, + "PSS_ALTO_CORE_PAD_DRAMDM": { + "hide_name": 0, + "bits": [ 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32779.17-32779.41" + } + }, + "PSS_ALTO_CORE_PAD_DRAMDQ": { + "hide_name": 0, + "bits": [ 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32780.18-32780.42" + } + }, + "PSS_ALTO_CORE_PAD_DRAMDQS": { + "hide_name": 0, + "bits": [ 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32781.17-32781.42" + } + }, + "PSS_ALTO_CORE_PAD_DRAMDQSN": { + "hide_name": 0, + "bits": [ 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32782.17-32782.43" + } + }, + "PSS_ALTO_CORE_PAD_DRAMODT": { + "hide_name": 0, + "bits": [ 3971, 3972 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32783.17-32783.42" + } + }, + "PSS_ALTO_CORE_PAD_DRAMPARITY": { + "hide_name": 0, + "bits": [ 3973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32784.11-32784.39" + } + }, + "PSS_ALTO_CORE_PAD_DRAMRAMRSTN": { + "hide_name": 0, + "bits": [ 3974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32785.11-32785.40" + } + }, + "PSS_ALTO_CORE_PAD_ERROROUT": { + "hide_name": 0, + "bits": [ 3975 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32786.11-32786.37" + } + }, + "PSS_ALTO_CORE_PAD_ERRORSTATUS": { + "hide_name": 0, + "bits": [ 3976 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32787.11-32787.40" + } + }, + "PSS_ALTO_CORE_PAD_INITB": { + "hide_name": 0, + "bits": [ 3977 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32788.11-32788.34" + } + }, + "PSS_ALTO_CORE_PAD_JTAGTCK": { + "hide_name": 0, + "bits": [ 3978 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32789.11-32789.36" + } + }, + "PSS_ALTO_CORE_PAD_JTAGTDI": { + "hide_name": 0, + "bits": [ 3979 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32790.11-32790.36" + } + }, + "PSS_ALTO_CORE_PAD_JTAGTDO": { + "hide_name": 0, + "bits": [ 3980 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32791.11-32791.36" + } + }, + "PSS_ALTO_CORE_PAD_JTAGTMS": { + "hide_name": 0, + "bits": [ 3981 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32792.11-32792.36" + } + }, + "PSS_ALTO_CORE_PAD_MGTRXN0IN": { + "hide_name": 0, + "bits": [ 5193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33026.11-33026.38" + } + }, + "PSS_ALTO_CORE_PAD_MGTRXN1IN": { + "hide_name": 0, + "bits": [ 5194 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33027.11-33027.38" + } + }, + "PSS_ALTO_CORE_PAD_MGTRXN2IN": { + "hide_name": 0, + "bits": [ 5195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33028.11-33028.38" + } + }, + "PSS_ALTO_CORE_PAD_MGTRXN3IN": { + "hide_name": 0, + "bits": [ 5196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33029.11-33029.38" + } + }, + "PSS_ALTO_CORE_PAD_MGTRXP0IN": { + "hide_name": 0, + "bits": [ 5197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33030.11-33030.38" + } + }, + "PSS_ALTO_CORE_PAD_MGTRXP1IN": { + "hide_name": 0, + "bits": [ 5198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33031.11-33031.38" + } + }, + "PSS_ALTO_CORE_PAD_MGTRXP2IN": { + "hide_name": 0, + "bits": [ 5199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33032.11-33032.38" + } + }, + "PSS_ALTO_CORE_PAD_MGTRXP3IN": { + "hide_name": 0, + "bits": [ 5200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33033.11-33033.38" + } + }, + "PSS_ALTO_CORE_PAD_MGTTXN0OUT": { + "hide_name": 0, + "bits": [ 2249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32621.12-32621.40" + } + }, + "PSS_ALTO_CORE_PAD_MGTTXN1OUT": { + "hide_name": 0, + "bits": [ 2250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32622.12-32622.40" + } + }, + "PSS_ALTO_CORE_PAD_MGTTXN2OUT": { + "hide_name": 0, + "bits": [ 2251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32623.12-32623.40" + } + }, + "PSS_ALTO_CORE_PAD_MGTTXN3OUT": { + "hide_name": 0, + "bits": [ 2252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32624.12-32624.40" + } + }, + "PSS_ALTO_CORE_PAD_MGTTXP0OUT": { + "hide_name": 0, + "bits": [ 2253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32625.12-32625.40" + } + }, + "PSS_ALTO_CORE_PAD_MGTTXP1OUT": { + "hide_name": 0, + "bits": [ 2254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32626.12-32626.40" + } + }, + "PSS_ALTO_CORE_PAD_MGTTXP2OUT": { + "hide_name": 0, + "bits": [ 2255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32627.12-32627.40" + } + }, + "PSS_ALTO_CORE_PAD_MGTTXP3OUT": { + "hide_name": 0, + "bits": [ 2256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32628.12-32628.40" + } + }, + "PSS_ALTO_CORE_PAD_MIO": { + "hide_name": 0, + "bits": [ 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32793.18-32793.39" + } + }, + "PSS_ALTO_CORE_PAD_PADI": { + "hide_name": 0, + "bits": [ 5201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33034.11-33034.33" + } + }, + "PSS_ALTO_CORE_PAD_PADO": { + "hide_name": 0, + "bits": [ 2257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32629.12-32629.34" + } + }, + "PSS_ALTO_CORE_PAD_PORB": { + "hide_name": 0, + "bits": [ 4060 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32794.11-32794.33" + } + }, + "PSS_ALTO_CORE_PAD_PROGB": { + "hide_name": 0, + "bits": [ 4061 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32795.11-32795.34" + } + }, + "PSS_ALTO_CORE_PAD_RCALIBINOUT": { + "hide_name": 0, + "bits": [ 4062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32796.11-32796.40" + } + }, + "PSS_ALTO_CORE_PAD_REFN0IN": { + "hide_name": 0, + "bits": [ 5202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33035.11-33035.36" + } + }, + "PSS_ALTO_CORE_PAD_REFN1IN": { + "hide_name": 0, + "bits": [ 5203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33036.11-33036.36" + } + }, + "PSS_ALTO_CORE_PAD_REFN2IN": { + "hide_name": 0, + "bits": [ 5204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33037.11-33037.36" + } + }, + "PSS_ALTO_CORE_PAD_REFN3IN": { + "hide_name": 0, + "bits": [ 5205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33038.11-33038.36" + } + }, + "PSS_ALTO_CORE_PAD_REFP0IN": { + "hide_name": 0, + "bits": [ 5206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33039.11-33039.36" + } + }, + "PSS_ALTO_CORE_PAD_REFP1IN": { + "hide_name": 0, + "bits": [ 5207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33040.11-33040.36" + } + }, + "PSS_ALTO_CORE_PAD_REFP2IN": { + "hide_name": 0, + "bits": [ 5208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33041.11-33041.36" + } + }, + "PSS_ALTO_CORE_PAD_REFP3IN": { + "hide_name": 0, + "bits": [ 5209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33042.11-33042.36" + } + }, + "PSS_ALTO_CORE_PAD_SRSTB": { + "hide_name": 0, + "bits": [ 4063 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32797.11-32797.34" + } + }, + "PSS_ALTO_CORE_PAD_ZQ": { + "hide_name": 0, + "bits": [ 4064 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32798.11-32798.31" + } + }, + "RPUEVENTI0": { + "hide_name": 0, + "bits": [ 5210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33043.11-33043.21" + } + }, + "RPUEVENTI1": { + "hide_name": 0, + "bits": [ 5211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33044.11-33044.21" + } + }, + "RPUEVENTO0": { + "hide_name": 0, + "bits": [ 2258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32630.12-32630.22" + } + }, + "RPUEVENTO1": { + "hide_name": 0, + "bits": [ 2259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32631.12-32631.22" + } + }, + "SACEFPDACADDR": { + "hide_name": 0, + "bits": [ 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32632.19-32632.32" + } + }, + "SACEFPDACPROT": { + "hide_name": 0, + "bits": [ 2304, 2305, 2306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32633.18-32633.31" + } + }, + "SACEFPDACREADY": { + "hide_name": 0, + "bits": [ 5212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33045.11-33045.25" + } + }, + "SACEFPDACSNOOP": { + "hide_name": 0, + "bits": [ 2307, 2308, 2309, 2310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32634.18-32634.32" + } + }, + "SACEFPDACVALID": { + "hide_name": 0, + "bits": [ 2311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32635.12-32635.26" + } + }, + "SACEFPDARADDR": { + "hide_name": 0, + "bits": [ 5213, 5214, 5215, 5216, 5217, 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 5226, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 5234, 5235, 5236, 5237, 5238, 5239, 5240, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 5248, 5249, 5250, 5251, 5252, 5253, 5254, 5255, 5256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33046.18-33046.31" + } + }, + "SACEFPDARBAR": { + "hide_name": 0, + "bits": [ 5257, 5258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33047.17-33047.29" + } + }, + "SACEFPDARBURST": { + "hide_name": 0, + "bits": [ 5259, 5260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33048.17-33048.31" + } + }, + "SACEFPDARCACHE": { + "hide_name": 0, + "bits": [ 5261, 5262, 5263, 5264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33049.17-33049.31" + } + }, + "SACEFPDARDOMAIN": { + "hide_name": 0, + "bits": [ 5265, 5266 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33050.17-33050.32" + } + }, + "SACEFPDARID": { + "hide_name": 0, + "bits": [ 5267, 5268, 5269, 5270, 5271, 5272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33051.17-33051.28" + } + }, + "SACEFPDARLEN": { + "hide_name": 0, + "bits": [ 5273, 5274, 5275, 5276, 5277, 5278, 5279, 5280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33052.17-33052.29" + } + }, + "SACEFPDARLOCK": { + "hide_name": 0, + "bits": [ 5281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33053.11-33053.24" + } + }, + "SACEFPDARPROT": { + "hide_name": 0, + "bits": [ 5282, 5283, 5284 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33054.17-33054.30" + } + }, + "SACEFPDARQOS": { + "hide_name": 0, + "bits": [ 5285, 5286, 5287, 5288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33055.17-33055.29" + } + }, + "SACEFPDARREADY": { + "hide_name": 0, + "bits": [ 2312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32636.12-32636.26" + } + }, + "SACEFPDARREGION": { + "hide_name": 0, + "bits": [ 5289, 5290, 5291, 5292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33056.17-33056.32" + } + }, + "SACEFPDARSIZE": { + "hide_name": 0, + "bits": [ 5293, 5294, 5295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33057.17-33057.30" + } + }, + "SACEFPDARSNOOP": { + "hide_name": 0, + "bits": [ 5296, 5297, 5298, 5299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33058.17-33058.31" + } + }, + "SACEFPDARUSER": { + "hide_name": 0, + "bits": [ 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33059.18-33059.31" + } + }, + "SACEFPDARVALID": { + "hide_name": 0, + "bits": [ 5316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33060.11-33060.25" + } + }, + "SACEFPDAWADDR": { + "hide_name": 0, + "bits": [ 5317, 5318, 5319, 5320, 5321, 5322, 5323, 5324, 5325, 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 5357, 5358, 5359, 5360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33061.18-33061.31" + } + }, + "SACEFPDAWBAR": { + "hide_name": 0, + "bits": [ 5361, 5362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33062.17-33062.29" + } + }, + "SACEFPDAWBURST": { + "hide_name": 0, + "bits": [ 5363, 5364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33063.17-33063.31" + } + }, + "SACEFPDAWCACHE": { + "hide_name": 0, + "bits": [ 5365, 5366, 5367, 5368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33064.17-33064.31" + } + }, + "SACEFPDAWDOMAIN": { + "hide_name": 0, + "bits": [ 5369, 5370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33065.17-33065.32" + } + }, + "SACEFPDAWID": { + "hide_name": 0, + "bits": [ 5371, 5372, 5373, 5374, 5375, 5376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33066.17-33066.28" + } + }, + "SACEFPDAWLEN": { + "hide_name": 0, + "bits": [ 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33067.17-33067.29" + } + }, + "SACEFPDAWLOCK": { + "hide_name": 0, + "bits": [ 5385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33068.11-33068.24" + } + }, + "SACEFPDAWPROT": { + "hide_name": 0, + "bits": [ 5386, 5387, 5388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33069.17-33069.30" + } + }, + "SACEFPDAWQOS": { + "hide_name": 0, + "bits": [ 5389, 5390, 5391, 5392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33070.17-33070.29" + } + }, + "SACEFPDAWREADY": { + "hide_name": 0, + "bits": [ 2313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32637.12-32637.26" + } + }, + "SACEFPDAWREGION": { + "hide_name": 0, + "bits": [ 5393, 5394, 5395, 5396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33071.17-33071.32" + } + }, + "SACEFPDAWSIZE": { + "hide_name": 0, + "bits": [ 5397, 5398, 5399 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33072.17-33072.30" + } + }, + "SACEFPDAWSNOOP": { + "hide_name": 0, + "bits": [ 5400, 5401, 5402 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33073.17-33073.31" + } + }, + "SACEFPDAWUSER": { + "hide_name": 0, + "bits": [ 5403, 5404, 5405, 5406, 5407, 5408, 5409, 5410, 5411, 5412, 5413, 5414, 5415, 5416, 5417, 5418 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33074.18-33074.31" + } + }, + "SACEFPDAWVALID": { + "hide_name": 0, + "bits": [ 5419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33075.11-33075.25" + } + }, + "SACEFPDBID": { + "hide_name": 0, + "bits": [ 2314, 2315, 2316, 2317, 2318, 2319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32638.18-32638.28" + } + }, + "SACEFPDBREADY": { + "hide_name": 0, + "bits": [ 5420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33076.11-33076.24" + } + }, + "SACEFPDBRESP": { + "hide_name": 0, + "bits": [ 2320, 2321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32639.18-32639.30" + } + }, + "SACEFPDBUSER": { + "hide_name": 0, + "bits": [ 2322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32640.12-32640.24" + } + }, + "SACEFPDBVALID": { + "hide_name": 0, + "bits": [ 2323 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32641.12-32641.25" + } + }, + "SACEFPDCDDATA": { + "hide_name": 0, + "bits": [ 5421, 5422, 5423, 5424, 5425, 5426, 5427, 5428, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, 5437, 5438, 5439, 5440, 5441, 5442, 5443, 5444, 5445, 5446, 5447, 5448, 5449, 5450, 5451, 5452, 5453, 5454, 5455, 5456, 5457, 5458, 5459, 5460, 5461, 5462, 5463, 5464, 5465, 5466, 5467, 5468, 5469, 5470, 5471, 5472, 5473, 5474, 5475, 5476, 5477, 5478, 5479, 5480, 5481, 5482, 5483, 5484, 5485, 5486, 5487, 5488, 5489, 5490, 5491, 5492, 5493, 5494, 5495, 5496, 5497, 5498, 5499, 5500, 5501, 5502, 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, 5545, 5546, 5547, 5548 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33077.19-33077.32" + } + }, + "SACEFPDCDLAST": { + "hide_name": 0, + "bits": [ 5549 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33078.11-33078.24" + } + }, + "SACEFPDCDREADY": { + "hide_name": 0, + "bits": [ 2324 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32642.12-32642.26" + } + }, + "SACEFPDCDVALID": { + "hide_name": 0, + "bits": [ 5550 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33079.11-33079.25" + } + }, + "SACEFPDCRREADY": { + "hide_name": 0, + "bits": [ 2325 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32643.12-32643.26" + } + }, + "SACEFPDCRRESP": { + "hide_name": 0, + "bits": [ 5551, 5552, 5553, 5554, 5555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33080.17-33080.30" + } + }, + "SACEFPDCRVALID": { + "hide_name": 0, + "bits": [ 5556 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33081.11-33081.25" + } + }, + "SACEFPDRACK": { + "hide_name": 0, + "bits": [ 5557 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33082.11-33082.22" + } + }, + "SACEFPDRDATA": { + "hide_name": 0, + "bits": [ 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336, 2337, 2338, 2339, 2340, 2341, 2342, 2343, 2344, 2345, 2346, 2347, 2348, 2349, 2350, 2351, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32644.20-32644.32" + } + }, + "SACEFPDRID": { + "hide_name": 0, + "bits": [ 2454, 2455, 2456, 2457, 2458, 2459 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32645.18-32645.28" + } + }, + "SACEFPDRLAST": { + "hide_name": 0, + "bits": [ 2460 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32646.12-32646.24" + } + }, + "SACEFPDRREADY": { + "hide_name": 0, + "bits": [ 5558 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33083.11-33083.24" + } + }, + "SACEFPDRRESP": { + "hide_name": 0, + "bits": [ 2461, 2462, 2463, 2464 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32647.18-32647.30" + } + }, + "SACEFPDRUSER": { + "hide_name": 0, + "bits": [ 2465 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32648.12-32648.24" + } + }, + "SACEFPDRVALID": { + "hide_name": 0, + "bits": [ 2466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32649.12-32649.25" + } + }, + "SACEFPDWACK": { + "hide_name": 0, + "bits": [ 5559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33084.11-33084.22" + } + }, + "SACEFPDWDATA": { + "hide_name": 0, + "bits": [ 5560, 5561, 5562, 5563, 5564, 5565, 5566, 5567, 5568, 5569, 5570, 5571, 5572, 5573, 5574, 5575, 5576, 5577, 5578, 5579, 5580, 5581, 5582, 5583, 5584, 5585, 5586, 5587, 5588, 5589, 5590, 5591, 5592, 5593, 5594, 5595, 5596, 5597, 5598, 5599, 5600, 5601, 5602, 5603, 5604, 5605, 5606, 5607, 5608, 5609, 5610, 5611, 5612, 5613, 5614, 5615, 5616, 5617, 5618, 5619, 5620, 5621, 5622, 5623, 5624, 5625, 5626, 5627, 5628, 5629, 5630, 5631, 5632, 5633, 5634, 5635, 5636, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, 5645, 5646, 5647, 5648, 5649, 5650, 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, 5677, 5678, 5679, 5680, 5681, 5682, 5683, 5684, 5685, 5686, 5687 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33085.19-33085.31" + } + }, + "SACEFPDWLAST": { + "hide_name": 0, + "bits": [ 5688 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33086.11-33086.23" + } + }, + "SACEFPDWREADY": { + "hide_name": 0, + "bits": [ 2467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32650.12-32650.25" + } + }, + "SACEFPDWSTRB": { + "hide_name": 0, + "bits": [ 5689, 5690, 5691, 5692, 5693, 5694, 5695, 5696, 5697, 5698, 5699, 5700, 5701, 5702, 5703, 5704 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33087.18-33087.30" + } + }, + "SACEFPDWUSER": { + "hide_name": 0, + "bits": [ 5705 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33088.11-33088.23" + } + }, + "SACEFPDWVALID": { + "hide_name": 0, + "bits": [ 5706 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33089.11-33089.24" + } + }, + "SAXIACPACLK": { + "hide_name": 0, + "bits": [ 5707 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33090.11-33090.22" + } + }, + "SAXIACPARADDR": { + "hide_name": 0, + "bits": [ 5708, 5709, 5710, 5711, 5712, 5713, 5714, 5715, 5716, 5717, 5718, 5719, 5720, 5721, 5722, 5723, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738, 5739, 5740, 5741, 5742, 5743, 5744, 5745, 5746, 5747 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33091.18-33091.31" + } + }, + "SAXIACPARBURST": { + "hide_name": 0, + "bits": [ 5748, 5749 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33092.17-33092.31" + } + }, + "SAXIACPARCACHE": { + "hide_name": 0, + "bits": [ 5750, 5751, 5752, 5753 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33093.17-33093.31" + } + }, + "SAXIACPARID": { + "hide_name": 0, + "bits": [ 5754, 5755, 5756, 5757, 5758 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33094.17-33094.28" + } + }, + "SAXIACPARLEN": { + "hide_name": 0, + "bits": [ 5759, 5760, 5761, 5762, 5763, 5764, 5765, 5766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33095.17-33095.29" + } + }, + "SAXIACPARLOCK": { + "hide_name": 0, + "bits": [ 5767 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33096.11-33096.24" + } + }, + "SAXIACPARPROT": { + "hide_name": 0, + "bits": [ 5768, 5769, 5770 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33097.17-33097.30" + } + }, + "SAXIACPARQOS": { + "hide_name": 0, + "bits": [ 5771, 5772, 5773, 5774 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33098.17-33098.29" + } + }, + "SAXIACPARREADY": { + "hide_name": 0, + "bits": [ 2468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32651.12-32651.26" + } + }, + "SAXIACPARSIZE": { + "hide_name": 0, + "bits": [ 5775, 5776, 5777 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33099.17-33099.30" + } + }, + "SAXIACPARUSER": { + "hide_name": 0, + "bits": [ 5778, 5779 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33100.17-33100.30" + } + }, + "SAXIACPARVALID": { + "hide_name": 0, + "bits": [ 5780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33101.11-33101.25" + } + }, + "SAXIACPAWADDR": { + "hide_name": 0, + "bits": [ 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805, 5806, 5807, 5808, 5809, 5810, 5811, 5812, 5813, 5814, 5815, 5816, 5817, 5818, 5819, 5820 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33102.18-33102.31" + } + }, + "SAXIACPAWBURST": { + "hide_name": 0, + "bits": [ 5821, 5822 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33103.17-33103.31" + } + }, + "SAXIACPAWCACHE": { + "hide_name": 0, + "bits": [ 5823, 5824, 5825, 5826 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33104.17-33104.31" + } + }, + "SAXIACPAWID": { + "hide_name": 0, + "bits": [ 5827, 5828, 5829, 5830, 5831 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33105.17-33105.28" + } + }, + "SAXIACPAWLEN": { + "hide_name": 0, + "bits": [ 5832, 5833, 5834, 5835, 5836, 5837, 5838, 5839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33106.17-33106.29" + } + }, + "SAXIACPAWLOCK": { + "hide_name": 0, + "bits": [ 5840 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33107.11-33107.24" + } + }, + "SAXIACPAWPROT": { + "hide_name": 0, + "bits": [ 5841, 5842, 5843 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33108.17-33108.30" + } + }, + "SAXIACPAWQOS": { + "hide_name": 0, + "bits": [ 5844, 5845, 5846, 5847 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33109.17-33109.29" + } + }, + "SAXIACPAWREADY": { + "hide_name": 0, + "bits": [ 2469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32652.12-32652.26" + } + }, + "SAXIACPAWSIZE": { + "hide_name": 0, + "bits": [ 5848, 5849, 5850 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33110.17-33110.30" + } + }, + "SAXIACPAWUSER": { + "hide_name": 0, + "bits": [ 5851, 5852 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33111.17-33111.30" + } + }, + "SAXIACPAWVALID": { + "hide_name": 0, + "bits": [ 5853 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33112.11-33112.25" + } + }, + "SAXIACPBID": { + "hide_name": 0, + "bits": [ 2470, 2471, 2472, 2473, 2474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32653.18-32653.28" + } + }, + "SAXIACPBREADY": { + "hide_name": 0, + "bits": [ 5854 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33113.11-33113.24" + } + }, + "SAXIACPBRESP": { + "hide_name": 0, + "bits": [ 2475, 2476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32654.18-32654.30" + } + }, + "SAXIACPBVALID": { + "hide_name": 0, + "bits": [ 2477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32655.12-32655.25" + } + }, + "SAXIACPRDATA": { + "hide_name": 0, + "bits": [ 2478, 2479, 2480, 2481, 2482, 2483, 2484, 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32656.20-32656.32" + } + }, + "SAXIACPRID": { + "hide_name": 0, + "bits": [ 2606, 2607, 2608, 2609, 2610 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32657.18-32657.28" + } + }, + "SAXIACPRLAST": { + "hide_name": 0, + "bits": [ 2611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32658.12-32658.24" + } + }, + "SAXIACPRREADY": { + "hide_name": 0, + "bits": [ 5855 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33114.11-33114.24" + } + }, + "SAXIACPRRESP": { + "hide_name": 0, + "bits": [ 2612, 2613 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32659.18-32659.30" + } + }, + "SAXIACPRVALID": { + "hide_name": 0, + "bits": [ 2614 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32660.12-32660.25" + } + }, + "SAXIACPWDATA": { + "hide_name": 0, + "bits": [ 5856, 5857, 5858, 5859, 5860, 5861, 5862, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, 5876, 5877, 5878, 5879, 5880, 5881, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5909, 5910, 5911, 5912, 5913, 5914, 5915, 5916, 5917, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5934, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5945, 5946, 5947, 5948, 5949, 5950, 5951, 5952, 5953, 5954, 5955, 5956, 5957, 5958, 5959, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5970, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5981, 5982, 5983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33115.19-33115.31" + } + }, + "SAXIACPWLAST": { + "hide_name": 0, + "bits": [ 5984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33116.11-33116.23" + } + }, + "SAXIACPWREADY": { + "hide_name": 0, + "bits": [ 2615 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32661.12-32661.25" + } + }, + "SAXIACPWSTRB": { + "hide_name": 0, + "bits": [ 5985, 5986, 5987, 5988, 5989, 5990, 5991, 5992, 5993, 5994, 5995, 5996, 5997, 5998, 5999, 6000 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33117.18-33117.30" + } + }, + "SAXIACPWVALID": { + "hide_name": 0, + "bits": [ 6001 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33118.11-33118.24" + } + }, + "SAXIGP0ARADDR": { + "hide_name": 0, + "bits": [ 6002, 6003, 6004, 6005, 6006, 6007, 6008, 6009, 6010, 6011, 6012, 6013, 6014, 6015, 6016, 6017, 6018, 6019, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027, 6028, 6029, 6030, 6031, 6032, 6033, 6034, 6035, 6036, 6037, 6038, 6039, 6040, 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 6049, 6050 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33119.18-33119.31" + } + }, + "SAXIGP0ARBURST": { + "hide_name": 0, + "bits": [ 6051, 6052 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33120.17-33120.31" + } + }, + "SAXIGP0ARCACHE": { + "hide_name": 0, + "bits": [ 6053, 6054, 6055, 6056 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33121.17-33121.31" + } + }, + "SAXIGP0ARID": { + "hide_name": 0, + "bits": [ 6057, 6058, 6059, 6060, 6061, 6062 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33122.17-33122.28" + } + }, + "SAXIGP0ARLEN": { + "hide_name": 0, + "bits": [ 6063, 6064, 6065, 6066, 6067, 6068, 6069, 6070 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33123.17-33123.29" + } + }, + "SAXIGP0ARLOCK": { + "hide_name": 0, + "bits": [ 6071 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33124.11-33124.24" + } + }, + "SAXIGP0ARPROT": { + "hide_name": 0, + "bits": [ 6072, 6073, 6074 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33125.17-33125.30" + } + }, + "SAXIGP0ARQOS": { + "hide_name": 0, + "bits": [ 6075, 6076, 6077, 6078 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33126.17-33126.29" + } + }, + "SAXIGP0ARREADY": { + "hide_name": 0, + "bits": [ 2616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32662.12-32662.26" + } + }, + "SAXIGP0ARSIZE": { + "hide_name": 0, + "bits": [ 6079, 6080, 6081 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33127.17-33127.30" + } + }, + "SAXIGP0ARUSER": { + "hide_name": 0, + "bits": [ 6082 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33128.11-33128.24" + } + }, + "SAXIGP0ARVALID": { + "hide_name": 0, + "bits": [ 6083 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33129.11-33129.25" + } + }, + "SAXIGP0AWADDR": { + "hide_name": 0, + "bits": [ 6084, 6085, 6086, 6087, 6088, 6089, 6090, 6091, 6092, 6093, 6094, 6095, 6096, 6097, 6098, 6099, 6100, 6101, 6102, 6103, 6104, 6105, 6106, 6107, 6108, 6109, 6110, 6111, 6112, 6113, 6114, 6115, 6116, 6117, 6118, 6119, 6120, 6121, 6122, 6123, 6124, 6125, 6126, 6127, 6128, 6129, 6130, 6131, 6132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33130.18-33130.31" + } + }, + "SAXIGP0AWBURST": { + "hide_name": 0, + "bits": [ 6133, 6134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33131.17-33131.31" + } + }, + "SAXIGP0AWCACHE": { + "hide_name": 0, + "bits": [ 6135, 6136, 6137, 6138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33132.17-33132.31" + } + }, + "SAXIGP0AWID": { + "hide_name": 0, + "bits": [ 6139, 6140, 6141, 6142, 6143, 6144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33133.17-33133.28" + } + }, + "SAXIGP0AWLEN": { + "hide_name": 0, + "bits": [ 6145, 6146, 6147, 6148, 6149, 6150, 6151, 6152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33134.17-33134.29" + } + }, + "SAXIGP0AWLOCK": { + "hide_name": 0, + "bits": [ 6153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33135.11-33135.24" + } + }, + "SAXIGP0AWPROT": { + "hide_name": 0, + "bits": [ 6154, 6155, 6156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33136.17-33136.30" + } + }, + "SAXIGP0AWQOS": { + "hide_name": 0, + "bits": [ 6157, 6158, 6159, 6160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33137.17-33137.29" + } + }, + "SAXIGP0AWREADY": { + "hide_name": 0, + "bits": [ 2617 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32663.12-32663.26" + } + }, + "SAXIGP0AWSIZE": { + "hide_name": 0, + "bits": [ 6161, 6162, 6163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33138.17-33138.30" + } + }, + "SAXIGP0AWUSER": { + "hide_name": 0, + "bits": [ 6164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33139.11-33139.24" + } + }, + "SAXIGP0AWVALID": { + "hide_name": 0, + "bits": [ 6165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33140.11-33140.25" + } + }, + "SAXIGP0BID": { + "hide_name": 0, + "bits": [ 2618, 2619, 2620, 2621, 2622, 2623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32664.18-32664.28" + } + }, + "SAXIGP0BREADY": { + "hide_name": 0, + "bits": [ 6166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33141.11-33141.24" + } + }, + "SAXIGP0BRESP": { + "hide_name": 0, + "bits": [ 2624, 2625 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32665.18-32665.30" + } + }, + "SAXIGP0BVALID": { + "hide_name": 0, + "bits": [ 2626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32666.12-32666.25" + } + }, + "SAXIGP0RACOUNT": { + "hide_name": 0, + "bits": [ 2627, 2628, 2629, 2630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32667.18-32667.32" + } + }, + "SAXIGP0RCLK": { + "hide_name": 0, + "bits": [ 6167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33142.11-33142.22" + } + }, + "SAXIGP0RCOUNT": { + "hide_name": 0, + "bits": [ 2631, 2632, 2633, 2634, 2635, 2636, 2637, 2638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32668.18-32668.31" + } + }, + "SAXIGP0RDATA": { + "hide_name": 0, + "bits": [ 2639, 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674, 2675, 2676, 2677, 2678, 2679, 2680, 2681, 2682, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714, 2715, 2716, 2717, 2718, 2719, 2720, 2721, 2722, 2723, 2724, 2725, 2726, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2738, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2749, 2750, 2751, 2752, 2753, 2754, 2755, 2756, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32669.20-32669.32" + } + }, + "SAXIGP0RID": { + "hide_name": 0, + "bits": [ 2767, 2768, 2769, 2770, 2771, 2772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32670.18-32670.28" + } + }, + "SAXIGP0RLAST": { + "hide_name": 0, + "bits": [ 2773 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32671.12-32671.24" + } + }, + "SAXIGP0RREADY": { + "hide_name": 0, + "bits": [ 6168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33143.11-33143.24" + } + }, + "SAXIGP0RRESP": { + "hide_name": 0, + "bits": [ 2774, 2775 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32672.18-32672.30" + } + }, + "SAXIGP0RVALID": { + "hide_name": 0, + "bits": [ 2776 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32673.12-32673.25" + } + }, + "SAXIGP0WACOUNT": { + "hide_name": 0, + "bits": [ 2777, 2778, 2779, 2780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32674.18-32674.32" + } + }, + "SAXIGP0WCLK": { + "hide_name": 0, + "bits": [ 6169 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33144.11-33144.22" + } + }, + "SAXIGP0WCOUNT": { + "hide_name": 0, + "bits": [ 2781, 2782, 2783, 2784, 2785, 2786, 2787, 2788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32675.18-32675.31" + } + }, + "SAXIGP0WDATA": { + "hide_name": 0, + "bits": [ 6170, 6171, 6172, 6173, 6174, 6175, 6176, 6177, 6178, 6179, 6180, 6181, 6182, 6183, 6184, 6185, 6186, 6187, 6188, 6189, 6190, 6191, 6192, 6193, 6194, 6195, 6196, 6197, 6198, 6199, 6200, 6201, 6202, 6203, 6204, 6205, 6206, 6207, 6208, 6209, 6210, 6211, 6212, 6213, 6214, 6215, 6216, 6217, 6218, 6219, 6220, 6221, 6222, 6223, 6224, 6225, 6226, 6227, 6228, 6229, 6230, 6231, 6232, 6233, 6234, 6235, 6236, 6237, 6238, 6239, 6240, 6241, 6242, 6243, 6244, 6245, 6246, 6247, 6248, 6249, 6250, 6251, 6252, 6253, 6254, 6255, 6256, 6257, 6258, 6259, 6260, 6261, 6262, 6263, 6264, 6265, 6266, 6267, 6268, 6269, 6270, 6271, 6272, 6273, 6274, 6275, 6276, 6277, 6278, 6279, 6280, 6281, 6282, 6283, 6284, 6285, 6286, 6287, 6288, 6289, 6290, 6291, 6292, 6293, 6294, 6295, 6296, 6297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33145.19-33145.31" + } + }, + "SAXIGP0WLAST": { + "hide_name": 0, + "bits": [ 6298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33146.11-33146.23" + } + }, + "SAXIGP0WREADY": { + "hide_name": 0, + "bits": [ 2789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32676.12-32676.25" + } + }, + "SAXIGP0WSTRB": { + "hide_name": 0, + "bits": [ 6299, 6300, 6301, 6302, 6303, 6304, 6305, 6306, 6307, 6308, 6309, 6310, 6311, 6312, 6313, 6314 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33147.18-33147.30" + } + }, + "SAXIGP0WVALID": { + "hide_name": 0, + "bits": [ 6315 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33148.11-33148.24" + } + }, + "SAXIGP1ARADDR": { + "hide_name": 0, + "bits": [ 6316, 6317, 6318, 6319, 6320, 6321, 6322, 6323, 6324, 6325, 6326, 6327, 6328, 6329, 6330, 6331, 6332, 6333, 6334, 6335, 6336, 6337, 6338, 6339, 6340, 6341, 6342, 6343, 6344, 6345, 6346, 6347, 6348, 6349, 6350, 6351, 6352, 6353, 6354, 6355, 6356, 6357, 6358, 6359, 6360, 6361, 6362, 6363, 6364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33149.18-33149.31" + } + }, + "SAXIGP1ARBURST": { + "hide_name": 0, + "bits": [ 6365, 6366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33150.17-33150.31" + } + }, + "SAXIGP1ARCACHE": { + "hide_name": 0, + "bits": [ 6367, 6368, 6369, 6370 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33151.17-33151.31" + } + }, + "SAXIGP1ARID": { + "hide_name": 0, + "bits": [ 6371, 6372, 6373, 6374, 6375, 6376 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33152.17-33152.28" + } + }, + "SAXIGP1ARLEN": { + "hide_name": 0, + "bits": [ 6377, 6378, 6379, 6380, 6381, 6382, 6383, 6384 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33153.17-33153.29" + } + }, + "SAXIGP1ARLOCK": { + "hide_name": 0, + "bits": [ 6385 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33154.11-33154.24" + } + }, + "SAXIGP1ARPROT": { + "hide_name": 0, + "bits": [ 6386, 6387, 6388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33155.17-33155.30" + } + }, + "SAXIGP1ARQOS": { + "hide_name": 0, + "bits": [ 6389, 6390, 6391, 6392 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33156.17-33156.29" + } + }, + "SAXIGP1ARREADY": { + "hide_name": 0, + "bits": [ 2790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32677.12-32677.26" + } + }, + "SAXIGP1ARSIZE": { + "hide_name": 0, + "bits": [ 6393, 6394, 6395 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33157.17-33157.30" + } + }, + "SAXIGP1ARUSER": { + "hide_name": 0, + "bits": [ 6396 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33158.11-33158.24" + } + }, + "SAXIGP1ARVALID": { + "hide_name": 0, + "bits": [ 6397 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33159.11-33159.25" + } + }, + "SAXIGP1AWADDR": { + "hide_name": 0, + "bits": [ 6398, 6399, 6400, 6401, 6402, 6403, 6404, 6405, 6406, 6407, 6408, 6409, 6410, 6411, 6412, 6413, 6414, 6415, 6416, 6417, 6418, 6419, 6420, 6421, 6422, 6423, 6424, 6425, 6426, 6427, 6428, 6429, 6430, 6431, 6432, 6433, 6434, 6435, 6436, 6437, 6438, 6439, 6440, 6441, 6442, 6443, 6444, 6445, 6446 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33160.18-33160.31" + } + }, + "SAXIGP1AWBURST": { + "hide_name": 0, + "bits": [ 6447, 6448 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33161.17-33161.31" + } + }, + "SAXIGP1AWCACHE": { + "hide_name": 0, + "bits": [ 6449, 6450, 6451, 6452 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33162.17-33162.31" + } + }, + "SAXIGP1AWID": { + "hide_name": 0, + "bits": [ 6453, 6454, 6455, 6456, 6457, 6458 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33163.17-33163.28" + } + }, + "SAXIGP1AWLEN": { + "hide_name": 0, + "bits": [ 6459, 6460, 6461, 6462, 6463, 6464, 6465, 6466 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33164.17-33164.29" + } + }, + "SAXIGP1AWLOCK": { + "hide_name": 0, + "bits": [ 6467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33165.11-33165.24" + } + }, + "SAXIGP1AWPROT": { + "hide_name": 0, + "bits": [ 6468, 6469, 6470 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33166.17-33166.30" + } + }, + "SAXIGP1AWQOS": { + "hide_name": 0, + "bits": [ 6471, 6472, 6473, 6474 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33167.17-33167.29" + } + }, + "SAXIGP1AWREADY": { + "hide_name": 0, + "bits": [ 2791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32678.12-32678.26" + } + }, + "SAXIGP1AWSIZE": { + "hide_name": 0, + "bits": [ 6475, 6476, 6477 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33168.17-33168.30" + } + }, + "SAXIGP1AWUSER": { + "hide_name": 0, + "bits": [ 6478 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33169.11-33169.24" + } + }, + "SAXIGP1AWVALID": { + "hide_name": 0, + "bits": [ 6479 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33170.11-33170.25" + } + }, + "SAXIGP1BID": { + "hide_name": 0, + "bits": [ 2792, 2793, 2794, 2795, 2796, 2797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32679.18-32679.28" + } + }, + "SAXIGP1BREADY": { + "hide_name": 0, + "bits": [ 6480 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33171.11-33171.24" + } + }, + "SAXIGP1BRESP": { + "hide_name": 0, + "bits": [ 2798, 2799 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32680.18-32680.30" + } + }, + "SAXIGP1BVALID": { + "hide_name": 0, + "bits": [ 2800 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32681.12-32681.25" + } + }, + "SAXIGP1RACOUNT": { + "hide_name": 0, + "bits": [ 2801, 2802, 2803, 2804 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32682.18-32682.32" + } + }, + "SAXIGP1RCLK": { + "hide_name": 0, + "bits": [ 6481 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33172.11-33172.22" + } + }, + "SAXIGP1RCOUNT": { + "hide_name": 0, + "bits": [ 2805, 2806, 2807, 2808, 2809, 2810, 2811, 2812 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32683.18-32683.31" + } + }, + "SAXIGP1RDATA": { + "hide_name": 0, + "bits": [ 2813, 2814, 2815, 2816, 2817, 2818, 2819, 2820, 2821, 2822, 2823, 2824, 2825, 2826, 2827, 2828, 2829, 2830, 2831, 2832, 2833, 2834, 2835, 2836, 2837, 2838, 2839, 2840, 2841, 2842, 2843, 2844, 2845, 2846, 2847, 2848, 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864, 2865, 2866, 2867, 2868, 2869, 2870, 2871, 2872, 2873, 2874, 2875, 2876, 2877, 2878, 2879, 2880, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889, 2890, 2891, 2892, 2893, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903, 2904, 2905, 2906, 2907, 2908, 2909, 2910, 2911, 2912, 2913, 2914, 2915, 2916, 2917, 2918, 2919, 2920, 2921, 2922, 2923, 2924, 2925, 2926, 2927, 2928, 2929, 2930, 2931, 2932, 2933, 2934, 2935, 2936, 2937, 2938, 2939, 2940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32684.20-32684.32" + } + }, + "SAXIGP1RID": { + "hide_name": 0, + "bits": [ 2941, 2942, 2943, 2944, 2945, 2946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32685.18-32685.28" + } + }, + "SAXIGP1RLAST": { + "hide_name": 0, + "bits": [ 2947 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32686.12-32686.24" + } + }, + "SAXIGP1RREADY": { + "hide_name": 0, + "bits": [ 6482 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33173.11-33173.24" + } + }, + "SAXIGP1RRESP": { + "hide_name": 0, + "bits": [ 2948, 2949 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32687.18-32687.30" + } + }, + "SAXIGP1RVALID": { + "hide_name": 0, + "bits": [ 2950 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32688.12-32688.25" + } + }, + "SAXIGP1WACOUNT": { + "hide_name": 0, + "bits": [ 2951, 2952, 2953, 2954 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32689.18-32689.32" + } + }, + "SAXIGP1WCLK": { + "hide_name": 0, + "bits": [ 6483 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33174.11-33174.22" + } + }, + "SAXIGP1WCOUNT": { + "hide_name": 0, + "bits": [ 2955, 2956, 2957, 2958, 2959, 2960, 2961, 2962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32690.18-32690.31" + } + }, + "SAXIGP1WDATA": { + "hide_name": 0, + "bits": [ 6484, 6485, 6486, 6487, 6488, 6489, 6490, 6491, 6492, 6493, 6494, 6495, 6496, 6497, 6498, 6499, 6500, 6501, 6502, 6503, 6504, 6505, 6506, 6507, 6508, 6509, 6510, 6511, 6512, 6513, 6514, 6515, 6516, 6517, 6518, 6519, 6520, 6521, 6522, 6523, 6524, 6525, 6526, 6527, 6528, 6529, 6530, 6531, 6532, 6533, 6534, 6535, 6536, 6537, 6538, 6539, 6540, 6541, 6542, 6543, 6544, 6545, 6546, 6547, 6548, 6549, 6550, 6551, 6552, 6553, 6554, 6555, 6556, 6557, 6558, 6559, 6560, 6561, 6562, 6563, 6564, 6565, 6566, 6567, 6568, 6569, 6570, 6571, 6572, 6573, 6574, 6575, 6576, 6577, 6578, 6579, 6580, 6581, 6582, 6583, 6584, 6585, 6586, 6587, 6588, 6589, 6590, 6591, 6592, 6593, 6594, 6595, 6596, 6597, 6598, 6599, 6600, 6601, 6602, 6603, 6604, 6605, 6606, 6607, 6608, 6609, 6610, 6611 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33175.19-33175.31" + } + }, + "SAXIGP1WLAST": { + "hide_name": 0, + "bits": [ 6612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33176.11-33176.23" + } + }, + "SAXIGP1WREADY": { + "hide_name": 0, + "bits": [ 2963 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32691.12-32691.25" + } + }, + "SAXIGP1WSTRB": { + "hide_name": 0, + "bits": [ 6613, 6614, 6615, 6616, 6617, 6618, 6619, 6620, 6621, 6622, 6623, 6624, 6625, 6626, 6627, 6628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33177.18-33177.30" + } + }, + "SAXIGP1WVALID": { + "hide_name": 0, + "bits": [ 6629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33178.11-33178.24" + } + }, + "SAXIGP2ARADDR": { + "hide_name": 0, + "bits": [ 6630, 6631, 6632, 6633, 6634, 6635, 6636, 6637, 6638, 6639, 6640, 6641, 6642, 6643, 6644, 6645, 6646, 6647, 6648, 6649, 6650, 6651, 6652, 6653, 6654, 6655, 6656, 6657, 6658, 6659, 6660, 6661, 6662, 6663, 6664, 6665, 6666, 6667, 6668, 6669, 6670, 6671, 6672, 6673, 6674, 6675, 6676, 6677, 6678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33179.18-33179.31" + } + }, + "SAXIGP2ARBURST": { + "hide_name": 0, + "bits": [ 6679, 6680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33180.17-33180.31" + } + }, + "SAXIGP2ARCACHE": { + "hide_name": 0, + "bits": [ 6681, 6682, 6683, 6684 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33181.17-33181.31" + } + }, + "SAXIGP2ARID": { + "hide_name": 0, + "bits": [ 6685, 6686, 6687, 6688, 6689, 6690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33182.17-33182.28" + } + }, + "SAXIGP2ARLEN": { + "hide_name": 0, + "bits": [ 6691, 6692, 6693, 6694, 6695, 6696, 6697, 6698 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33183.17-33183.29" + } + }, + "SAXIGP2ARLOCK": { + "hide_name": 0, + "bits": [ 6699 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33184.11-33184.24" + } + }, + "SAXIGP2ARPROT": { + "hide_name": 0, + "bits": [ 6700, 6701, 6702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33185.17-33185.30" + } + }, + "SAXIGP2ARQOS": { + "hide_name": 0, + "bits": [ 6703, 6704, 6705, 6706 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33186.17-33186.29" + } + }, + "SAXIGP2ARREADY": { + "hide_name": 0, + "bits": [ 2964 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32692.12-32692.26" + } + }, + "SAXIGP2ARSIZE": { + "hide_name": 0, + "bits": [ 6707, 6708, 6709 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33187.17-33187.30" + } + }, + "SAXIGP2ARUSER": { + "hide_name": 0, + "bits": [ 6710 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33188.11-33188.24" + } + }, + "SAXIGP2ARVALID": { + "hide_name": 0, + "bits": [ 6711 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33189.11-33189.25" + } + }, + "SAXIGP2AWADDR": { + "hide_name": 0, + "bits": [ 6712, 6713, 6714, 6715, 6716, 6717, 6718, 6719, 6720, 6721, 6722, 6723, 6724, 6725, 6726, 6727, 6728, 6729, 6730, 6731, 6732, 6733, 6734, 6735, 6736, 6737, 6738, 6739, 6740, 6741, 6742, 6743, 6744, 6745, 6746, 6747, 6748, 6749, 6750, 6751, 6752, 6753, 6754, 6755, 6756, 6757, 6758, 6759, 6760 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33190.18-33190.31" + } + }, + "SAXIGP2AWBURST": { + "hide_name": 0, + "bits": [ 6761, 6762 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33191.17-33191.31" + } + }, + "SAXIGP2AWCACHE": { + "hide_name": 0, + "bits": [ 6763, 6764, 6765, 6766 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33192.17-33192.31" + } + }, + "SAXIGP2AWID": { + "hide_name": 0, + "bits": [ 6767, 6768, 6769, 6770, 6771, 6772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33193.17-33193.28" + } + }, + "SAXIGP2AWLEN": { + "hide_name": 0, + "bits": [ 6773, 6774, 6775, 6776, 6777, 6778, 6779, 6780 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33194.17-33194.29" + } + }, + "SAXIGP2AWLOCK": { + "hide_name": 0, + "bits": [ 6781 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33195.11-33195.24" + } + }, + "SAXIGP2AWPROT": { + "hide_name": 0, + "bits": [ 6782, 6783, 6784 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33196.17-33196.30" + } + }, + "SAXIGP2AWQOS": { + "hide_name": 0, + "bits": [ 6785, 6786, 6787, 6788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33197.17-33197.29" + } + }, + "SAXIGP2AWREADY": { + "hide_name": 0, + "bits": [ 2965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32693.12-32693.26" + } + }, + "SAXIGP2AWSIZE": { + "hide_name": 0, + "bits": [ 6789, 6790, 6791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33198.17-33198.30" + } + }, + "SAXIGP2AWUSER": { + "hide_name": 0, + "bits": [ 6792 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33199.11-33199.24" + } + }, + "SAXIGP2AWVALID": { + "hide_name": 0, + "bits": [ 6793 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33200.11-33200.25" + } + }, + "SAXIGP2BID": { + "hide_name": 0, + "bits": [ 2966, 2967, 2968, 2969, 2970, 2971 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32694.18-32694.28" + } + }, + "SAXIGP2BREADY": { + "hide_name": 0, + "bits": [ 6794 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33201.11-33201.24" + } + }, + "SAXIGP2BRESP": { + "hide_name": 0, + "bits": [ 2972, 2973 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32695.18-32695.30" + } + }, + "SAXIGP2BVALID": { + "hide_name": 0, + "bits": [ 2974 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32696.12-32696.25" + } + }, + "SAXIGP2RACOUNT": { + "hide_name": 0, + "bits": [ 2975, 2976, 2977, 2978 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32697.18-32697.32" + } + }, + "SAXIGP2RCLK": { + "hide_name": 0, + "bits": [ 6795 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33202.11-33202.22" + } + }, + "SAXIGP2RCOUNT": { + "hide_name": 0, + "bits": [ 2979, 2980, 2981, 2982, 2983, 2984, 2985, 2986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32698.18-32698.31" + } + }, + "SAXIGP2RDATA": { + "hide_name": 0, + "bits": [ 2987, 2988, 2989, 2990, 2991, 2992, 2993, 2994, 2995, 2996, 2997, 2998, 2999, 3000, 3001, 3002, 3003, 3004, 3005, 3006, 3007, 3008, 3009, 3010, 3011, 3012, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3021, 3022, 3023, 3024, 3025, 3026, 3027, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3036, 3037, 3038, 3039, 3040, 3041, 3042, 3043, 3044, 3045, 3046, 3047, 3048, 3049, 3050, 3051, 3052, 3053, 3054, 3055, 3056, 3057, 3058, 3059, 3060, 3061, 3062, 3063, 3064, 3065, 3066, 3067, 3068, 3069, 3070, 3071, 3072, 3073, 3074, 3075, 3076, 3077, 3078, 3079, 3080, 3081, 3082, 3083, 3084, 3085, 3086, 3087, 3088, 3089, 3090, 3091, 3092, 3093, 3094, 3095, 3096, 3097, 3098, 3099, 3100, 3101, 3102, 3103, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32699.20-32699.32" + } + }, + "SAXIGP2RID": { + "hide_name": 0, + "bits": [ 3115, 3116, 3117, 3118, 3119, 3120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32700.18-32700.28" + } + }, + "SAXIGP2RLAST": { + "hide_name": 0, + "bits": [ 3121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32701.12-32701.24" + } + }, + "SAXIGP2RREADY": { + "hide_name": 0, + "bits": [ 6796 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33203.11-33203.24" + } + }, + "SAXIGP2RRESP": { + "hide_name": 0, + "bits": [ 3122, 3123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32702.18-32702.30" + } + }, + "SAXIGP2RVALID": { + "hide_name": 0, + "bits": [ 3124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32703.12-32703.25" + } + }, + "SAXIGP2WACOUNT": { + "hide_name": 0, + "bits": [ 3125, 3126, 3127, 3128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32704.18-32704.32" + } + }, + "SAXIGP2WCLK": { + "hide_name": 0, + "bits": [ 6797 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33204.11-33204.22" + } + }, + "SAXIGP2WCOUNT": { + "hide_name": 0, + "bits": [ 3129, 3130, 3131, 3132, 3133, 3134, 3135, 3136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32705.18-32705.31" + } + }, + "SAXIGP2WDATA": { + "hide_name": 0, + "bits": [ 6798, 6799, 6800, 6801, 6802, 6803, 6804, 6805, 6806, 6807, 6808, 6809, 6810, 6811, 6812, 6813, 6814, 6815, 6816, 6817, 6818, 6819, 6820, 6821, 6822, 6823, 6824, 6825, 6826, 6827, 6828, 6829, 6830, 6831, 6832, 6833, 6834, 6835, 6836, 6837, 6838, 6839, 6840, 6841, 6842, 6843, 6844, 6845, 6846, 6847, 6848, 6849, 6850, 6851, 6852, 6853, 6854, 6855, 6856, 6857, 6858, 6859, 6860, 6861, 6862, 6863, 6864, 6865, 6866, 6867, 6868, 6869, 6870, 6871, 6872, 6873, 6874, 6875, 6876, 6877, 6878, 6879, 6880, 6881, 6882, 6883, 6884, 6885, 6886, 6887, 6888, 6889, 6890, 6891, 6892, 6893, 6894, 6895, 6896, 6897, 6898, 6899, 6900, 6901, 6902, 6903, 6904, 6905, 6906, 6907, 6908, 6909, 6910, 6911, 6912, 6913, 6914, 6915, 6916, 6917, 6918, 6919, 6920, 6921, 6922, 6923, 6924, 6925 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33205.19-33205.31" + } + }, + "SAXIGP2WLAST": { + "hide_name": 0, + "bits": [ 6926 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33206.11-33206.23" + } + }, + "SAXIGP2WREADY": { + "hide_name": 0, + "bits": [ 3137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32706.12-32706.25" + } + }, + "SAXIGP2WSTRB": { + "hide_name": 0, + "bits": [ 6927, 6928, 6929, 6930, 6931, 6932, 6933, 6934, 6935, 6936, 6937, 6938, 6939, 6940, 6941, 6942 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33207.18-33207.30" + } + }, + "SAXIGP2WVALID": { + "hide_name": 0, + "bits": [ 6943 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33208.11-33208.24" + } + }, + "SAXIGP3ARADDR": { + "hide_name": 0, + "bits": [ 6944, 6945, 6946, 6947, 6948, 6949, 6950, 6951, 6952, 6953, 6954, 6955, 6956, 6957, 6958, 6959, 6960, 6961, 6962, 6963, 6964, 6965, 6966, 6967, 6968, 6969, 6970, 6971, 6972, 6973, 6974, 6975, 6976, 6977, 6978, 6979, 6980, 6981, 6982, 6983, 6984, 6985, 6986, 6987, 6988, 6989, 6990, 6991, 6992 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33209.18-33209.31" + } + }, + "SAXIGP3ARBURST": { + "hide_name": 0, + "bits": [ 6993, 6994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33210.17-33210.31" + } + }, + "SAXIGP3ARCACHE": { + "hide_name": 0, + "bits": [ 6995, 6996, 6997, 6998 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33211.17-33211.31" + } + }, + "SAXIGP3ARID": { + "hide_name": 0, + "bits": [ 6999, 7000, 7001, 7002, 7003, 7004 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33212.17-33212.28" + } + }, + "SAXIGP3ARLEN": { + "hide_name": 0, + "bits": [ 7005, 7006, 7007, 7008, 7009, 7010, 7011, 7012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33213.17-33213.29" + } + }, + "SAXIGP3ARLOCK": { + "hide_name": 0, + "bits": [ 7013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33214.11-33214.24" + } + }, + "SAXIGP3ARPROT": { + "hide_name": 0, + "bits": [ 7014, 7015, 7016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33215.17-33215.30" + } + }, + "SAXIGP3ARQOS": { + "hide_name": 0, + "bits": [ 7017, 7018, 7019, 7020 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33216.17-33216.29" + } + }, + "SAXIGP3ARREADY": { + "hide_name": 0, + "bits": [ 3138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32707.12-32707.26" + } + }, + "SAXIGP3ARSIZE": { + "hide_name": 0, + "bits": [ 7021, 7022, 7023 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33217.17-33217.30" + } + }, + "SAXIGP3ARUSER": { + "hide_name": 0, + "bits": [ 7024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33218.11-33218.24" + } + }, + "SAXIGP3ARVALID": { + "hide_name": 0, + "bits": [ 7025 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33219.11-33219.25" + } + }, + "SAXIGP3AWADDR": { + "hide_name": 0, + "bits": [ 7026, 7027, 7028, 7029, 7030, 7031, 7032, 7033, 7034, 7035, 7036, 7037, 7038, 7039, 7040, 7041, 7042, 7043, 7044, 7045, 7046, 7047, 7048, 7049, 7050, 7051, 7052, 7053, 7054, 7055, 7056, 7057, 7058, 7059, 7060, 7061, 7062, 7063, 7064, 7065, 7066, 7067, 7068, 7069, 7070, 7071, 7072, 7073, 7074 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33220.18-33220.31" + } + }, + "SAXIGP3AWBURST": { + "hide_name": 0, + "bits": [ 7075, 7076 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33221.17-33221.31" + } + }, + "SAXIGP3AWCACHE": { + "hide_name": 0, + "bits": [ 7077, 7078, 7079, 7080 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33222.17-33222.31" + } + }, + "SAXIGP3AWID": { + "hide_name": 0, + "bits": [ 7081, 7082, 7083, 7084, 7085, 7086 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33223.17-33223.28" + } + }, + "SAXIGP3AWLEN": { + "hide_name": 0, + "bits": [ 7087, 7088, 7089, 7090, 7091, 7092, 7093, 7094 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33224.17-33224.29" + } + }, + "SAXIGP3AWLOCK": { + "hide_name": 0, + "bits": [ 7095 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33225.11-33225.24" + } + }, + "SAXIGP3AWPROT": { + "hide_name": 0, + "bits": [ 7096, 7097, 7098 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33226.17-33226.30" + } + }, + "SAXIGP3AWQOS": { + "hide_name": 0, + "bits": [ 7099, 7100, 7101, 7102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33227.17-33227.29" + } + }, + "SAXIGP3AWREADY": { + "hide_name": 0, + "bits": [ 3139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32708.12-32708.26" + } + }, + "SAXIGP3AWSIZE": { + "hide_name": 0, + "bits": [ 7103, 7104, 7105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33228.17-33228.30" + } + }, + "SAXIGP3AWUSER": { + "hide_name": 0, + "bits": [ 7106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33229.11-33229.24" + } + }, + "SAXIGP3AWVALID": { + "hide_name": 0, + "bits": [ 7107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33230.11-33230.25" + } + }, + "SAXIGP3BID": { + "hide_name": 0, + "bits": [ 3140, 3141, 3142, 3143, 3144, 3145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32709.18-32709.28" + } + }, + "SAXIGP3BREADY": { + "hide_name": 0, + "bits": [ 7108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33231.11-33231.24" + } + }, + "SAXIGP3BRESP": { + "hide_name": 0, + "bits": [ 3146, 3147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32710.18-32710.30" + } + }, + "SAXIGP3BVALID": { + "hide_name": 0, + "bits": [ 3148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32711.12-32711.25" + } + }, + "SAXIGP3RACOUNT": { + "hide_name": 0, + "bits": [ 3149, 3150, 3151, 3152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32712.18-32712.32" + } + }, + "SAXIGP3RCLK": { + "hide_name": 0, + "bits": [ 7109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33232.11-33232.22" + } + }, + "SAXIGP3RCOUNT": { + "hide_name": 0, + "bits": [ 3153, 3154, 3155, 3156, 3157, 3158, 3159, 3160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32713.18-32713.31" + } + }, + "SAXIGP3RDATA": { + "hide_name": 0, + "bits": [ 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189, 3190, 3191, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206, 3207, 3208, 3209, 3210, 3211, 3212, 3213, 3214, 3215, 3216, 3217, 3218, 3219, 3220, 3221, 3222, 3223, 3224, 3225, 3226, 3227, 3228, 3229, 3230, 3231, 3232, 3233, 3234, 3235, 3236, 3237, 3238, 3239, 3240, 3241, 3242, 3243, 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259, 3260, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 3268, 3269, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 3277, 3278, 3279, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32714.20-32714.32" + } + }, + "SAXIGP3RID": { + "hide_name": 0, + "bits": [ 3289, 3290, 3291, 3292, 3293, 3294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32715.18-32715.28" + } + }, + "SAXIGP3RLAST": { + "hide_name": 0, + "bits": [ 3295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32716.12-32716.24" + } + }, + "SAXIGP3RREADY": { + "hide_name": 0, + "bits": [ 7110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33233.11-33233.24" + } + }, + "SAXIGP3RRESP": { + "hide_name": 0, + "bits": [ 3296, 3297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32717.18-32717.30" + } + }, + "SAXIGP3RVALID": { + "hide_name": 0, + "bits": [ 3298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32718.12-32718.25" + } + }, + "SAXIGP3WACOUNT": { + "hide_name": 0, + "bits": [ 3299, 3300, 3301, 3302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32719.18-32719.32" + } + }, + "SAXIGP3WCLK": { + "hide_name": 0, + "bits": [ 7111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33234.11-33234.22" + } + }, + "SAXIGP3WCOUNT": { + "hide_name": 0, + "bits": [ 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32720.18-32720.31" + } + }, + "SAXIGP3WDATA": { + "hide_name": 0, + "bits": [ 7112, 7113, 7114, 7115, 7116, 7117, 7118, 7119, 7120, 7121, 7122, 7123, 7124, 7125, 7126, 7127, 7128, 7129, 7130, 7131, 7132, 7133, 7134, 7135, 7136, 7137, 7138, 7139, 7140, 7141, 7142, 7143, 7144, 7145, 7146, 7147, 7148, 7149, 7150, 7151, 7152, 7153, 7154, 7155, 7156, 7157, 7158, 7159, 7160, 7161, 7162, 7163, 7164, 7165, 7166, 7167, 7168, 7169, 7170, 7171, 7172, 7173, 7174, 7175, 7176, 7177, 7178, 7179, 7180, 7181, 7182, 7183, 7184, 7185, 7186, 7187, 7188, 7189, 7190, 7191, 7192, 7193, 7194, 7195, 7196, 7197, 7198, 7199, 7200, 7201, 7202, 7203, 7204, 7205, 7206, 7207, 7208, 7209, 7210, 7211, 7212, 7213, 7214, 7215, 7216, 7217, 7218, 7219, 7220, 7221, 7222, 7223, 7224, 7225, 7226, 7227, 7228, 7229, 7230, 7231, 7232, 7233, 7234, 7235, 7236, 7237, 7238, 7239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33235.19-33235.31" + } + }, + "SAXIGP3WLAST": { + "hide_name": 0, + "bits": [ 7240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33236.11-33236.23" + } + }, + "SAXIGP3WREADY": { + "hide_name": 0, + "bits": [ 3311 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32721.12-32721.25" + } + }, + "SAXIGP3WSTRB": { + "hide_name": 0, + "bits": [ 7241, 7242, 7243, 7244, 7245, 7246, 7247, 7248, 7249, 7250, 7251, 7252, 7253, 7254, 7255, 7256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33237.18-33237.30" + } + }, + "SAXIGP3WVALID": { + "hide_name": 0, + "bits": [ 7257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33238.11-33238.24" + } + }, + "SAXIGP4ARADDR": { + "hide_name": 0, + "bits": [ 7258, 7259, 7260, 7261, 7262, 7263, 7264, 7265, 7266, 7267, 7268, 7269, 7270, 7271, 7272, 7273, 7274, 7275, 7276, 7277, 7278, 7279, 7280, 7281, 7282, 7283, 7284, 7285, 7286, 7287, 7288, 7289, 7290, 7291, 7292, 7293, 7294, 7295, 7296, 7297, 7298, 7299, 7300, 7301, 7302, 7303, 7304, 7305, 7306 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33239.18-33239.31" + } + }, + "SAXIGP4ARBURST": { + "hide_name": 0, + "bits": [ 7307, 7308 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33240.17-33240.31" + } + }, + "SAXIGP4ARCACHE": { + "hide_name": 0, + "bits": [ 7309, 7310, 7311, 7312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33241.17-33241.31" + } + }, + "SAXIGP4ARID": { + "hide_name": 0, + "bits": [ 7313, 7314, 7315, 7316, 7317, 7318 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33242.17-33242.28" + } + }, + "SAXIGP4ARLEN": { + "hide_name": 0, + "bits": [ 7319, 7320, 7321, 7322, 7323, 7324, 7325, 7326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33243.17-33243.29" + } + }, + "SAXIGP4ARLOCK": { + "hide_name": 0, + "bits": [ 7327 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33244.11-33244.24" + } + }, + "SAXIGP4ARPROT": { + "hide_name": 0, + "bits": [ 7328, 7329, 7330 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33245.17-33245.30" + } + }, + "SAXIGP4ARQOS": { + "hide_name": 0, + "bits": [ 7331, 7332, 7333, 7334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33246.17-33246.29" + } + }, + "SAXIGP4ARREADY": { + "hide_name": 0, + "bits": [ 3312 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32722.12-32722.26" + } + }, + "SAXIGP4ARSIZE": { + "hide_name": 0, + "bits": [ 7335, 7336, 7337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33247.17-33247.30" + } + }, + "SAXIGP4ARUSER": { + "hide_name": 0, + "bits": [ 7338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33248.11-33248.24" + } + }, + "SAXIGP4ARVALID": { + "hide_name": 0, + "bits": [ 7339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33249.11-33249.25" + } + }, + "SAXIGP4AWADDR": { + "hide_name": 0, + "bits": [ 7340, 7341, 7342, 7343, 7344, 7345, 7346, 7347, 7348, 7349, 7350, 7351, 7352, 7353, 7354, 7355, 7356, 7357, 7358, 7359, 7360, 7361, 7362, 7363, 7364, 7365, 7366, 7367, 7368, 7369, 7370, 7371, 7372, 7373, 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33250.18-33250.31" + } + }, + "SAXIGP4AWBURST": { + "hide_name": 0, + "bits": [ 7389, 7390 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33251.17-33251.31" + } + }, + "SAXIGP4AWCACHE": { + "hide_name": 0, + "bits": [ 7391, 7392, 7393, 7394 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33252.17-33252.31" + } + }, + "SAXIGP4AWID": { + "hide_name": 0, + "bits": [ 7395, 7396, 7397, 7398, 7399, 7400 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33253.17-33253.28" + } + }, + "SAXIGP4AWLEN": { + "hide_name": 0, + "bits": [ 7401, 7402, 7403, 7404, 7405, 7406, 7407, 7408 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33254.17-33254.29" + } + }, + "SAXIGP4AWLOCK": { + "hide_name": 0, + "bits": [ 7409 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33255.11-33255.24" + } + }, + "SAXIGP4AWPROT": { + "hide_name": 0, + "bits": [ 7410, 7411, 7412 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33256.17-33256.30" + } + }, + "SAXIGP4AWQOS": { + "hide_name": 0, + "bits": [ 7413, 7414, 7415, 7416 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33257.17-33257.29" + } + }, + "SAXIGP4AWREADY": { + "hide_name": 0, + "bits": [ 3313 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32723.12-32723.26" + } + }, + "SAXIGP4AWSIZE": { + "hide_name": 0, + "bits": [ 7417, 7418, 7419 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33258.17-33258.30" + } + }, + "SAXIGP4AWUSER": { + "hide_name": 0, + "bits": [ 7420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33259.11-33259.24" + } + }, + "SAXIGP4AWVALID": { + "hide_name": 0, + "bits": [ 7421 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33260.11-33260.25" + } + }, + "SAXIGP4BID": { + "hide_name": 0, + "bits": [ 3314, 3315, 3316, 3317, 3318, 3319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32724.18-32724.28" + } + }, + "SAXIGP4BREADY": { + "hide_name": 0, + "bits": [ 7422 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33261.11-33261.24" + } + }, + "SAXIGP4BRESP": { + "hide_name": 0, + "bits": [ 3320, 3321 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32725.18-32725.30" + } + }, + "SAXIGP4BVALID": { + "hide_name": 0, + "bits": [ 3322 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32726.12-32726.25" + } + }, + "SAXIGP4RACOUNT": { + "hide_name": 0, + "bits": [ 3323, 3324, 3325, 3326 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32727.18-32727.32" + } + }, + "SAXIGP4RCLK": { + "hide_name": 0, + "bits": [ 7423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33262.11-33262.22" + } + }, + "SAXIGP4RCOUNT": { + "hide_name": 0, + "bits": [ 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32728.18-32728.31" + } + }, + "SAXIGP4RDATA": { + "hide_name": 0, + "bits": [ 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32729.20-32729.32" + } + }, + "SAXIGP4RID": { + "hide_name": 0, + "bits": [ 3463, 3464, 3465, 3466, 3467, 3468 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32730.18-32730.28" + } + }, + "SAXIGP4RLAST": { + "hide_name": 0, + "bits": [ 3469 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32731.12-32731.24" + } + }, + "SAXIGP4RREADY": { + "hide_name": 0, + "bits": [ 7424 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33263.11-33263.24" + } + }, + "SAXIGP4RRESP": { + "hide_name": 0, + "bits": [ 3470, 3471 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32732.18-32732.30" + } + }, + "SAXIGP4RVALID": { + "hide_name": 0, + "bits": [ 3472 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32733.12-32733.25" + } + }, + "SAXIGP4WACOUNT": { + "hide_name": 0, + "bits": [ 3473, 3474, 3475, 3476 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32734.18-32734.32" + } + }, + "SAXIGP4WCLK": { + "hide_name": 0, + "bits": [ 7425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33264.11-33264.22" + } + }, + "SAXIGP4WCOUNT": { + "hide_name": 0, + "bits": [ 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32735.18-32735.31" + } + }, + "SAXIGP4WDATA": { + "hide_name": 0, + "bits": [ 7426, 7427, 7428, 7429, 7430, 7431, 7432, 7433, 7434, 7435, 7436, 7437, 7438, 7439, 7440, 7441, 7442, 7443, 7444, 7445, 7446, 7447, 7448, 7449, 7450, 7451, 7452, 7453, 7454, 7455, 7456, 7457, 7458, 7459, 7460, 7461, 7462, 7463, 7464, 7465, 7466, 7467, 7468, 7469, 7470, 7471, 7472, 7473, 7474, 7475, 7476, 7477, 7478, 7479, 7480, 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510, 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540, 7541, 7542, 7543, 7544, 7545, 7546, 7547, 7548, 7549, 7550, 7551, 7552, 7553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33265.19-33265.31" + } + }, + "SAXIGP4WLAST": { + "hide_name": 0, + "bits": [ 7554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33266.11-33266.23" + } + }, + "SAXIGP4WREADY": { + "hide_name": 0, + "bits": [ 3485 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32736.12-32736.25" + } + }, + "SAXIGP4WSTRB": { + "hide_name": 0, + "bits": [ 7555, 7556, 7557, 7558, 7559, 7560, 7561, 7562, 7563, 7564, 7565, 7566, 7567, 7568, 7569, 7570 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33267.18-33267.30" + } + }, + "SAXIGP4WVALID": { + "hide_name": 0, + "bits": [ 7571 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33268.11-33268.24" + } + }, + "SAXIGP5ARADDR": { + "hide_name": 0, + "bits": [ 7572, 7573, 7574, 7575, 7576, 7577, 7578, 7579, 7580, 7581, 7582, 7583, 7584, 7585, 7586, 7587, 7588, 7589, 7590, 7591, 7592, 7593, 7594, 7595, 7596, 7597, 7598, 7599, 7600, 7601, 7602, 7603, 7604, 7605, 7606, 7607, 7608, 7609, 7610, 7611, 7612, 7613, 7614, 7615, 7616, 7617, 7618, 7619, 7620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33269.18-33269.31" + } + }, + "SAXIGP5ARBURST": { + "hide_name": 0, + "bits": [ 7621, 7622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33270.17-33270.31" + } + }, + "SAXIGP5ARCACHE": { + "hide_name": 0, + "bits": [ 7623, 7624, 7625, 7626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33271.17-33271.31" + } + }, + "SAXIGP5ARID": { + "hide_name": 0, + "bits": [ 7627, 7628, 7629, 7630, 7631, 7632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33272.17-33272.28" + } + }, + "SAXIGP5ARLEN": { + "hide_name": 0, + "bits": [ 7633, 7634, 7635, 7636, 7637, 7638, 7639, 7640 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33273.17-33273.29" + } + }, + "SAXIGP5ARLOCK": { + "hide_name": 0, + "bits": [ 7641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33274.11-33274.24" + } + }, + "SAXIGP5ARPROT": { + "hide_name": 0, + "bits": [ 7642, 7643, 7644 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33275.17-33275.30" + } + }, + "SAXIGP5ARQOS": { + "hide_name": 0, + "bits": [ 7645, 7646, 7647, 7648 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33276.17-33276.29" + } + }, + "SAXIGP5ARREADY": { + "hide_name": 0, + "bits": [ 3486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32737.12-32737.26" + } + }, + "SAXIGP5ARSIZE": { + "hide_name": 0, + "bits": [ 7649, 7650, 7651 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33277.17-33277.30" + } + }, + "SAXIGP5ARUSER": { + "hide_name": 0, + "bits": [ 7652 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33278.11-33278.24" + } + }, + "SAXIGP5ARVALID": { + "hide_name": 0, + "bits": [ 7653 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33279.11-33279.25" + } + }, + "SAXIGP5AWADDR": { + "hide_name": 0, + "bits": [ 7654, 7655, 7656, 7657, 7658, 7659, 7660, 7661, 7662, 7663, 7664, 7665, 7666, 7667, 7668, 7669, 7670, 7671, 7672, 7673, 7674, 7675, 7676, 7677, 7678, 7679, 7680, 7681, 7682, 7683, 7684, 7685, 7686, 7687, 7688, 7689, 7690, 7691, 7692, 7693, 7694, 7695, 7696, 7697, 7698, 7699, 7700, 7701, 7702 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33280.18-33280.31" + } + }, + "SAXIGP5AWBURST": { + "hide_name": 0, + "bits": [ 7703, 7704 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33281.17-33281.31" + } + }, + "SAXIGP5AWCACHE": { + "hide_name": 0, + "bits": [ 7705, 7706, 7707, 7708 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33282.17-33282.31" + } + }, + "SAXIGP5AWID": { + "hide_name": 0, + "bits": [ 7709, 7710, 7711, 7712, 7713, 7714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33283.17-33283.28" + } + }, + "SAXIGP5AWLEN": { + "hide_name": 0, + "bits": [ 7715, 7716, 7717, 7718, 7719, 7720, 7721, 7722 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33284.17-33284.29" + } + }, + "SAXIGP5AWLOCK": { + "hide_name": 0, + "bits": [ 7723 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33285.11-33285.24" + } + }, + "SAXIGP5AWPROT": { + "hide_name": 0, + "bits": [ 7724, 7725, 7726 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33286.17-33286.30" + } + }, + "SAXIGP5AWQOS": { + "hide_name": 0, + "bits": [ 7727, 7728, 7729, 7730 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33287.17-33287.29" + } + }, + "SAXIGP5AWREADY": { + "hide_name": 0, + "bits": [ 3487 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32738.12-32738.26" + } + }, + "SAXIGP5AWSIZE": { + "hide_name": 0, + "bits": [ 7731, 7732, 7733 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33288.17-33288.30" + } + }, + "SAXIGP5AWUSER": { + "hide_name": 0, + "bits": [ 7734 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33289.11-33289.24" + } + }, + "SAXIGP5AWVALID": { + "hide_name": 0, + "bits": [ 7735 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33290.11-33290.25" + } + }, + "SAXIGP5BID": { + "hide_name": 0, + "bits": [ 3488, 3489, 3490, 3491, 3492, 3493 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32739.18-32739.28" + } + }, + "SAXIGP5BREADY": { + "hide_name": 0, + "bits": [ 7736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33291.11-33291.24" + } + }, + "SAXIGP5BRESP": { + "hide_name": 0, + "bits": [ 3494, 3495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32740.18-32740.30" + } + }, + "SAXIGP5BVALID": { + "hide_name": 0, + "bits": [ 3496 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32741.12-32741.25" + } + }, + "SAXIGP5RACOUNT": { + "hide_name": 0, + "bits": [ 3497, 3498, 3499, 3500 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32742.18-32742.32" + } + }, + "SAXIGP5RCLK": { + "hide_name": 0, + "bits": [ 7737 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33292.11-33292.22" + } + }, + "SAXIGP5RCOUNT": { + "hide_name": 0, + "bits": [ 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32743.18-32743.31" + } + }, + "SAXIGP5RDATA": { + "hide_name": 0, + "bits": [ 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32744.20-32744.32" + } + }, + "SAXIGP5RID": { + "hide_name": 0, + "bits": [ 3637, 3638, 3639, 3640, 3641, 3642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32745.18-32745.28" + } + }, + "SAXIGP5RLAST": { + "hide_name": 0, + "bits": [ 3643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32746.12-32746.24" + } + }, + "SAXIGP5RREADY": { + "hide_name": 0, + "bits": [ 7738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33293.11-33293.24" + } + }, + "SAXIGP5RRESP": { + "hide_name": 0, + "bits": [ 3644, 3645 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32747.18-32747.30" + } + }, + "SAXIGP5RVALID": { + "hide_name": 0, + "bits": [ 3646 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32748.12-32748.25" + } + }, + "SAXIGP5WACOUNT": { + "hide_name": 0, + "bits": [ 3647, 3648, 3649, 3650 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32749.18-32749.32" + } + }, + "SAXIGP5WCLK": { + "hide_name": 0, + "bits": [ 7739 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33294.11-33294.22" + } + }, + "SAXIGP5WCOUNT": { + "hide_name": 0, + "bits": [ 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32750.18-32750.31" + } + }, + "SAXIGP5WDATA": { + "hide_name": 0, + "bits": [ 7740, 7741, 7742, 7743, 7744, 7745, 7746, 7747, 7748, 7749, 7750, 7751, 7752, 7753, 7754, 7755, 7756, 7757, 7758, 7759, 7760, 7761, 7762, 7763, 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 7828, 7829, 7830, 7831, 7832, 7833, 7834, 7835, 7836, 7837, 7838, 7839, 7840, 7841, 7842, 7843, 7844, 7845, 7846, 7847, 7848, 7849, 7850, 7851, 7852, 7853, 7854, 7855, 7856, 7857, 7858, 7859, 7860, 7861, 7862, 7863, 7864, 7865, 7866, 7867 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33295.19-33295.31" + } + }, + "SAXIGP5WLAST": { + "hide_name": 0, + "bits": [ 7868 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33296.11-33296.23" + } + }, + "SAXIGP5WREADY": { + "hide_name": 0, + "bits": [ 3659 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32751.12-32751.25" + } + }, + "SAXIGP5WSTRB": { + "hide_name": 0, + "bits": [ 7869, 7870, 7871, 7872, 7873, 7874, 7875, 7876, 7877, 7878, 7879, 7880, 7881, 7882, 7883, 7884 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33297.18-33297.30" + } + }, + "SAXIGP5WVALID": { + "hide_name": 0, + "bits": [ 7885 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33298.11-33298.24" + } + }, + "SAXIGP6ARADDR": { + "hide_name": 0, + "bits": [ 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 7898, 7899, 7900, 7901, 7902, 7903, 7904, 7905, 7906, 7907, 7908, 7909, 7910, 7911, 7912, 7913, 7914, 7915, 7916, 7917, 7918, 7919, 7920, 7921, 7922, 7923, 7924, 7925, 7926, 7927, 7928, 7929, 7930, 7931, 7932, 7933, 7934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33299.18-33299.31" + } + }, + "SAXIGP6ARBURST": { + "hide_name": 0, + "bits": [ 7935, 7936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33300.17-33300.31" + } + }, + "SAXIGP6ARCACHE": { + "hide_name": 0, + "bits": [ 7937, 7938, 7939, 7940 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33301.17-33301.31" + } + }, + "SAXIGP6ARID": { + "hide_name": 0, + "bits": [ 7941, 7942, 7943, 7944, 7945, 7946 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33302.17-33302.28" + } + }, + "SAXIGP6ARLEN": { + "hide_name": 0, + "bits": [ 7947, 7948, 7949, 7950, 7951, 7952, 7953, 7954 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33303.17-33303.29" + } + }, + "SAXIGP6ARLOCK": { + "hide_name": 0, + "bits": [ 7955 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33304.11-33304.24" + } + }, + "SAXIGP6ARPROT": { + "hide_name": 0, + "bits": [ 7956, 7957, 7958 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33305.17-33305.30" + } + }, + "SAXIGP6ARQOS": { + "hide_name": 0, + "bits": [ 7959, 7960, 7961, 7962 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33306.17-33306.29" + } + }, + "SAXIGP6ARREADY": { + "hide_name": 0, + "bits": [ 3660 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32752.12-32752.26" + } + }, + "SAXIGP6ARSIZE": { + "hide_name": 0, + "bits": [ 7963, 7964, 7965 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33307.17-33307.30" + } + }, + "SAXIGP6ARUSER": { + "hide_name": 0, + "bits": [ 7966 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33308.11-33308.24" + } + }, + "SAXIGP6ARVALID": { + "hide_name": 0, + "bits": [ 7967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33309.11-33309.25" + } + }, + "SAXIGP6AWADDR": { + "hide_name": 0, + "bits": [ 7968, 7969, 7970, 7971, 7972, 7973, 7974, 7975, 7976, 7977, 7978, 7979, 7980, 7981, 7982, 7983, 7984, 7985, 7986, 7987, 7988, 7989, 7990, 7991, 7992, 7993, 7994, 7995, 7996, 7997, 7998, 7999, 8000, 8001, 8002, 8003, 8004, 8005, 8006, 8007, 8008, 8009, 8010, 8011, 8012, 8013, 8014, 8015, 8016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33310.18-33310.31" + } + }, + "SAXIGP6AWBURST": { + "hide_name": 0, + "bits": [ 8017, 8018 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33311.17-33311.31" + } + }, + "SAXIGP6AWCACHE": { + "hide_name": 0, + "bits": [ 8019, 8020, 8021, 8022 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33312.17-33312.31" + } + }, + "SAXIGP6AWID": { + "hide_name": 0, + "bits": [ 8023, 8024, 8025, 8026, 8027, 8028 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33313.17-33313.28" + } + }, + "SAXIGP6AWLEN": { + "hide_name": 0, + "bits": [ 8029, 8030, 8031, 8032, 8033, 8034, 8035, 8036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33314.17-33314.29" + } + }, + "SAXIGP6AWLOCK": { + "hide_name": 0, + "bits": [ 8037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33315.11-33315.24" + } + }, + "SAXIGP6AWPROT": { + "hide_name": 0, + "bits": [ 8038, 8039, 8040 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33316.17-33316.30" + } + }, + "SAXIGP6AWQOS": { + "hide_name": 0, + "bits": [ 8041, 8042, 8043, 8044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33317.17-33317.29" + } + }, + "SAXIGP6AWREADY": { + "hide_name": 0, + "bits": [ 3661 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32753.12-32753.26" + } + }, + "SAXIGP6AWSIZE": { + "hide_name": 0, + "bits": [ 8045, 8046, 8047 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33318.17-33318.30" + } + }, + "SAXIGP6AWUSER": { + "hide_name": 0, + "bits": [ 8048 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33319.11-33319.24" + } + }, + "SAXIGP6AWVALID": { + "hide_name": 0, + "bits": [ 8049 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33320.11-33320.25" + } + }, + "SAXIGP6BID": { + "hide_name": 0, + "bits": [ 3662, 3663, 3664, 3665, 3666, 3667 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32754.18-32754.28" + } + }, + "SAXIGP6BREADY": { + "hide_name": 0, + "bits": [ 8050 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33321.11-33321.24" + } + }, + "SAXIGP6BRESP": { + "hide_name": 0, + "bits": [ 3668, 3669 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32755.18-32755.30" + } + }, + "SAXIGP6BVALID": { + "hide_name": 0, + "bits": [ 3670 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32756.12-32756.25" + } + }, + "SAXIGP6RACOUNT": { + "hide_name": 0, + "bits": [ 3671, 3672, 3673, 3674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32757.18-32757.32" + } + }, + "SAXIGP6RCLK": { + "hide_name": 0, + "bits": [ 8051 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33322.11-33322.22" + } + }, + "SAXIGP6RCOUNT": { + "hide_name": 0, + "bits": [ 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32758.18-32758.31" + } + }, + "SAXIGP6RDATA": { + "hide_name": 0, + "bits": [ 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32759.20-32759.32" + } + }, + "SAXIGP6RID": { + "hide_name": 0, + "bits": [ 3811, 3812, 3813, 3814, 3815, 3816 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32760.18-32760.28" + } + }, + "SAXIGP6RLAST": { + "hide_name": 0, + "bits": [ 3817 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32761.12-32761.24" + } + }, + "SAXIGP6RREADY": { + "hide_name": 0, + "bits": [ 8052 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33323.11-33323.24" + } + }, + "SAXIGP6RRESP": { + "hide_name": 0, + "bits": [ 3818, 3819 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32762.18-32762.30" + } + }, + "SAXIGP6RVALID": { + "hide_name": 0, + "bits": [ 3820 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32763.12-32763.25" + } + }, + "SAXIGP6WACOUNT": { + "hide_name": 0, + "bits": [ 3821, 3822, 3823, 3824 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32764.18-32764.32" + } + }, + "SAXIGP6WCLK": { + "hide_name": 0, + "bits": [ 8053 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33324.11-33324.22" + } + }, + "SAXIGP6WCOUNT": { + "hide_name": 0, + "bits": [ 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32765.18-32765.31" + } + }, + "SAXIGP6WDATA": { + "hide_name": 0, + "bits": [ 8054, 8055, 8056, 8057, 8058, 8059, 8060, 8061, 8062, 8063, 8064, 8065, 8066, 8067, 8068, 8069, 8070, 8071, 8072, 8073, 8074, 8075, 8076, 8077, 8078, 8079, 8080, 8081, 8082, 8083, 8084, 8085, 8086, 8087, 8088, 8089, 8090, 8091, 8092, 8093, 8094, 8095, 8096, 8097, 8098, 8099, 8100, 8101, 8102, 8103, 8104, 8105, 8106, 8107, 8108, 8109, 8110, 8111, 8112, 8113, 8114, 8115, 8116, 8117, 8118, 8119, 8120, 8121, 8122, 8123, 8124, 8125, 8126, 8127, 8128, 8129, 8130, 8131, 8132, 8133, 8134, 8135, 8136, 8137, 8138, 8139, 8140, 8141, 8142, 8143, 8144, 8145, 8146, 8147, 8148, 8149, 8150, 8151, 8152, 8153, 8154, 8155, 8156, 8157, 8158, 8159, 8160, 8161, 8162, 8163, 8164, 8165, 8166, 8167, 8168, 8169, 8170, 8171, 8172, 8173, 8174, 8175, 8176, 8177, 8178, 8179, 8180, 8181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33325.19-33325.31" + } + }, + "SAXIGP6WLAST": { + "hide_name": 0, + "bits": [ 8182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33326.11-33326.23" + } + }, + "SAXIGP6WREADY": { + "hide_name": 0, + "bits": [ 3833 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:32766.12-32766.25" + } + }, + "SAXIGP6WSTRB": { + "hide_name": 0, + "bits": [ 8183, 8184, 8185, 8186, 8187, 8188, 8189, 8190, 8191, 8192, 8193, 8194, 8195, 8196, 8197, 8198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33327.18-33327.30" + } + }, + "SAXIGP6WVALID": { + "hide_name": 0, + "bits": [ 8199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33328.11-33328.24" + } + }, + "STMEVENT": { + "hide_name": 0, + "bits": [ 8200, 8201, 8202, 8203, 8204, 8205, 8206, 8207, 8208, 8209, 8210, 8211, 8212, 8213, 8214, 8215, 8216, 8217, 8218, 8219, 8220, 8221, 8222, 8223, 8224, 8225, 8226, 8227, 8228, 8229, 8230, 8231, 8232, 8233, 8234, 8235, 8236, 8237, 8238, 8239, 8240, 8241, 8242, 8243, 8244, 8245, 8246, 8247, 8248, 8249, 8250, 8251, 8252, 8253, 8254, 8255, 8256, 8257, 8258, 8259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33329.18-33329.26" + } + } + } + }, + "PULLDOWN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7946.1-7948.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7947.12-7947.13" + } + } + } + }, + "PULLUP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7950.1-7952.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7951.12-7951.13" + } + } + } + }, + "RAM128X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1695.1-1754.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13 ] + }, + "DPRA": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1702.16-1702.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1697.16-1697.17" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1696.16-1696.19" + } + }, + "DPRA": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1703.16-1703.20" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1696.21-1696.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1700.16-1700.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1701.16-1701.18" + } + } + } + }, + "RAM128X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1127.1-1143.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1129.9-1129.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1129.13-1129.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1129.17-1129.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1129.21-1129.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1129.25-1129.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1129.29-1129.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1129.33-1129.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1130.9-1130.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1128.10-1128.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1133.9-1133.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1134.9-1134.11" + } + } + } + }, + "RAM128X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1145.1-1161.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1147.9-1147.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1147.13-1147.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1147.17-1147.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1147.21-1147.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1147.25-1147.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1147.29-1147.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1147.33-1147.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1148.9-1148.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1146.10-1146.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1151.9-1151.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1152.9-1152.11" + } + } + } + }, + "RAM16X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1440.1-1459.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1447.10-1447.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1447.14-1447.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1447.18-1447.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1447.22-1447.24" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1442.10-1442.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1441.10-1441.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1448.10-1448.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1448.17-1448.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1448.24-1448.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1448.31-1448.36" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1441.15-1441.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1445.10-1445.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1446.10-1446.12" + } + } + } + }, + "RAM16X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1461.1-1480.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1468.10-1468.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1468.14-1468.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1468.18-1468.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1468.22-1468.24" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1463.10-1463.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1462.10-1462.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1469.10-1469.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1469.17-1469.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1469.24-1469.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1469.31-1469.36" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1462.15-1462.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1466.10-1466.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1467.10-1467.12" + } + } + } + }, + "RAM16X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1019.1-1035.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "WE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1021.9-1021.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1021.13-1021.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1021.17-1021.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1021.21-1021.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1022.9-1022.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1020.10-1020.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1025.9-1025.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1026.9-1026.11" + } + } + } + }, + "RAM16X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1037.1-1053.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "D": { + "direction": "input", + "bits": [ 7 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "WE": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1039.9-1039.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1039.13-1039.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1039.17-1039.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1039.21-1039.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1040.9-1040.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1038.10-1038.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1043.9-1043.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1044.9-1044.11" + } + } + } + }, + "RAM16X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1199.1-1222.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "D0": { + "direction": "input", + "bits": [ 8 ] + }, + "D1": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1201.9-1201.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1201.13-1201.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1201.17-1201.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1201.21-1201.23" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1202.9-1202.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1202.13-1202.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1200.10-1200.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1200.14-1200.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1205.9-1205.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1206.9-1206.11" + } + } + } + }, + "RAM16X4S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1274.1-1305.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "INIT_02": "0000000000000000", + "INIT_03": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "O2": { + "direction": "output", + "bits": [ 4 ] + }, + "O3": { + "direction": "output", + "bits": [ 5 ] + }, + "A0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "A2": { + "direction": "input", + "bits": [ 8 ] + }, + "A3": { + "direction": "input", + "bits": [ 9 ] + }, + "D0": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "D2": { + "direction": "input", + "bits": [ 12 ] + }, + "D3": { + "direction": "input", + "bits": [ 13 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 14 ] + }, + "WE": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1276.9-1276.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1276.13-1276.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1276.17-1276.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1276.21-1276.23" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1277.9-1277.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1277.13-1277.15" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1277.17-1277.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1277.21-1277.23" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1275.10-1275.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1275.14-1275.16" + } + }, + "O2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1275.18-1275.20" + } + }, + "O3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1275.22-1275.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1280.9-1280.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1281.9-1281.11" + } + } + } + }, + "RAM16X8S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1340.1-1387.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000", + "INIT_01": "0000000000000000", + "INIT_02": "0000000000000000", + "INIT_03": "0000000000000000", + "INIT_04": "0000000000000000", + "INIT_05": "0000000000000000", + "INIT_06": "0000000000000000", + "INIT_07": "0000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A0": { + "direction": "input", + "bits": [ 10 ] + }, + "A1": { + "direction": "input", + "bits": [ 11 ] + }, + "A2": { + "direction": "input", + "bits": [ 12 ] + }, + "A3": { + "direction": "input", + "bits": [ 13 ] + }, + "D": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 22 ] + }, + "WE": { + "direction": "input", + "bits": [ 23 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1342.9-1342.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1342.13-1342.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1342.17-1342.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1342.21-1342.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1343.15-1343.16" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1341.16-1341.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1346.9-1346.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1347.9-1347.11" + } + } + } + }, + "RAM256X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1756.1-1772.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DPRA": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1763.16-1763.17" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1758.16-1758.17" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1757.10-1757.13" + } + }, + "DPRA": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1763.19-1763.23" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1757.15-1757.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1761.16-1761.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1762.16-1762.18" + } + } + } + }, + "RAM256X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1163.1-1178.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "D": { + "direction": "input", + "bits": [ 11 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WE": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1165.15-1165.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1166.9-1166.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1164.10-1164.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1169.9-1169.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1170.9-1170.11" + } + } + } + }, + "RAM32M": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1777.1-1879.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DOB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "DOC": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "DOD": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30, 31 ] + }, + "DIB": { + "direction": "input", + "bits": [ 32, 33 ] + }, + "DIC": { + "direction": "input", + "bits": [ 34, 35 ] + }, + "DID": { + "direction": "input", + "bits": [ 36, 37 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 38 ] + }, + "WE": { + "direction": "input", + "bits": [ 39 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1782.16-1782.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1782.23-1782.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1782.30-1782.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1783.16-1783.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30, 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1784.16-1784.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1785.16-1785.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1786.16-1786.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1787.16-1787.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1778.16-1778.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1779.16-1779.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1780.16-1780.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1781.16-1781.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1790.16-1790.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1791.16-1791.18" + } + } + } + }, + "RAM32M16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1881.1-1948.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DOB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "DOC": { + "direction": "output", + "bits": [ 6, 7 ] + }, + "DOD": { + "direction": "output", + "bits": [ 8, 9 ] + }, + "DOE": { + "direction": "output", + "bits": [ 10, 11 ] + }, + "DOF": { + "direction": "output", + "bits": [ 12, 13 ] + }, + "DOG": { + "direction": "output", + "bits": [ 14, 15 ] + }, + "DOH": { + "direction": "output", + "bits": [ 16, 17 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 33, 34, 35, 36, 37 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 48, 49, 50, 51, 52 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59 ] + }, + "DIB": { + "direction": "input", + "bits": [ 60, 61 ] + }, + "DIC": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DID": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "DIE": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIF": { + "direction": "input", + "bits": [ 68, 69 ] + }, + "DIG": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "DIH": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "WE": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1890.15-1890.20" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1891.15-1891.20" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1892.15-1892.20" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1893.15-1893.20" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1894.15-1894.20" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1895.15-1895.20" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1896.15-1896.20" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1897.15-1897.20" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1898.15-1898.18" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1899.15-1899.18" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1900.15-1900.18" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1901.15-1901.18" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1902.15-1902.18" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1903.15-1903.18" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1904.15-1904.18" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1905.15-1905.18" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1882.16-1882.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1883.16-1883.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1884.16-1884.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1885.16-1885.19" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1886.16-1886.19" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 12, 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1887.16-1887.19" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1888.16-1888.19" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1889.16-1889.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1908.9-1908.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1909.9-1909.11" + } + } + } + }, + "RAM32X16DR8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2106.1-2152.10" + }, + "parameter_default_values": { + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "DOE": { + "direction": "output", + "bits": [ 6 ] + }, + "DOF": { + "direction": "output", + "bits": [ 7 ] + }, + "DOG": { + "direction": "output", + "bits": [ 8 ] + }, + "DOH": { + "direction": "output", + "bits": [ 9, 10 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 17, 18, 19, 20, 21, 22 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 41, 42, 43, 44, 45, 46 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 47, 48, 49, 50, 51, 52 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59 ] + }, + "DIB": { + "direction": "input", + "bits": [ 60, 61 ] + }, + "DIC": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DID": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "DIE": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIF": { + "direction": "input", + "bits": [ 68, 69 ] + }, + "DIG": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "DIH": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 74 ] + }, + "WE": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2115.16-2115.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2115.23-2115.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2115.30-2115.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2115.37-2115.42" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2115.44-2115.49" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2115.51-2115.56" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2115.58-2115.63" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2116.16-2116.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2117.16-2117.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2118.16-2118.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2119.16-2119.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2120.16-2120.19" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2121.16-2121.19" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2122.16-2122.19" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2123.16-2123.19" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2124.16-2124.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2107.16-2107.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2108.16-2108.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2109.16-2109.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2110.16-2110.19" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2111.16-2111.19" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2112.16-2112.19" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2113.16-2113.19" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2114.16-2114.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2127.16-2127.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2128.16-2128.18" + } + } + } + }, + "RAM32X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1483.1-1535.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1490.10-1490.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1490.14-1490.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1490.18-1490.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1490.22-1490.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1490.26-1490.28" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1485.10-1485.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1484.10-1484.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1491.10-1491.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1491.17-1491.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1491.24-1491.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1491.31-1491.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1491.38-1491.43" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1484.15-1484.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1488.10-1488.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1489.10-1489.12" + } + } + } + }, + "RAM32X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1538.1-1585.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1545.10-1545.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1546.10-1546.12" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1547.10-1547.12" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1548.10-1548.12" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1549.10-1549.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1540.10-1540.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1539.10-1539.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1550.10-1550.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1550.17-1550.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1550.24-1550.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1550.31-1550.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1550.38-1550.43" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1539.15-1539.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1543.10-1543.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1544.10-1544.12" + } + } + } + }, + "RAM32X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1055.1-1071.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "WE": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1057.9-1057.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1057.13-1057.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1057.17-1057.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1057.21-1057.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1057.25-1057.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1058.9-1058.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1056.10-1056.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1061.9-1061.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1062.9-1062.11" + } + } + } + }, + "RAM32X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1073.1-1089.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "WE": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1075.9-1075.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1075.13-1075.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1075.17-1075.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1075.21-1075.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1075.25-1075.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1076.9-1076.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1074.10-1074.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1079.9-1079.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1080.9-1080.11" + } + } + } + }, + "RAM32X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1224.1-1247.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "A4": { + "direction": "input", + "bits": [ 8 ] + }, + "D0": { + "direction": "input", + "bits": [ 9 ] + }, + "D1": { + "direction": "input", + "bits": [ 10 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "WE": { + "direction": "input", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1226.9-1226.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1226.13-1226.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1226.17-1226.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1226.21-1226.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1226.25-1226.27" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1227.9-1227.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1227.13-1227.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1225.10-1225.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1225.14-1225.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1230.9-1230.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1231.9-1231.11" + } + } + } + }, + "RAM32X4S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1307.1-1338.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "INIT_02": "00000000000000000000000000000000", + "INIT_03": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "O2": { + "direction": "output", + "bits": [ 4 ] + }, + "O3": { + "direction": "output", + "bits": [ 5 ] + }, + "A0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "A2": { + "direction": "input", + "bits": [ 8 ] + }, + "A3": { + "direction": "input", + "bits": [ 9 ] + }, + "A4": { + "direction": "input", + "bits": [ 10 ] + }, + "D0": { + "direction": "input", + "bits": [ 11 ] + }, + "D1": { + "direction": "input", + "bits": [ 12 ] + }, + "D2": { + "direction": "input", + "bits": [ 13 ] + }, + "D3": { + "direction": "input", + "bits": [ 14 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 15 ] + }, + "WE": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1309.9-1309.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1309.13-1309.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1309.17-1309.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1309.21-1309.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1309.25-1309.27" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1310.9-1310.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1310.13-1310.15" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1310.17-1310.19" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1310.21-1310.23" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1308.10-1308.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1308.14-1308.16" + } + }, + "O2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1308.18-1308.20" + } + }, + "O3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1308.22-1308.24" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1313.9-1313.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1314.9-1314.11" + } + } + } + }, + "RAM32X8S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1389.1-1436.10" + }, + "parameter_default_values": { + "INIT_00": "00000000000000000000000000000000", + "INIT_01": "00000000000000000000000000000000", + "INIT_02": "00000000000000000000000000000000", + "INIT_03": "00000000000000000000000000000000", + "INIT_04": "00000000000000000000000000000000", + "INIT_05": "00000000000000000000000000000000", + "INIT_06": "00000000000000000000000000000000", + "INIT_07": "00000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A0": { + "direction": "input", + "bits": [ 10 ] + }, + "A1": { + "direction": "input", + "bits": [ 11 ] + }, + "A2": { + "direction": "input", + "bits": [ 12 ] + }, + "A3": { + "direction": "input", + "bits": [ 13 ] + }, + "A4": { + "direction": "input", + "bits": [ 14 ] + }, + "D": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 23 ] + }, + "WE": { + "direction": "input", + "bits": [ 24 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1391.9-1391.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1391.13-1391.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1391.17-1391.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1391.21-1391.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1391.25-1391.27" + } + }, + "D": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1392.15-1392.16" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1390.16-1390.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1395.9-1395.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1396.9-1396.11" + } + } + } + }, + "RAM512X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1180.1-1195.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ] + }, + "D": { + "direction": "input", + "bits": [ 12 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 13 ] + }, + "WE": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1182.15-1182.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1183.9-1183.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1181.10-1181.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1186.9-1186.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1187.9-1187.11" + } + } + } + }, + "RAM64M": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1951.1-2035.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22, 23 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30 ] + }, + "DIB": { + "direction": "input", + "bits": [ 31 ] + }, + "DIC": { + "direction": "input", + "bits": [ 32 ] + }, + "DID": { + "direction": "input", + "bits": [ 33 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 34 ] + }, + "WE": { + "direction": "input", + "bits": [ 35 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1956.16-1956.21" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1956.23-1956.28" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1956.30-1956.35" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1957.16-1957.21" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1958.16-1958.19" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1959.16-1959.19" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1960.16-1960.19" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1961.16-1961.19" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1952.16-1952.19" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1953.16-1953.19" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1954.16-1954.19" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1955.16-1955.19" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1964.16-1964.20" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1965.16-1965.18" + } + } + } + }, + "RAM64M8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2037.1-2104.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "DOB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOC": { + "direction": "output", + "bits": [ 4 ] + }, + "DOD": { + "direction": "output", + "bits": [ 5 ] + }, + "DOE": { + "direction": "output", + "bits": [ 6 ] + }, + "DOF": { + "direction": "output", + "bits": [ 7 ] + }, + "DOG": { + "direction": "output", + "bits": [ 8 ] + }, + "DOH": { + "direction": "output", + "bits": [ 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 16, 17, 18, 19, 20, 21 ] + }, + "ADDRC": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27 ] + }, + "ADDRD": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33 ] + }, + "ADDRE": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39 ] + }, + "ADDRF": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45 ] + }, + "ADDRG": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51 ] + }, + "ADDRH": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58 ] + }, + "DIB": { + "direction": "input", + "bits": [ 59 ] + }, + "DIC": { + "direction": "input", + "bits": [ 60 ] + }, + "DID": { + "direction": "input", + "bits": [ 61 ] + }, + "DIE": { + "direction": "input", + "bits": [ 62 ] + }, + "DIF": { + "direction": "input", + "bits": [ 63 ] + }, + "DIG": { + "direction": "input", + "bits": [ 64 ] + }, + "DIH": { + "direction": "input", + "bits": [ 65 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 66 ] + }, + "WE": { + "direction": "input", + "bits": [ 67 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2046.15-2046.20" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2047.15-2047.20" + } + }, + "ADDRC": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2048.15-2048.20" + } + }, + "ADDRD": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2049.15-2049.20" + } + }, + "ADDRE": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2050.15-2050.20" + } + }, + "ADDRF": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2051.15-2051.20" + } + }, + "ADDRG": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2052.15-2052.20" + } + }, + "ADDRH": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2053.15-2053.20" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2054.9-2054.12" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2055.9-2055.12" + } + }, + "DIC": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2056.9-2056.12" + } + }, + "DID": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2057.9-2057.12" + } + }, + "DIE": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2058.9-2058.12" + } + }, + "DIF": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2059.9-2059.12" + } + }, + "DIG": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2060.9-2060.12" + } + }, + "DIH": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2061.9-2061.12" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2038.10-2038.13" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2039.10-2039.13" + } + }, + "DOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2040.10-2040.13" + } + }, + "DOD": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2041.10-2041.13" + } + }, + "DOE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2042.10-2042.13" + } + }, + "DOF": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2043.10-2043.13" + } + }, + "DOG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2044.10-2044.13" + } + }, + "DOH": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2045.10-2045.13" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2064.9-2064.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2065.9-2065.11" + } + } + } + }, + "RAM64X1D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1588.1-1644.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "A5": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 17 ] + }, + "DPRA5": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1595.10-1595.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1595.14-1595.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1595.18-1595.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1595.22-1595.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1595.26-1595.28" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1595.30-1595.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1590.10-1590.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1589.10-1589.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1596.10-1596.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1596.17-1596.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1596.24-1596.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1596.31-1596.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1596.38-1596.43" + } + }, + "DPRA5": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1596.45-1596.50" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1589.15-1589.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1593.10-1593.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1594.10-1594.12" + } + } + } + }, + "RAM64X1D_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1646.1-1692.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "DPO": { + "direction": "output", + "bits": [ 2 ] + }, + "SPO": { + "direction": "output", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "WE": { + "direction": "input", + "bits": [ 6 ] + }, + "A0": { + "direction": "input", + "bits": [ 7 ] + }, + "A1": { + "direction": "input", + "bits": [ 8 ] + }, + "A2": { + "direction": "input", + "bits": [ 9 ] + }, + "A3": { + "direction": "input", + "bits": [ 10 ] + }, + "A4": { + "direction": "input", + "bits": [ 11 ] + }, + "A5": { + "direction": "input", + "bits": [ 12 ] + }, + "DPRA0": { + "direction": "input", + "bits": [ 13 ] + }, + "DPRA1": { + "direction": "input", + "bits": [ 14 ] + }, + "DPRA2": { + "direction": "input", + "bits": [ 15 ] + }, + "DPRA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DPRA4": { + "direction": "input", + "bits": [ 17 ] + }, + "DPRA5": { + "direction": "input", + "bits": [ 18 ] + } + }, + "cells": { + "$specify$241": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000111000101", + "T_LIMIT_MIN": "00000000000000000000000111000101", + "T_LIMIT_TYP": "00000000000000000000000111000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1667.5-1667.42" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 5 ], + "DST_EN": [ 6 ], + "SRC": [ 4 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$242": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001010001110", + "T_LIMIT_MIN": "00000000000000000000001010001110", + "T_LIMIT_TYP": "00000000000000000000001010001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1669.5-1669.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 5 ], + "DST_EN": [ "1" ], + "SRC": [ 6 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$243": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101101010", + "T_LIMIT_MIN": "00000000000000000000000101101010", + "T_LIMIT_TYP": "00000000000000000000000101101010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1671.5-1671.42" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 5 ], + "DST_EN": [ 6 ], + "SRC": [ 7 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$244": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000011110101", + "T_LIMIT_MIN": "00000000000000000000000011110101", + "T_LIMIT_TYP": "00000000000000000000000011110101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1673.5-1673.42" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 5 ], + "DST_EN": [ 6 ], + "SRC": [ 8 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$245": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000011010000", + "T_LIMIT_MIN": "00000000000000000000000011010000", + "T_LIMIT_TYP": "00000000000000000000000011010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1675.5-1675.42" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 5 ], + "DST_EN": [ 6 ], + "SRC": [ 9 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$246": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000010010011", + "T_LIMIT_MIN": "00000000000000000000000010010011", + "T_LIMIT_TYP": "00000000000000000000000010010011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1677.5-1677.42" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 5 ], + "DST_EN": [ 6 ], + "SRC": [ 10 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$247": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000001000100", + "T_LIMIT_MIN": "00000000000000000000000001000100", + "T_LIMIT_TYP": "00000000000000000000000001000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1679.5-1679.41" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 5 ], + "DST_EN": [ 6 ], + "SRC": [ 11 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$248": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000001000010", + "T_LIMIT_MIN": "00000000000000000000000001000010", + "T_LIMIT_TYP": "00000000000000000000000001000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1681.5-1681.41" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 5 ], + "DST_EN": [ 6 ], + "SRC": [ 12 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$249": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "EDGE_EN": "1", + "EDGE_POL": "0", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010000001", + "T_FALL_MIN": "00000000000000000000010010000001", + "T_FALL_TYP": "00000000000000000000010010000001", + "T_RISE_MAX": "00000000000000000000010010000001", + "T_RISE_MIN": "00000000000000000000010010000001", + "T_RISE_TYP": "00000000000000000000010010000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1683.5-1683.51" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ 4 ], + "DST": [ 3 ], + "EN": [ 6 ], + "SRC": [ 5 ] + } + }, + "$specify$250": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "EDGE_EN": "1", + "EDGE_POL": "0", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010000001", + "T_FALL_MIN": "00000000000000000000010010000001", + "T_FALL_TYP": "00000000000000000000010010000001", + "T_RISE_MAX": "00000000000000000000010010000001", + "T_RISE_MIN": "00000000000000000000010010000001", + "T_RISE_TYP": "00000000000000000000010010000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1684.5-1684.51" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x" ], + "DST": [ 2 ], + "EN": [ 6 ], + "SRC": [ 5 ] + } + }, + "$specify$251": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010000010", + "T_FALL_MIN": "00000000000000000000001010000010", + "T_FALL_TYP": "00000000000000000000001010000010", + "T_RISE_MAX": "00000000000000000000001010000010", + "T_RISE_MIN": "00000000000000000000001010000010", + "T_RISE_TYP": "00000000000000000000001010000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1685.5-1685.23" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$252": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010000010", + "T_FALL_MIN": "00000000000000000000001010000010", + "T_FALL_TYP": "00000000000000000000001010000010", + "T_RISE_MAX": "00000000000000000000001010000010", + "T_RISE_MIN": "00000000000000000000001010000010", + "T_RISE_TYP": "00000000000000000000001010000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1685.24-1685.45" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 13 ] + } + }, + "$specify$253": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001111000", + "T_FALL_MIN": "00000000000000000000001001111000", + "T_FALL_TYP": "00000000000000000000001001111000", + "T_RISE_MAX": "00000000000000000000001001111000", + "T_RISE_MIN": "00000000000000000000001001111000", + "T_RISE_TYP": "00000000000000000000001001111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1686.5-1686.23" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + }, + "$specify$254": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001110111", + "T_FALL_MIN": "00000000000000000000001001110111", + "T_FALL_TYP": "00000000000000000000001001110111", + "T_RISE_MAX": "00000000000000000000001001110111", + "T_RISE_MIN": "00000000000000000000001001110111", + "T_RISE_TYP": "00000000000000000000001001110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1686.24-1686.45" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 14 ] + } + }, + "$specify$255": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111011000", + "T_FALL_MIN": "00000000000000000000000111011000", + "T_FALL_TYP": "00000000000000000000000111011000", + "T_RISE_MAX": "00000000000000000000000111011000", + "T_RISE_MIN": "00000000000000000000000111011000", + "T_RISE_TYP": "00000000000000000000000111011000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1687.5-1687.23" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + }, + "$specify$256": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111011000", + "T_FALL_MIN": "00000000000000000000000111011000", + "T_FALL_TYP": "00000000000000000000000111011000", + "T_RISE_MAX": "00000000000000000000000111011000", + "T_RISE_MIN": "00000000000000000000000111011000", + "T_RISE_TYP": "00000000000000000000000111011000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1687.24-1687.45" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 15 ] + } + }, + "$specify$257": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110010111", + "T_FALL_MIN": "00000000000000000000000110010111", + "T_FALL_TYP": "00000000000000000000000110010111", + "T_RISE_MAX": "00000000000000000000000110010111", + "T_RISE_MIN": "00000000000000000000000110010111", + "T_RISE_TYP": "00000000000000000000000110010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1688.5-1688.23" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 10 ] + } + }, + "$specify$258": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110010111", + "T_FALL_MIN": "00000000000000000000000110010111", + "T_FALL_TYP": "00000000000000000000000110010111", + "T_RISE_MAX": "00000000000000000000000110010111", + "T_RISE_MIN": "00000000000000000000000110010111", + "T_RISE_TYP": "00000000000000000000000110010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1688.24-1688.45" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 16 ] + } + }, + "$specify$259": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011101110", + "T_FALL_MIN": "00000000000000000000000011101110", + "T_FALL_TYP": "00000000000000000000000011101110", + "T_RISE_MAX": "00000000000000000000000011101110", + "T_RISE_MIN": "00000000000000000000000011101110", + "T_RISE_TYP": "00000000000000000000000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1689.5-1689.23" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 11 ] + } + }, + "$specify$260": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011101110", + "T_FALL_MIN": "00000000000000000000000011101110", + "T_FALL_TYP": "00000000000000000000000011101110", + "T_RISE_MAX": "00000000000000000000000011101110", + "T_RISE_MIN": "00000000000000000000000011101110", + "T_RISE_TYP": "00000000000000000000000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1689.24-1689.45" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 17 ] + } + }, + "$specify$261": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1690.5-1690.23" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 12 ] + } + }, + "$specify$262": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001111111", + "T_FALL_MIN": "00000000000000000000000001111111", + "T_FALL_TYP": "00000000000000000000000001111111", + "T_RISE_MAX": "00000000000000000000000001111111", + "T_RISE_MIN": "00000000000000000000000001111111", + "T_RISE_TYP": "00000000000000000000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1690.24-1690.45" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 18 ] + } + } + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1653.10-1653.12" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1653.14-1653.16" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1653.18-1653.20" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1653.22-1653.24" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1653.26-1653.28" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1653.30-1653.32" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1648.10-1648.11" + } + }, + "DPO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1647.10-1647.13" + } + }, + "DPRA0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1654.10-1654.15" + } + }, + "DPRA1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1654.17-1654.22" + } + }, + "DPRA2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1654.24-1654.29" + } + }, + "DPRA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1654.31-1654.36" + } + }, + "DPRA4": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1654.38-1654.43" + } + }, + "DPRA5": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1654.45-1654.50" + } + }, + "SPO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1647.15-1647.18" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1651.10-1651.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1652.10-1652.12" + } + } + } + }, + "RAM64X1S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1091.1-1107.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1093.9-1093.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1093.13-1093.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1093.17-1093.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1093.21-1093.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1093.25-1093.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1093.29-1093.31" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1094.9-1094.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1092.10-1092.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1097.9-1097.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1098.9-1098.11" + } + } + } + }, + "RAM64X1S_1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1109.1-1125.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "WE": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1111.9-1111.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1111.13-1111.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1111.17-1111.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1111.21-1111.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1111.25-1111.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1111.29-1111.31" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1112.9-1112.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1110.10-1110.11" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1115.9-1115.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1116.9-1116.11" + } + } + } + }, + "RAM64X2S": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1249.1-1272.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O0": { + "direction": "output", + "bits": [ 2 ] + }, + "O1": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "A4": { + "direction": "input", + "bits": [ 8 ] + }, + "A5": { + "direction": "input", + "bits": [ 9 ] + }, + "D0": { + "direction": "input", + "bits": [ 10 ] + }, + "D1": { + "direction": "input", + "bits": [ 11 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "WE": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1251.9-1251.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1251.13-1251.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1251.17-1251.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1251.21-1251.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1251.25-1251.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1251.29-1251.31" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1252.9-1252.11" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1252.13-1252.15" + } + }, + "O0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1250.10-1250.12" + } + }, + "O1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1250.14-1250.16" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1255.9-1255.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:1256.9-1256.11" + } + } + } + }, + "RAM64X8SW": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2154.1-2203.10" + }, + "parameter_default_values": { + "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_G": "0000000000000000000000000000000000000000000000000000000000000000", + "INIT_H": "0000000000000000000000000000000000000000000000000000000000000000", + "IS_WCLK_INVERTED": "0" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "A": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15 ] + }, + "D": { + "direction": "input", + "bits": [ 16 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 17 ] + }, + "WE": { + "direction": "input", + "bits": [ 18 ] + }, + "WSEL": { + "direction": "input", + "bits": [ 19, 20, 21 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2156.15-2156.16" + } + }, + "D": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2157.9-2157.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2155.16-2155.17" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_WCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2160.9-2160.13" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2161.9-2161.11" + } + }, + "WSEL": { + "hide_name": 0, + "bits": [ 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2162.15-2162.19" + } + } + } + }, + "RAMB16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4138.1-4255.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "INVERT_CLK_DOA_REG": "FALSE", + "INVERT_CLK_DOB_REG": "FALSE", + "RAM_EXTENSION_A": "NONE", + "RAM_EXTENSION_B": "NONE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CASCADEOUTA": { + "direction": "output", + "bits": [ 2 ] + }, + "CASCADEOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOA": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOB": { + "direction": "output", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 68, 69, 70, 71 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 72, 73, 74, 75 ] + }, + "ENA": { + "direction": "input", + "bits": [ 76 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 77 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 78 ] + }, + "CASCADEINA": { + "direction": "input", + "bits": [ 79 ] + }, + "REGCEA": { + "direction": "input", + "bits": [ 80 ] + }, + "ENB": { + "direction": "input", + "bits": [ 81 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 82 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 83 ] + }, + "CASCADEINB": { + "direction": "input", + "bits": [ 84 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 85 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115 ] + }, + "DIA": { + "direction": "input", + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147 ] + }, + "DIB": { + "direction": "input", + "bits": [ 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 180, 181, 182, 183 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 184, 185, 186, 187 ] + }, + "WEA": { + "direction": "input", + "bits": [ 188, 189, 190, 191 ] + }, + "WEB": { + "direction": "input", + "bits": [ 192, 193, 194, 195 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4247.18-4247.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4248.18-4248.23" + } + }, + "CASCADEINA": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4239.11-4239.21" + } + }, + "CASCADEINB": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4245.11-4245.21" + } + }, + "CASCADEOUTA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4229.12-4229.23" + } + }, + "CASCADEOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4230.12-4230.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4237.11-4237.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4243.11-4243.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4249.18-4249.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4250.18-4250.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 180, 181, 182, 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4251.17-4251.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 184, 185, 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4252.17-4252.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4231.19-4231.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4232.19-4232.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4233.18-4233.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4234.18-4234.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4235.11-4235.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4241.11-4241.14" + } + }, + "REGCEA": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4240.11-4240.17" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4246.11-4246.17" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4238.11-4238.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4244.11-4244.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 188, 189, 190, 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4253.17-4253.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 192, 193, 194, 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4254.17-4254.20" + } + } + } + }, + "RAMB16BWER": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3914.1-4029.10" + }, + "parameter_default_values": { + "DATA_WIDTH_A": "00000000000000000000000000000000", + "DATA_WIDTH_B": "00000000000000000000000000000000", + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "EN_RSTRAM_A": "TRUE", + "EN_RSTRAM_B": "TRUE", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "RSTTYPE": "SYNC", + "RST_PRIORITY_A": "CE", + "RST_PRIORITY_B": "CE", + "SETUP_ALL": "00000000000000000000001111101000", + "SETUP_READ_FIRST": "00000000000000000000101110111000", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "SPARTAN3ADSP", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOB": { + "direction": "output", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 66, 67, 68, 69 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 70, 71, 72, 73 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 102 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 103 ] + }, + "DIA": { + "direction": "input", + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135 ] + }, + "DIB": { + "direction": "input", + "bits": [ 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 168, 169, 170, 171 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 172, 173, 174, 175 ] + }, + "ENA": { + "direction": "input", + "bits": [ 176 ] + }, + "ENB": { + "direction": "input", + "bits": [ 177 ] + }, + "REGCEA": { + "direction": "input", + "bits": [ 178 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 179 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 180 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 181 ] + }, + "WEA": { + "direction": "input", + "bits": [ 182, 183, 184, 185 ] + }, + "WEB": { + "direction": "input", + "bits": [ 186, 187, 188, 189 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4011.18-4011.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4012.18-4012.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4014.11-4014.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4016.11-4016.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4017.18-4017.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4018.18-4018.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 168, 169, 170, 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4019.17-4019.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4020.17-4020.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4007.19-4007.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4008.19-4008.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4009.18-4009.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4010.18-4010.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4021.11-4021.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4022.11-4022.14" + } + }, + "REGCEA": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4023.11-4023.17" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4024.11-4024.17" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4025.11-4025.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4026.11-4026.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 182, 183, 184, 185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4027.17-4027.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 186, 187, 188, 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4028.17-4028.20" + } + } + } + }, + "RAMB16BWE_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3228.1-3314.10" + }, + "parameter_default_values": { + "INIT": "000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SRVAL": "000000000000000000", + "WRITE_MODE": "WRITE_FIRST" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOP": { + "direction": "output", + "bits": [ 18, 19 ] + }, + "CLK": { + "direction": "input", + "bits": [ 20 ] + }, + "EN": { + "direction": "input", + "bits": [ 21 ] + }, + "SSR": { + "direction": "input", + "bits": [ 22 ] + }, + "WE": { + "direction": "input", + "bits": [ 23, 24 ] + }, + "DI": { + "direction": "input", + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ] + }, + "DIP": { + "direction": "input", + "bits": [ 41, 42 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3313.17-3313.21" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3307.11-3307.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3311.18-3311.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3312.17-3312.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3304.19-3304.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3305.18-3305.21" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3308.11-3308.13" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3309.11-3309.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3310.17-3310.19" + } + } + } + }, + "RAMB16BWE_S18_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3506.1-3606.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOB": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 34, 35 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 36, 37 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 38 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 39 ] + }, + "ENA": { + "direction": "input", + "bits": [ 40 ] + }, + "ENB": { + "direction": "input", + "bits": [ 41 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 42 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 43 ] + }, + "WEB": { + "direction": "input", + "bits": [ 44, 45 ] + }, + "WEA": { + "direction": "input", + "bits": [ 46, 47 ] + }, + "DIA": { + "direction": "input", + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ] + }, + "DIB": { + "direction": "input", + "bits": [ 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 80, 81 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 82, 83 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3604.17-3604.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3605.17-3605.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3591.11-3591.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3593.11-3593.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3600.18-3600.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3601.18-3601.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 80, 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3602.17-3602.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3603.17-3603.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3586.19-3586.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3587.19-3587.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3588.18-3588.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3589.18-3589.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3594.11-3594.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3595.11-3595.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3596.11-3596.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3597.11-3597.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3599.17-3599.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3598.17-3598.20" + } + } + } + }, + "RAMB16BWE_S18_S9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3404.1-3504.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOB": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 26, 27 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 28 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 29 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 30 ] + }, + "ENA": { + "direction": "input", + "bits": [ 31 ] + }, + "ENB": { + "direction": "input", + "bits": [ 32 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 33 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 34 ] + }, + "WEB": { + "direction": "input", + "bits": [ 35 ] + }, + "WEA": { + "direction": "input", + "bits": [ 36, 37 ] + }, + "DIA": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DIB": { + "direction": "input", + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 62, 63 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 64 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 65, 66, 67, 68, 69, 70, 71, 72, 73, 74 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 65, 66, 67, 68, 69, 70, 71, 72, 73, 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3502.17-3502.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3503.18-3503.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3489.11-3489.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3491.11-3491.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3498.18-3498.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3499.17-3499.20" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3500.17-3500.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3501.17-3501.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3484.19-3484.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3485.18-3485.21" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3486.18-3486.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3487.18-3487.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3492.11-3492.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3493.11-3493.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3494.11-3494.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3495.11-3495.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3497.17-3497.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3496.11-3496.14" + } + } + } + }, + "RAMB16BWE_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3316.1-3402.10" + }, + "parameter_default_values": { + "INIT": "000000000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SRVAL": "000000000000000000000000000000000000", + "WRITE_MODE": "WRITE_FIRST" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOP": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "CLK": { + "direction": "input", + "bits": [ 38 ] + }, + "EN": { + "direction": "input", + "bits": [ 39 ] + }, + "SSR": { + "direction": "input", + "bits": [ 40 ] + }, + "WE": { + "direction": "input", + "bits": [ 41, 42, 43, 44 ] + }, + "DI": { + "direction": "input", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76 ] + }, + "DIP": { + "direction": "input", + "bits": [ 77, 78, 79, 80 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3401.17-3401.21" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3395.11-3395.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3399.18-3399.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3400.17-3400.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3392.19-3392.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3393.18-3393.21" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3396.11-3396.13" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3397.11-3397.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3398.17-3398.19" + } + } + } + }, + "RAMB16BWE_S36_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3710.1-3810.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "DOB": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 54, 55 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 56 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 57 ] + }, + "ENA": { + "direction": "input", + "bits": [ 58 ] + }, + "ENB": { + "direction": "input", + "bits": [ 59 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 60 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 61 ] + }, + "WEA": { + "direction": "input", + "bits": [ 62, 63, 64, 65 ] + }, + "WEB": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "DIA": { + "direction": "input", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 100, 101, 102, 103 ] + }, + "DIB": { + "direction": "input", + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 120, 121 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3808.17-3808.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3809.17-3809.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3795.11-3795.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3797.11-3797.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3804.18-3804.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3806.18-3806.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3805.17-3805.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 120, 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3807.17-3807.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3790.19-3790.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3792.19-3792.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3791.18-3791.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 54, 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3793.18-3793.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3798.11-3798.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3799.11-3799.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3800.11-3800.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3801.11-3801.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3802.17-3802.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3803.17-3803.20" + } + } + } + }, + "RAMB16BWE_S36_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3812.1-3912.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "DOB": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 70, 71, 72, 73 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 74 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 75 ] + }, + "ENA": { + "direction": "input", + "bits": [ 76 ] + }, + "ENB": { + "direction": "input", + "bits": [ 77 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 78 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 79 ] + }, + "WEA": { + "direction": "input", + "bits": [ 80, 81, 82, 83 ] + }, + "WEB": { + "direction": "input", + "bits": [ 84, 85, 86, 87 ] + }, + "DIA": { + "direction": "input", + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 120, 121, 122, 123 ] + }, + "DIB": { + "direction": "input", + "bits": [ 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 156, 157, 158, 159 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 160, 161, 162, 163, 164, 165, 166, 167, 168 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 169, 170, 171, 172, 173, 174, 175, 176, 177 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 160, 161, 162, 163, 164, 165, 166, 167, 168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3910.17-3910.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 169, 170, 171, 172, 173, 174, 175, 176, 177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3911.17-3911.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3897.11-3897.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3899.11-3899.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3906.18-3906.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3908.18-3908.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 120, 121, 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3907.17-3907.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 156, 157, 158, 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3909.17-3909.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3892.19-3892.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3894.19-3894.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3893.18-3893.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3895.18-3895.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3900.11-3900.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3901.11-3901.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3902.11-3902.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3903.11-3903.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 80, 81, 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3904.17-3904.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3905.17-3905.20" + } + } + } + }, + "RAMB16BWE_S36_S9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3608.1-3708.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "DOB": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 46 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 47 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 48 ] + }, + "ENA": { + "direction": "input", + "bits": [ 49 ] + }, + "ENB": { + "direction": "input", + "bits": [ 50 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 51 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 52 ] + }, + "WEA": { + "direction": "input", + "bits": [ 53, 54, 55, 56 ] + }, + "WEB": { + "direction": "input", + "bits": [ 57 ] + }, + "DIA": { + "direction": "input", + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 90, 91, 92, 93 ] + }, + "DIB": { + "direction": "input", + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 102 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3706.17-3706.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3707.18-3707.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3693.11-3693.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3695.11-3695.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3702.18-3702.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3704.17-3704.20" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3703.17-3703.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3705.17-3705.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3688.19-3688.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3690.18-3690.21" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3689.18-3689.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3691.18-3691.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3696.11-3696.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3697.11-3697.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3698.11-3698.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3699.11-3699.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3700.17-3700.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3701.11-3701.14" + } + } + } + }, + "RAMB16_S1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:678.1-754.10" + }, + "parameter_default_values": { + "INIT": "0", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SRVAL": "0", + "WRITE_MODE": "WRITE_FIRST" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DI": { + "direction": "input", + "bits": [ 17 ] + }, + "EN": { + "direction": "input", + "bits": [ 18 ] + }, + "CLK": { + "direction": "input", + "bits": [ 19 ] + }, + "WE": { + "direction": "input", + "bits": [ 20 ] + }, + "SSR": { + "direction": "input", + "bits": [ 21 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:747.18-747.22" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:751.11-751.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:748.17-748.19" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:746.18-746.20" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:749.11-749.13" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:753.11-753.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:752.11-752.13" + } + } + } + }, + "RAMB16_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1000.1-1086.10" + }, + "parameter_default_values": { + "INIT": "000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SRVAL": "000000000000000000", + "WRITE_MODE": "WRITE_FIRST" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOP": { + "direction": "output", + "bits": [ 18, 19 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "DI": { + "direction": "input", + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "DIP": { + "direction": "input", + "bits": [ 46, 47 ] + }, + "EN": { + "direction": "input", + "bits": [ 48 ] + }, + "CLK": { + "direction": "input", + "bits": [ 49 ] + }, + "WE": { + "direction": "input", + "bits": [ 50 ] + }, + "SSR": { + "direction": "input", + "bits": [ 51 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1078.17-1078.21" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1083.11-1083.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1079.18-1079.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1080.17-1080.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1076.19-1076.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1077.18-1077.21" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1081.11-1081.13" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1085.11-1085.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1084.11-1084.13" + } + } + } + }, + "RAMB16_S18_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2922.1-3022.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 18, 19 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 46, 47 ] + }, + "ENA": { + "direction": "input", + "bits": [ 48 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 49 ] + }, + "WEA": { + "direction": "input", + "bits": [ 50 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 51 ] + }, + "DOB": { + "direction": "output", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 68, 69 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79 ] + }, + "DIB": { + "direction": "input", + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 96, 97 ] + }, + "ENB": { + "direction": "input", + "bits": [ 98 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 99 ] + }, + "WEB": { + "direction": "input", + "bits": [ 100 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 101 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3004.17-3004.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3014.17-3014.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3009.11-3009.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3019.11-3019.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3005.18-3005.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3015.18-3015.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3006.17-3006.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3016.17-3016.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3002.19-3002.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3012.19-3012.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3003.18-3003.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3013.18-3013.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3007.11-3007.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3017.11-3017.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3011.11-3011.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3021.11-3021.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3010.11-3010.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3020.11-3020.14" + } + } + } + }, + "RAMB16_S18_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3024.1-3124.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 18, 19 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "DIA": { + "direction": "input", + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 46, 47 ] + }, + "ENA": { + "direction": "input", + "bits": [ 48 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 49 ] + }, + "WEA": { + "direction": "input", + "bits": [ 50 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 51 ] + }, + "DOB": { + "direction": "output", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 84, 85, 86, 87 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96 ] + }, + "DIB": { + "direction": "input", + "bits": [ 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 129, 130, 131, 132 ] + }, + "ENB": { + "direction": "input", + "bits": [ 133 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 134 ] + }, + "WEB": { + "direction": "input", + "bits": [ 135 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 136 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3106.17-3106.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3116.17-3116.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3111.11-3111.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3121.11-3121.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3107.18-3107.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3117.18-3117.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3108.17-3108.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 129, 130, 131, 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3118.17-3118.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3104.19-3104.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3114.19-3114.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3105.18-3105.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3115.18-3115.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3109.11-3109.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3119.11-3119.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3113.11-3113.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3123.11-3123.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3112.11-3112.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3122.11-3122.14" + } + } + } + }, + "RAMB16_S1_S1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1176.1-1264.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0", + "INIT_B": "0", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0", + "SRVAL_B": "0", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17 ] + }, + "ENA": { + "direction": "input", + "bits": [ 18 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 19 ] + }, + "WEA": { + "direction": "input", + "bits": [ 20 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 21 ] + }, + "DOB": { + "direction": "output", + "bits": [ 22 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "DIB": { + "direction": "input", + "bits": [ 37 ] + }, + "ENB": { + "direction": "input", + "bits": [ 38 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 39 ] + }, + "WEB": { + "direction": "input", + "bits": [ 40 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 41 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1249.18-1249.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1257.18-1257.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1253.11-1253.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1261.11-1261.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1250.17-1250.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1258.17-1258.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1248.18-1248.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1256.18-1256.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1251.11-1251.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1259.11-1259.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1255.11-1255.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1263.11-1263.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1254.11-1254.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1262.11-1262.14" + } + } + } + }, + "RAMB16_S1_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1546.1-1644.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0", + "INIT_B": "000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17 ] + }, + "ENA": { + "direction": "input", + "bits": [ 18 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 19 ] + }, + "WEA": { + "direction": "input", + "bits": [ 20 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 21 ] + }, + "DOB": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 38, 39 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "DIB": { + "direction": "input", + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 66, 67 ] + }, + "ENB": { + "direction": "input", + "bits": [ 68 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 69 ] + }, + "WEB": { + "direction": "input", + "bits": [ 70 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 71 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1627.18-1627.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1636.17-1636.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1631.11-1631.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1641.11-1641.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1628.17-1628.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1637.18-1637.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1638.17-1638.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1626.18-1626.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1634.19-1634.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1635.18-1635.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1629.11-1629.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1639.11-1639.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1633.11-1633.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1643.11-1643.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1632.11-1632.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1642.11-1642.14" + } + } + } + }, + "RAMB16_S1_S2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1266.1-1354.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0", + "INIT_B": "00", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0", + "SRVAL_B": "00", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17 ] + }, + "ENA": { + "direction": "input", + "bits": [ 18 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 19 ] + }, + "WEA": { + "direction": "input", + "bits": [ 20 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 21 ] + }, + "DOB": { + "direction": "output", + "bits": [ 22, 23 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "DIB": { + "direction": "input", + "bits": [ 37, 38 ] + }, + "ENB": { + "direction": "input", + "bits": [ 39 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 40 ] + }, + "WEB": { + "direction": "input", + "bits": [ 41 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 42 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1339.18-1339.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1347.18-1347.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1343.11-1343.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1351.11-1351.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1340.17-1340.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1348.17-1348.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1338.18-1338.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 22, 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1346.18-1346.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1341.11-1341.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1349.11-1349.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1345.11-1345.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1353.11-1353.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1344.11-1344.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1352.11-1352.14" + } + } + } + }, + "RAMB16_S1_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1646.1-1744.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0", + "INIT_B": "000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17 ] + }, + "ENA": { + "direction": "input", + "bits": [ 18 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 19 ] + }, + "WEA": { + "direction": "input", + "bits": [ 20 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 21 ] + }, + "DOB": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 54, 55, 56, 57 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "DIB": { + "direction": "input", + "bits": [ 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 99, 100, 101, 102 ] + }, + "ENB": { + "direction": "input", + "bits": [ 103 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 104 ] + }, + "WEB": { + "direction": "input", + "bits": [ 105 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 106 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1727.18-1727.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1736.17-1736.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1731.11-1731.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1741.11-1741.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1728.17-1728.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1737.18-1737.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1738.17-1738.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1726.18-1726.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1734.19-1734.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 54, 55, 56, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1735.18-1735.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1729.11-1729.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1739.11-1739.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1733.11-1733.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1743.11-1743.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1732.11-1732.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1742.11-1742.14" + } + } + } + }, + "RAMB16_S1_S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1356.1-1444.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0", + "INIT_B": "0000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0", + "SRVAL_B": "0000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17 ] + }, + "ENA": { + "direction": "input", + "bits": [ 18 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 19 ] + }, + "WEA": { + "direction": "input", + "bits": [ 20 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 21 ] + }, + "DOB": { + "direction": "output", + "bits": [ 22, 23, 24, 25 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DIB": { + "direction": "input", + "bits": [ 38, 39, 40, 41 ] + }, + "ENB": { + "direction": "input", + "bits": [ 42 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 43 ] + }, + "WEB": { + "direction": "input", + "bits": [ 44 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 45 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1429.18-1429.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1437.18-1437.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1433.11-1433.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1441.11-1441.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1430.17-1430.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1438.17-1438.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1428.18-1428.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1436.18-1436.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1431.11-1431.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1439.11-1439.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1435.11-1435.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1443.11-1443.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1434.11-1434.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1442.11-1442.14" + } + } + } + }, + "RAMB16_S1_S9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1446.1-1544.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0", + "INIT_B": "000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0", + "SRVAL_B": "000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17 ] + }, + "ENA": { + "direction": "input", + "bits": [ 18 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 19 ] + }, + "WEA": { + "direction": "input", + "bits": [ 20 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 21 ] + }, + "DOB": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 30 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "DIB": { + "direction": "input", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 50 ] + }, + "ENB": { + "direction": "input", + "bits": [ 51 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 52 ] + }, + "WEB": { + "direction": "input", + "bits": [ 53 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 54 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1527.18-1527.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1536.18-1536.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1531.11-1531.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1541.11-1541.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1528.17-1528.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1537.17-1537.20" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1538.17-1538.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1526.18-1526.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1534.18-1534.21" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1535.18-1535.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1529.11-1529.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1539.11-1539.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1533.11-1533.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1543.11-1543.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1532.11-1532.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1542.11-1542.14" + } + } + } + }, + "RAMB16_S2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:756.1-832.10" + }, + "parameter_default_values": { + "INIT": "00", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SRVAL": "00", + "WRITE_MODE": "WRITE_FIRST" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DI": { + "direction": "input", + "bits": [ 17, 18 ] + }, + "EN": { + "direction": "input", + "bits": [ 19 ] + }, + "CLK": { + "direction": "input", + "bits": [ 20 ] + }, + "WE": { + "direction": "input", + "bits": [ 21 ] + }, + "SSR": { + "direction": "input", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:825.18-825.22" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:829.11-829.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:826.17-826.19" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:824.18-824.20" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:827.11-827.13" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:831.11-831.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:830.11-830.13" + } + } + } + }, + "RAMB16_S2_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2026.1-2124.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "00", + "INIT_B": "000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "00", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17, 18 ] + }, + "ENA": { + "direction": "input", + "bits": [ 19 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 20 ] + }, + "WEA": { + "direction": "input", + "bits": [ 21 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 22 ] + }, + "DOB": { + "direction": "output", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 39, 40 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "DIB": { + "direction": "input", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 67, 68 ] + }, + "ENB": { + "direction": "input", + "bits": [ 69 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 70 ] + }, + "WEB": { + "direction": "input", + "bits": [ 71 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 72 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2107.18-2107.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2116.17-2116.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2111.11-2111.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2121.11-2121.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2108.17-2108.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2117.18-2117.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 67, 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2118.17-2118.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2106.18-2106.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2114.19-2114.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2115.18-2115.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2109.11-2109.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2119.11-2119.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2113.11-2113.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2123.11-2123.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2112.11-2112.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2122.11-2122.14" + } + } + } + }, + "RAMB16_S2_S2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1746.1-1834.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "00", + "INIT_B": "00", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "00", + "SRVAL_B": "00", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17, 18 ] + }, + "ENA": { + "direction": "input", + "bits": [ 19 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 20 ] + }, + "WEA": { + "direction": "input", + "bits": [ 21 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 22 ] + }, + "DOB": { + "direction": "output", + "bits": [ 23, 24 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DIB": { + "direction": "input", + "bits": [ 38, 39 ] + }, + "ENB": { + "direction": "input", + "bits": [ 40 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 41 ] + }, + "WEB": { + "direction": "input", + "bits": [ 42 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 43 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1819.18-1819.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1827.18-1827.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1823.11-1823.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1831.11-1831.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1820.17-1820.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1828.17-1828.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1818.18-1818.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1826.18-1826.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1821.11-1821.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1829.11-1829.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1825.11-1825.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1833.11-1833.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1824.11-1824.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1832.11-1832.14" + } + } + } + }, + "RAMB16_S2_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2126.1-2224.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "00", + "INIT_B": "000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "00", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17, 18 ] + }, + "ENA": { + "direction": "input", + "bits": [ 19 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 20 ] + }, + "WEA": { + "direction": "input", + "bits": [ 21 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 22 ] + }, + "DOB": { + "direction": "output", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 55, 56, 57, 58 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "DIB": { + "direction": "input", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 100, 101, 102, 103 ] + }, + "ENB": { + "direction": "input", + "bits": [ 104 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 105 ] + }, + "WEB": { + "direction": "input", + "bits": [ 106 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 107 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2207.18-2207.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2216.17-2216.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2211.11-2211.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2221.11-2221.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2208.17-2208.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2217.18-2217.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2218.17-2218.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2206.18-2206.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2214.19-2214.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 55, 56, 57, 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2215.18-2215.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2209.11-2209.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2219.11-2219.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2213.11-2213.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2223.11-2223.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2212.11-2212.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2222.11-2222.14" + } + } + } + }, + "RAMB16_S2_S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1836.1-1924.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "00", + "INIT_B": "0000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "00", + "SRVAL_B": "0000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17, 18 ] + }, + "ENA": { + "direction": "input", + "bits": [ 19 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 20 ] + }, + "WEA": { + "direction": "input", + "bits": [ 21 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 22 ] + }, + "DOB": { + "direction": "output", + "bits": [ 23, 24, 25, 26 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38 ] + }, + "DIB": { + "direction": "input", + "bits": [ 39, 40, 41, 42 ] + }, + "ENB": { + "direction": "input", + "bits": [ 43 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 44 ] + }, + "WEB": { + "direction": "input", + "bits": [ 45 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 46 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1909.18-1909.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1917.18-1917.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1913.11-1913.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1921.11-1921.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1910.17-1910.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1918.17-1918.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1908.18-1908.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1916.18-1916.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1911.11-1911.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1919.11-1919.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1915.11-1915.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1923.11-1923.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1914.11-1914.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1922.11-1922.14" + } + } + } + }, + "RAMB16_S2_S9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1926.1-2024.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "00", + "INIT_B": "000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "00", + "SRVAL_B": "000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + }, + "DIA": { + "direction": "input", + "bits": [ 17, 18 ] + }, + "ENA": { + "direction": "input", + "bits": [ 19 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 20 ] + }, + "WEA": { + "direction": "input", + "bits": [ 21 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 22 ] + }, + "DOB": { + "direction": "output", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 31 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ] + }, + "DIB": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 51 ] + }, + "ENB": { + "direction": "input", + "bits": [ 52 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 53 ] + }, + "WEB": { + "direction": "input", + "bits": [ 54 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 55 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2007.18-2007.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2016.18-2016.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2011.11-2011.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2021.11-2021.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2008.17-2008.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2017.17-2017.20" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2018.17-2018.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2006.18-2006.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2014.18-2014.21" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2015.18-2015.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2009.11-2009.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2019.11-2019.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2013.11-2013.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2023.11-2023.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2012.11-2012.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2022.11-2022.14" + } + } + } + }, + "RAMB16_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1088.1-1174.10" + }, + "parameter_default_values": { + "INIT": "000000000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SRVAL": "000000000000000000000000000000000000", + "WRITE_MODE": "WRITE_FIRST" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOP": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46 ] + }, + "DI": { + "direction": "input", + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ] + }, + "DIP": { + "direction": "input", + "bits": [ 79, 80, 81, 82 ] + }, + "EN": { + "direction": "input", + "bits": [ 83 ] + }, + "CLK": { + "direction": "input", + "bits": [ 84 ] + }, + "WE": { + "direction": "input", + "bits": [ 85 ] + }, + "SSR": { + "direction": "input", + "bits": [ 86 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1166.17-1166.21" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1171.11-1171.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1167.18-1167.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1168.17-1168.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1164.19-1164.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1165.18-1165.21" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1169.11-1169.13" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1173.11-1173.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:1172.11-1172.13" + } + } + } + }, + "RAMB16_S36_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3126.1-3226.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46 ] + }, + "DIA": { + "direction": "input", + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 79, 80, 81, 82 ] + }, + "ENA": { + "direction": "input", + "bits": [ 83 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 84 ] + }, + "WEA": { + "direction": "input", + "bits": [ 85 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 86 ] + }, + "DOB": { + "direction": "output", + "bits": [ 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 119, 120, 121, 122 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130, 131 ] + }, + "DIB": { + "direction": "input", + "bits": [ 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 164, 165, 166, 167 ] + }, + "ENB": { + "direction": "input", + "bits": [ 168 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 169 ] + }, + "WEB": { + "direction": "input", + "bits": [ 170 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 171 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3208.17-3208.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130, 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3218.17-3218.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3213.11-3213.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3223.11-3223.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3209.18-3209.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3219.18-3219.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3210.17-3210.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 164, 165, 166, 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3220.17-3220.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3206.19-3206.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3216.19-3216.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3207.18-3207.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 119, 120, 121, 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3217.18-3217.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3211.11-3211.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3221.11-3221.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3215.11-3215.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3225.11-3225.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3214.11-3214.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3224.11-3224.14" + } + } + } + }, + "RAMB16_S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:834.1-910.10" + }, + "parameter_default_values": { + "INIT": "0000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SRVAL": "0000", + "WRITE_MODE": "WRITE_FIRST" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DI": { + "direction": "input", + "bits": [ 18, 19, 20, 21 ] + }, + "EN": { + "direction": "input", + "bits": [ 22 ] + }, + "CLK": { + "direction": "input", + "bits": [ 23 ] + }, + "WE": { + "direction": "input", + "bits": [ 24 ] + }, + "SSR": { + "direction": "input", + "bits": [ 25 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:903.18-903.22" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:907.11-907.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:904.17-904.19" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:902.18-902.20" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:905.11-905.13" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:909.11-909.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:908.11-908.13" + } + } + } + }, + "RAMB16_S4_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2416.1-2514.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0000", + "INIT_B": "000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DIA": { + "direction": "input", + "bits": [ 18, 19, 20, 21 ] + }, + "ENA": { + "direction": "input", + "bits": [ 22 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 23 ] + }, + "WEA": { + "direction": "input", + "bits": [ 24 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 25 ] + }, + "DOB": { + "direction": "output", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 42, 43 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DIB": { + "direction": "input", + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 70, 71 ] + }, + "ENB": { + "direction": "input", + "bits": [ 72 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 73 ] + }, + "WEB": { + "direction": "input", + "bits": [ 74 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 75 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2497.18-2497.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2506.17-2506.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2501.11-2501.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2511.11-2511.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2498.17-2498.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2507.18-2507.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2508.17-2508.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2496.18-2496.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2504.19-2504.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 42, 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2505.18-2505.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2499.11-2499.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2509.11-2509.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2503.11-2503.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2513.11-2513.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2502.11-2502.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2512.11-2512.14" + } + } + } + }, + "RAMB16_S4_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2516.1-2614.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0000", + "INIT_B": "000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DIA": { + "direction": "input", + "bits": [ 18, 19, 20, 21 ] + }, + "ENA": { + "direction": "input", + "bits": [ 22 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 23 ] + }, + "WEA": { + "direction": "input", + "bits": [ 24 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 25 ] + }, + "DOB": { + "direction": "output", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 58, 59, 60, 61 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70 ] + }, + "DIB": { + "direction": "input", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 103, 104, 105, 106 ] + }, + "ENB": { + "direction": "input", + "bits": [ 107 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 108 ] + }, + "WEB": { + "direction": "input", + "bits": [ 109 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 110 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2597.18-2597.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2606.17-2606.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2601.11-2601.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2611.11-2611.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2598.17-2598.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2607.18-2607.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2608.17-2608.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2596.18-2596.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2604.19-2604.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 58, 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2605.18-2605.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2599.11-2599.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2609.11-2609.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2603.11-2603.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2613.11-2613.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2602.11-2602.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2612.11-2612.14" + } + } + } + }, + "RAMB16_S4_S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2226.1-2314.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0000", + "INIT_B": "0000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0000", + "SRVAL_B": "0000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DIA": { + "direction": "input", + "bits": [ 18, 19, 20, 21 ] + }, + "ENA": { + "direction": "input", + "bits": [ 22 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 23 ] + }, + "WEA": { + "direction": "input", + "bits": [ 24 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 25 ] + }, + "DOB": { + "direction": "output", + "bits": [ 26, 27, 28, 29 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "DIB": { + "direction": "input", + "bits": [ 42, 43, 44, 45 ] + }, + "ENB": { + "direction": "input", + "bits": [ 46 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 47 ] + }, + "WEB": { + "direction": "input", + "bits": [ 48 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 49 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2299.18-2299.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2307.18-2307.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2303.11-2303.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2311.11-2311.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2300.17-2300.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2308.17-2308.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2298.18-2298.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2306.18-2306.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2301.11-2301.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2309.11-2309.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2305.11-2305.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2313.11-2313.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2304.11-2304.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2312.11-2312.14" + } + } + } + }, + "RAMB16_S4_S9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2316.1-2414.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "0000", + "INIT_B": "000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "0000", + "SRVAL_B": "000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DIA": { + "direction": "input", + "bits": [ 18, 19, 20, 21 ] + }, + "ENA": { + "direction": "input", + "bits": [ 22 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 23 ] + }, + "WEA": { + "direction": "input", + "bits": [ 24 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 25 ] + }, + "DOB": { + "direction": "output", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 34 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "DIB": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 54 ] + }, + "ENB": { + "direction": "input", + "bits": [ 55 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 56 ] + }, + "WEB": { + "direction": "input", + "bits": [ 57 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 58 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2397.18-2397.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2406.18-2406.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2401.11-2401.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2411.11-2411.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2398.17-2398.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2407.17-2407.20" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2408.17-2408.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2396.18-2396.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2404.18-2404.21" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2405.18-2405.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2399.11-2399.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2409.11-2409.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2403.11-2403.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2413.11-2413.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2402.11-2402.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2412.11-2412.14" + } + } + } + }, + "RAMB16_S9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:912.1-998.10" + }, + "parameter_default_values": { + "INIT": "000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SRVAL": "000000000", + "WRITE_MODE": "WRITE_FIRST" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "DOP": { + "direction": "output", + "bits": [ 10 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "DI": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "DIP": { + "direction": "input", + "bits": [ 30 ] + }, + "EN": { + "direction": "input", + "bits": [ 31 ] + }, + "CLK": { + "direction": "input", + "bits": [ 32 ] + }, + "WE": { + "direction": "input", + "bits": [ 33 ] + }, + "SSR": { + "direction": "input", + "bits": [ 34 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:990.18-990.22" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:995.11-995.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:991.17-991.19" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:992.17-992.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:988.18-988.20" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:989.18-989.21" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:993.11-993.13" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:997.11-997.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:996.11-996.13" + } + } + } + }, + "RAMB16_S9_S18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2718.1-2818.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000", + "INIT_B": "000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 10 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "DIA": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 30 ] + }, + "ENA": { + "direction": "input", + "bits": [ 31 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 32 ] + }, + "WEA": { + "direction": "input", + "bits": [ 33 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 34 ] + }, + "DOB": { + "direction": "output", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 51, 52 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62 ] + }, + "DIB": { + "direction": "input", + "bits": [ 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 79, 80 ] + }, + "ENB": { + "direction": "input", + "bits": [ 81 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 82 ] + }, + "WEB": { + "direction": "input", + "bits": [ 83 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 84 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2800.18-2800.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2810.17-2810.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2805.11-2805.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2815.11-2815.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2801.17-2801.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2811.18-2811.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2802.17-2802.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 79, 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2812.17-2812.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2798.18-2798.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2808.19-2808.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2799.18-2799.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2809.18-2809.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2803.11-2803.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2813.11-2813.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2807.11-2807.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2817.11-2817.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2806.11-2806.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2816.11-2816.14" + } + } + } + }, + "RAMB16_S9_S36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2820.1-2920.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000", + "INIT_B": "000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 10 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "DIA": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 30 ] + }, + "ENA": { + "direction": "input", + "bits": [ 31 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 32 ] + }, + "WEA": { + "direction": "input", + "bits": [ 33 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 34 ] + }, + "DOB": { + "direction": "output", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 67, 68, 69, 70 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79 ] + }, + "DIB": { + "direction": "input", + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 112, 113, 114, 115 ] + }, + "ENB": { + "direction": "input", + "bits": [ 116 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 117 ] + }, + "WEB": { + "direction": "input", + "bits": [ 118 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 119 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2902.18-2902.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2912.17-2912.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2907.11-2907.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2917.11-2917.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2903.17-2903.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2913.18-2913.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2904.17-2904.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 112, 113, 114, 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2914.17-2914.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2900.18-2900.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2910.19-2910.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2901.18-2901.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2911.18-2911.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2905.11-2905.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2915.11-2915.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2909.11-2909.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2919.11-2919.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2908.11-2908.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2918.11-2918.14" + } + } + } + }, + "RAMB16_S9_S9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2616.1-2716.10" + }, + "parameter_default_values": { + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000", + "INIT_B": "000000000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000", + "SRVAL_B": "000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 10 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "DIA": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 30 ] + }, + "ENA": { + "direction": "input", + "bits": [ 31 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 32 ] + }, + "WEA": { + "direction": "input", + "bits": [ 33 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 34 ] + }, + "DOB": { + "direction": "output", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 43 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "DIB": { + "direction": "input", + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 63 ] + }, + "ENB": { + "direction": "input", + "bits": [ 64 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 65 ] + }, + "WEB": { + "direction": "input", + "bits": [ 66 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 67 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2698.18-2698.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2708.18-2708.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2703.11-2703.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2713.11-2713.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2699.17-2699.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2709.17-2709.20" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2700.17-2700.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2710.17-2710.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2696.18-2696.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2706.18-2706.21" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2697.18-2697.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2707.18-2707.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2701.11-2701.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2711.11-2711.14" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2705.11-2705.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2715.11-2715.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2704.11-2704.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:2714.11-2714.14" + } + } + } + }, + "RAMB18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4393.1-4503.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "INIT_FILE": "NONE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SIM_MODE": "SAFE", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOB": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 34, 35 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 36, 37 ] + }, + "ENA": { + "direction": "input", + "bits": [ 38 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 39 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 40 ] + }, + "REGCEA": { + "direction": "input", + "bits": [ 41 ] + }, + "ENB": { + "direction": "input", + "bits": [ 42 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 43 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 44 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 45 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "DIA": { + "direction": "input", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89 ] + }, + "DIB": { + "direction": "input", + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 106, 107 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 108, 109 ] + }, + "WEA": { + "direction": "input", + "bits": [ 110, 111 ] + }, + "WEB": { + "direction": "input", + "bits": [ 112, 113 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4495.18-4495.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4496.18-4496.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4487.11-4487.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4492.11-4492.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4497.18-4497.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4498.18-4498.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4499.17-4499.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 108, 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4500.17-4500.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4481.19-4481.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4482.19-4482.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4483.18-4483.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4484.18-4484.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4485.11-4485.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4490.11-4490.14" + } + }, + "REGCEA": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4489.11-4489.17" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4494.11-4494.17" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4488.11-4488.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4493.11-4493.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4501.17-4501.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 112, 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4502.17-4502.20" + } + } + } + }, + "RAMB18E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3952.1-4128.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RAM_MODE": "TDP", + "RDADDR_COLLISION_HWCONFIG": "DELAYED_WRITE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "VIRTEX6", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CLKARDCLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 4 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 5 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 6 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 7 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 8 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 9 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 10 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 11 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 72, 73 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 74, 75 ] + }, + "WEA": { + "direction": "input", + "bits": [ 76, 77 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 78, 79, 80, 81 ] + }, + "DOADO": { + "direction": "output", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 114, 115 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 116, 117 ] + } + }, + "cells": { + "$specify$483": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001000110110", + "T_LIMIT_MIN": "00000000000000000000001000110110", + "T_LIMIT_TYP": "00000000000000000000001000110110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4088.9-4088.53" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$484": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001000110110", + "T_LIMIT_MIN": "00000000000000000000001000110110", + "T_LIMIT_TYP": "00000000000000000000001000110110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4090.9-4090.53" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 3 ], + "DST_EN": [ "1" ], + "SRC": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$485": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000010", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001000010100", + "T_LIMIT_MIN": "00000000000000000000001000010100", + "T_LIMIT_TYP": "00000000000000000000001000010100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4092.9-4092.45" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 76, 77 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$486": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001000010100", + "T_LIMIT_MIN": "00000000000000000000001000010100", + "T_LIMIT_TYP": "00000000000000000000001000010100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4094.9-4094.47" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 3 ], + "DST_EN": [ "1" ], + "SRC": [ 78, 79, 80, 81 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$487": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101101000", + "T_LIMIT_MIN": "00000000000000000000000101101000", + "T_LIMIT_TYP": "00000000000000000000000101101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4096.9-4096.53" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 6 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$488": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101010110", + "T_LIMIT_MIN": "00000000000000000000000101010110", + "T_LIMIT_TYP": "00000000000000000000000101010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4098.9-4098.55" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 10 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$489": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101101000", + "T_LIMIT_MIN": "00000000000000000000000101101000", + "T_LIMIT_TYP": "00000000000000000000000101101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4100.9-4100.48" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 3 ], + "DST_EN": [ "1" ], + "SRC": [ 7 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$490": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101010110", + "T_LIMIT_MIN": "00000000000000000000000101010110", + "T_LIMIT_TYP": "00000000000000000000000101010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4102.9-4102.49" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 3 ], + "DST_EN": [ "1" ], + "SRC": [ 11 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$491": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000010000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001011100001", + "T_LIMIT_MIN": "00000000000000000000001011100001", + "T_LIMIT_TYP": "00000000000000000000001011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4104.9-4104.47" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$492": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000010000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001011100001", + "T_LIMIT_MIN": "00000000000000000000001011100001", + "T_LIMIT_TYP": "00000000000000000000001011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4106.9-4106.47" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 3 ], + "DST_EN": [ "1" ], + "SRC": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$493": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000010", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001011100001", + "T_LIMIT_MIN": "00000000000000000000001011100001", + "T_LIMIT_TYP": "00000000000000000000001011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4108.9-4108.49" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 72, 73 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$494": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000010", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001011100001", + "T_LIMIT_MIN": "00000000000000000000001011100001", + "T_LIMIT_TYP": "00000000000000000000001011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4110.9-4110.49" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 3 ], + "DST_EN": [ "1" ], + "SRC": [ 74, 75 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$495": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000010000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100110010110", + "T_FALL_MIN": "00000000000000000000100110010110", + "T_FALL_TYP": "00000000000000000000100110010110", + "T_RISE_MAX": "00000000000000000000100110010110", + "T_RISE_MIN": "00000000000000000000100110010110", + "T_RISE_TYP": "00000000000000000000100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4112.9-4112.69" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "EN": [ "0" ], + "SRC": [ 2 ] + } + }, + "$specify$496": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000010", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100110010110", + "T_FALL_MIN": "00000000000000000000100110010110", + "T_FALL_TYP": "00000000000000000000100110010110", + "T_RISE_MAX": "00000000000000000000100110010110", + "T_RISE_MIN": "00000000000000000000100110010110", + "T_RISE_TYP": "00000000000000000000100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4114.9-4114.70" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x" ], + "DST": [ 114, 115 ], + "EN": [ "0" ], + "SRC": [ 2 ] + } + }, + "$specify$497": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000010000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101110010", + "T_FALL_MIN": "00000000000000000000001101110010", + "T_FALL_TYP": "00000000000000000000001101110010", + "T_RISE_MAX": "00000000000000000000001101110010", + "T_RISE_MIN": "00000000000000000000001101110010", + "T_RISE_TYP": "00000000000000000000001101110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4116.9-4116.68" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "EN": [ "0" ], + "SRC": [ 2 ] + } + }, + "$specify$498": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000010", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101110010", + "T_FALL_MIN": "00000000000000000000001101110010", + "T_FALL_TYP": "00000000000000000000001101110010", + "T_RISE_MAX": "00000000000000000000001101110010", + "T_RISE_MIN": "00000000000000000000001101110010", + "T_RISE_TYP": "00000000000000000000001101110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4118.9-4118.69" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x" ], + "DST": [ 114, 115 ], + "EN": [ "0" ], + "SRC": [ 2 ] + } + }, + "$specify$499": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000010000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100110010110", + "T_FALL_MIN": "00000000000000000000100110010110", + "T_FALL_TYP": "00000000000000000000100110010110", + "T_RISE_MAX": "00000000000000000000100110010110", + "T_RISE_MIN": "00000000000000000000100110010110", + "T_RISE_TYP": "00000000000000000000100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4120.9-4120.69" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ], + "EN": [ "0" ], + "SRC": [ 3 ] + } + }, + "$specify$500": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000010", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100110010110", + "T_FALL_MIN": "00000000000000000000100110010110", + "T_FALL_TYP": "00000000000000000000100110010110", + "T_RISE_MAX": "00000000000000000000100110010110", + "T_RISE_MIN": "00000000000000000000100110010110", + "T_RISE_TYP": "00000000000000000000100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4122.9-4122.70" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x" ], + "DST": [ 116, 117 ], + "EN": [ "0" ], + "SRC": [ 3 ] + } + }, + "$specify$501": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000010000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101110010", + "T_FALL_MIN": "00000000000000000000001101110010", + "T_FALL_TYP": "00000000000000000000001101110010", + "T_RISE_MAX": "00000000000000000000001101110010", + "T_RISE_MIN": "00000000000000000000001101110010", + "T_RISE_TYP": "00000000000000000000001101110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4124.9-4124.68" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ], + "EN": [ "0" ], + "SRC": [ 3 ] + } + }, + "$specify$502": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000010", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101110010", + "T_FALL_MIN": "00000000000000000000001101110010", + "T_FALL_TYP": "00000000000000000000001101110010", + "T_RISE_MAX": "00000000000000000000001101110010", + "T_RISE_MIN": "00000000000000000000001101110010", + "T_RISE_TYP": "00000000000000000000001101110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4126.9-4126.69" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x" ], + "DST": [ 116, 117 ], + "EN": [ "0" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3973.18-3973.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3974.18-3974.29" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3955.11-3955.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3958.11-3958.20" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3975.18-3975.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3976.18-3976.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3977.17-3977.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 74, 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3978.17-3978.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3981.19-3981.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3982.19-3982.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 114, 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3983.18-3983.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 116, 117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3984.18-3984.25" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3960.11-3960.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3962.11-3962.18" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3963.11-3963.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3964.11-3964.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3966.11-3966.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3968.11-3968.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3970.11-3970.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3972.11-3972.18" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 76, 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3979.17-3979.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:3980.17-3980.22" + } + } + } + }, + "RAMB18E2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5206.1-5364.10" + }, + "parameter_default_values": { + "CASCADE_ORDER_A": "NONE", + "CASCADE_ORDER_B": "NONE", + "CLOCK_DOMAINS": "INDEPENDENT", + "DOA_REG": "00000000000000000000000000000001", + "DOB_REG": "00000000000000000000000000000001", + "ENADDRENA": "FALSE", + "ENADDRENB": "FALSE", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RDADDRCHANGEA": "FALSE", + "RDADDRCHANGEB": "FALSE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SLEEP_ASYNC": "FALSE", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "NO_CHANGE", + "WRITE_MODE_B": "NO_CHANGE", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CASDOUTA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "CASDOUTB": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "CASDOUTPA": { + "direction": "output", + "bits": [ 34, 35 ] + }, + "CASDOUTPB": { + "direction": "output", + "bits": [ 36, 37 ] + }, + "DOUTADOUT": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "DOUTBDOUT": { + "direction": "output", + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "DOUTPADOUTP": { + "direction": "output", + "bits": [ 70, 71 ] + }, + "DOUTPBDOUTP": { + "direction": "output", + "bits": [ 72, 73 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ] + }, + "ADDRENA": { + "direction": "input", + "bits": [ 102 ] + }, + "ADDRENB": { + "direction": "input", + "bits": [ 103 ] + }, + "CASDIMUXA": { + "direction": "input", + "bits": [ 104 ] + }, + "CASDIMUXB": { + "direction": "input", + "bits": [ 105 ] + }, + "CASDINA": { + "direction": "input", + "bits": [ 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121 ] + }, + "CASDINB": { + "direction": "input", + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137 ] + }, + "CASDINPA": { + "direction": "input", + "bits": [ 138, 139 ] + }, + "CASDINPB": { + "direction": "input", + "bits": [ 140, 141 ] + }, + "CASDOMUXA": { + "direction": "input", + "bits": [ 142 ] + }, + "CASDOMUXB": { + "direction": "input", + "bits": [ 143 ] + }, + "CASDOMUXEN_A": { + "direction": "input", + "bits": [ 144 ] + }, + "CASDOMUXEN_B": { + "direction": "input", + "bits": [ 145 ] + }, + "CASOREGIMUXA": { + "direction": "input", + "bits": [ 146 ] + }, + "CASOREGIMUXB": { + "direction": "input", + "bits": [ 147 ] + }, + "CASOREGIMUXEN_A": { + "direction": "input", + "bits": [ 148 ] + }, + "CASOREGIMUXEN_B": { + "direction": "input", + "bits": [ 149 ] + }, + "CLKARDCLK": { + "direction": "input", + "bits": [ 150 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 151 ] + }, + "DINADIN": { + "direction": "input", + "bits": [ 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167 ] + }, + "DINBDIN": { + "direction": "input", + "bits": [ 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183 ] + }, + "DINPADINP": { + "direction": "input", + "bits": [ 184, 185 ] + }, + "DINPBDINP": { + "direction": "input", + "bits": [ 186, 187 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 188 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 189 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 190 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 191 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 192 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 193 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 194 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 195 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 196 ] + }, + "WEA": { + "direction": "input", + "bits": [ 197, 198 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 199, 200, 201, 202 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5319.18-5319.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5320.18-5320.29" + } + }, + "ADDRENA": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5321.11-5321.18" + } + }, + "ADDRENB": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5322.11-5322.18" + } + }, + "CASDIMUXA": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5323.11-5323.20" + } + }, + "CASDIMUXB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5324.11-5324.20" + } + }, + "CASDINA": { + "hide_name": 0, + "bits": [ 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5325.18-5325.25" + } + }, + "CASDINB": { + "hide_name": 0, + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5326.18-5326.25" + } + }, + "CASDINPA": { + "hide_name": 0, + "bits": [ 138, 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5327.17-5327.25" + } + }, + "CASDINPB": { + "hide_name": 0, + "bits": [ 140, 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5328.17-5328.25" + } + }, + "CASDOMUXA": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5329.11-5329.20" + } + }, + "CASDOMUXB": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5330.11-5330.20" + } + }, + "CASDOMUXEN_A": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5331.11-5331.23" + } + }, + "CASDOMUXEN_B": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5332.11-5332.23" + } + }, + "CASDOUTA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5311.19-5311.27" + } + }, + "CASDOUTB": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5312.19-5312.27" + } + }, + "CASDOUTPA": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5313.18-5313.27" + } + }, + "CASDOUTPB": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5314.18-5314.27" + } + }, + "CASOREGIMUXA": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5333.11-5333.23" + } + }, + "CASOREGIMUXB": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5334.11-5334.23" + } + }, + "CASOREGIMUXEN_A": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5335.11-5335.26" + } + }, + "CASOREGIMUXEN_B": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5336.11-5336.26" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5339.11-5339.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5342.11-5342.20" + } + }, + "DINADIN": { + "hide_name": 0, + "bits": [ 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5343.18-5343.25" + } + }, + "DINBDIN": { + "hide_name": 0, + "bits": [ 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5344.18-5344.25" + } + }, + "DINPADINP": { + "hide_name": 0, + "bits": [ 184, 185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5345.17-5345.26" + } + }, + "DINPBDINP": { + "hide_name": 0, + "bits": [ 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5346.17-5346.26" + } + }, + "DOUTADOUT": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5315.19-5315.28" + } + }, + "DOUTBDOUT": { + "hide_name": 0, + "bits": [ 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5316.19-5316.28" + } + }, + "DOUTPADOUTP": { + "hide_name": 0, + "bits": [ 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5317.18-5317.29" + } + }, + "DOUTPBDOUTP": { + "hide_name": 0, + "bits": [ 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5318.18-5318.29" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5348.11-5348.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5350.11-5350.18" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5351.11-5351.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5352.11-5352.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5354.11-5354.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5356.11-5356.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5358.11-5358.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5360.11-5360.18" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5361.11-5361.16" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 197, 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5362.17-5362.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 199, 200, 201, 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5363.17-5363.22" + } + } + } + }, + "RAMB18SDP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4699.1-4793.10" + }, + "parameter_default_values": { + "DO_REG": "00000000000000000000000000000000", + "INIT": "000000000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "SIM_COLLISION_CHECK": "ALL", + "SIM_MODE": "SAFE", + "SRVAL": "000000000000000000000000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOP": { + "direction": "output", + "bits": [ 34, 35, 36, 37 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 38 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 39 ] + }, + "REGCE": { + "direction": "input", + "bits": [ 40 ] + }, + "SSR": { + "direction": "input", + "bits": [ 41 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 42 ] + }, + "WREN": { + "direction": "input", + "bits": [ 43 ] + }, + "WRADDR": { + "direction": "input", + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "RDADDR": { + "direction": "input", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "DI": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ] + }, + "DIP": { + "direction": "input", + "bits": [ 94, 95, 96, 97 ] + }, + "WE": { + "direction": "input", + "bits": [ 98, 99, 100, 101 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4790.18-4790.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 94, 95, 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4791.17-4791.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4778.19-4778.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4779.18-4779.21" + } + }, + "RDADDR": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4789.17-4789.23" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4781.11-4781.16" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4782.11-4782.15" + } + }, + "REGCE": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4783.11-4783.16" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4784.11-4784.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 98, 99, 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4792.17-4792.19" + } + }, + "WRADDR": { + "hide_name": 0, + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4788.17-4788.23" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4786.11-4786.16" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4787.11-4787.15" + } + } + } + }, + "RAMB32_S64_ECC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4257.1-4272.10" + }, + "parameter_default_values": { + "DO_REG": "00000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "STATUS": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 68 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 69 ] + }, + "SSR": { + "direction": "input", + "bits": [ 70 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 71 ] + }, + "WREN": { + "direction": "input", + "bits": [ 72 ] + }, + "DI": { + "direction": "input", + "bits": [ 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136 ] + }, + "RDADDR": { + "direction": "input", + "bits": [ 137, 138, 139, 140, 141, 142, 143, 144, 145 ] + }, + "WRADDR": { + "direction": "input", + "bits": [ 146, 147, 148, 149, 150, 151, 152, 153, 154 ] + } + }, + "cells": { + }, + "netnames": { + "DI": { + "hide_name": 0, + "bits": [ 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4269.18-4269.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4261.19-4261.21" + } + }, + "RDADDR": { + "hide_name": 0, + "bits": [ 137, 138, 139, 140, 141, 142, 143, 144, 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4270.17-4270.23" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4263.11-4263.16" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4264.11-4264.15" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4265.11-4265.14" + } + }, + "STATUS": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4260.18-4260.24" + } + }, + "WRADDR": { + "hide_name": 0, + "bits": [ 146, 147, 148, 149, 150, 151, 152, 153, 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4271.17-4271.23" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4267.11-4267.16" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4268.11-4268.15" + } + } + } + }, + "RAMB36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4505.1-4697.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_40": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_41": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_42": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_43": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_44": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_45": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_46": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_47": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_48": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_49": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_50": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_51": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_52": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_53": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_54": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_55": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_56": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_57": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_58": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_59": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_60": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_61": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_62": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_63": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_64": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_65": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_66": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_67": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_68": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_69": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_70": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_71": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_72": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_73": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_74": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_75": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_76": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_77": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_78": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_79": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "RAM_EXTENSION_A": "NONE", + "RAM_EXTENSION_B": "NONE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL", + "SIM_MODE": "SAFE", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CASCADEOUTLATA": { + "direction": "output", + "bits": [ 2 ] + }, + "CASCADEOUTREGA": { + "direction": "output", + "bits": [ 3 ] + }, + "CASCADEOUTLATB": { + "direction": "output", + "bits": [ 4 ] + }, + "CASCADEOUTREGB": { + "direction": "output", + "bits": [ 5 ] + }, + "DOA": { + "direction": "output", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DOB": { + "direction": "output", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "DOPA": { + "direction": "output", + "bits": [ 70, 71, 72, 73 ] + }, + "DOPB": { + "direction": "output", + "bits": [ 74, 75, 76, 77 ] + }, + "ENA": { + "direction": "input", + "bits": [ 78 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 79 ] + }, + "SSRA": { + "direction": "input", + "bits": [ 80 ] + }, + "CASCADEINLATA": { + "direction": "input", + "bits": [ 81 ] + }, + "CASCADEINREGA": { + "direction": "input", + "bits": [ 82 ] + }, + "REGCEA": { + "direction": "input", + "bits": [ 83 ] + }, + "ENB": { + "direction": "input", + "bits": [ 84 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 85 ] + }, + "SSRB": { + "direction": "input", + "bits": [ 86 ] + }, + "CASCADEINLATB": { + "direction": "input", + "bits": [ 87 ] + }, + "CASCADEINREGB": { + "direction": "input", + "bits": [ 88 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 89 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121 ] + }, + "DIA": { + "direction": "input", + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153 ] + }, + "DIB": { + "direction": "input", + "bits": [ 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ] + }, + "DIPA": { + "direction": "input", + "bits": [ 186, 187, 188, 189 ] + }, + "DIPB": { + "direction": "input", + "bits": [ 190, 191, 192, 193 ] + }, + "WEA": { + "direction": "input", + "bits": [ 194, 195, 196, 197 ] + }, + "WEB": { + "direction": "input", + "bits": [ 198, 199, 200, 201 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4689.18-4689.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4690.18-4690.23" + } + }, + "CASCADEINLATA": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4679.11-4679.24" + } + }, + "CASCADEINLATB": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4686.11-4686.24" + } + }, + "CASCADEINREGA": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4680.11-4680.24" + } + }, + "CASCADEINREGB": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4687.11-4687.24" + } + }, + "CASCADEOUTLATA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4667.12-4667.26" + } + }, + "CASCADEOUTLATB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4669.12-4669.26" + } + }, + "CASCADEOUTREGA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4668.12-4668.26" + } + }, + "CASCADEOUTREGB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4670.12-4670.26" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4677.11-4677.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4684.11-4684.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4691.18-4691.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4692.18-4692.21" + } + }, + "DIPA": { + "hide_name": 0, + "bits": [ 186, 187, 188, 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4693.17-4693.21" + } + }, + "DIPB": { + "hide_name": 0, + "bits": [ 190, 191, 192, 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4694.17-4694.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4671.19-4671.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4672.19-4672.22" + } + }, + "DOPA": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4673.18-4673.22" + } + }, + "DOPB": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4674.18-4674.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4675.11-4675.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4682.11-4682.14" + } + }, + "REGCEA": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4681.11-4681.17" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4688.11-4688.17" + } + }, + "SSRA": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4678.11-4678.15" + } + }, + "SSRB": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4685.11-4685.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 194, 195, 196, 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4695.17-4695.20" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 198, 199, 200, 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4696.17-4696.20" + } + } + } + }, + "RAMB36E1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4130.1-4392.10" + }, + "parameter_default_values": { + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "EN_ECC_READ": "FALSE", + "EN_ECC_WRITE": "FALSE", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_40": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_41": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_42": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_43": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_44": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_45": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_46": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_47": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_48": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_49": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_50": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_51": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_52": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_53": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_54": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_55": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_56": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_57": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_58": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_59": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_60": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_61": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_62": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_63": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_64": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_65": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_66": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_67": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_68": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_69": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_70": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_71": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_72": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_73": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_74": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_75": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_76": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_77": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_78": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_79": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RAM_EXTENSION_A": "NONE", + "RAM_EXTENSION_B": "NONE", + "RAM_MODE": "TDP", + "RDADDR_COLLISION_HWCONFIG": "DELAYED_WRITE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SIM_DEVICE": "VIRTEX6", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CASCADEOUTA": { + "direction": "output", + "bits": [ 2 ] + }, + "CASCADEOUTB": { + "direction": "output", + "bits": [ 3 ] + }, + "DOADO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 68, 69, 70, 71 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 72, 73, 74, 75 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "RDADDRECC": { + "direction": "output", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 93 ] + }, + "DBITERR": { + "direction": "output", + "bits": [ 94 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 95 ] + }, + "CLKARDCLK": { + "direction": "input", + "bits": [ 96 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 97 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 98 ] + }, + "CASCADEINA": { + "direction": "input", + "bits": [ 99 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 100 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 101 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 102 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 103 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 104 ] + }, + "CASCADEINB": { + "direction": "input", + "bits": [ 105 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 106 ] + }, + "INJECTDBITERR": { + "direction": "input", + "bits": [ 107 ] + }, + "INJECTSBITERR": { + "direction": "input", + "bits": [ 108 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 205, 206, 207, 208 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 209, 210, 211, 212 ] + }, + "WEA": { + "direction": "input", + "bits": [ 213, 214, 215, 216 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224 ] + } + }, + "cells": { + "$specify$503": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000010000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001000110110", + "T_LIMIT_MIN": "00000000000000000000001000110110", + "T_LIMIT_TYP": "00000000000000000000001000110110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4352.9-4352.53" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 96 ], + "DST_EN": [ "1" ], + "SRC": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$504": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000010000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001000110110", + "T_LIMIT_MIN": "00000000000000000000001000110110", + "T_LIMIT_TYP": "00000000000000000000001000110110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4354.9-4354.53" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 102 ], + "DST_EN": [ "1" ], + "SRC": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$505": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001000010100", + "T_LIMIT_MIN": "00000000000000000000001000010100", + "T_LIMIT_TYP": "00000000000000000000001000010100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4356.9-4356.45" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 96 ], + "DST_EN": [ "1" ], + "SRC": [ 213, 214, 215, 216 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$506": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001000010100", + "T_LIMIT_MIN": "00000000000000000000001000010100", + "T_LIMIT_TYP": "00000000000000000000001000010100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4358.9-4358.47" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 102 ], + "DST_EN": [ "1" ], + "SRC": [ 217, 218, 219, 220, 221, 222, 223, 224 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$507": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101101000", + "T_LIMIT_MIN": "00000000000000000000000101101000", + "T_LIMIT_TYP": "00000000000000000000000101101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4360.9-4360.53" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 96 ], + "DST_EN": [ "1" ], + "SRC": [ 100 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$508": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101010110", + "T_LIMIT_MIN": "00000000000000000000000101010110", + "T_LIMIT_TYP": "00000000000000000000000101010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4362.9-4362.55" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 96 ], + "DST_EN": [ "1" ], + "SRC": [ 98 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$509": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101101000", + "T_LIMIT_MIN": "00000000000000000000000101101000", + "T_LIMIT_TYP": "00000000000000000000000101101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4364.9-4364.48" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 102 ], + "DST_EN": [ "1" ], + "SRC": [ 106 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$510": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000101010110", + "T_LIMIT_MIN": "00000000000000000000000101010110", + "T_LIMIT_TYP": "00000000000000000000000101010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4366.9-4366.49" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 102 ], + "DST_EN": [ "1" ], + "SRC": [ 104 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$511": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000100000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001011100001", + "T_LIMIT_MIN": "00000000000000000000001011100001", + "T_LIMIT_TYP": "00000000000000000000001011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4368.9-4368.47" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 96 ], + "DST_EN": [ "1" ], + "SRC": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$512": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000100000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001011100001", + "T_LIMIT_MIN": "00000000000000000000001011100001", + "T_LIMIT_TYP": "00000000000000000000001011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4370.9-4370.47" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 102 ], + "DST_EN": [ "1" ], + "SRC": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$513": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001011100001", + "T_LIMIT_MIN": "00000000000000000000001011100001", + "T_LIMIT_TYP": "00000000000000000000001011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4372.9-4372.49" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 96 ], + "DST_EN": [ "1" ], + "SRC": [ 205, 206, 207, 208 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$514": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000001011100001", + "T_LIMIT_MIN": "00000000000000000000001011100001", + "T_LIMIT_TYP": "00000000000000000000001011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4374.9-4374.49" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 102 ], + "DST_EN": [ "1" ], + "SRC": [ 209, 210, 211, 212 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$515": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000100000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100110010110", + "T_FALL_MIN": "00000000000000000000100110010110", + "T_FALL_TYP": "00000000000000000000100110010110", + "T_RISE_MAX": "00000000000000000000100110010110", + "T_RISE_MIN": "00000000000000000000100110010110", + "T_RISE_TYP": "00000000000000000000100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4376.9-4376.69" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "EN": [ "0" ], + "SRC": [ 96 ] + } + }, + "$specify$516": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100110010110", + "T_FALL_MIN": "00000000000000000000100110010110", + "T_FALL_TYP": "00000000000000000000100110010110", + "T_RISE_MAX": "00000000000000000000100110010110", + "T_RISE_MIN": "00000000000000000000100110010110", + "T_RISE_TYP": "00000000000000000000100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4378.9-4378.70" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x" ], + "DST": [ 68, 69, 70, 71 ], + "EN": [ "0" ], + "SRC": [ 96 ] + } + }, + "$specify$517": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000100000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101110010", + "T_FALL_MIN": "00000000000000000000001101110010", + "T_FALL_TYP": "00000000000000000000001101110010", + "T_RISE_MAX": "00000000000000000000001101110010", + "T_RISE_MIN": "00000000000000000000001101110010", + "T_RISE_TYP": "00000000000000000000001101110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4380.9-4380.68" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "EN": [ "0" ], + "SRC": [ 96 ] + } + }, + "$specify$518": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101110010", + "T_FALL_MIN": "00000000000000000000001101110010", + "T_FALL_TYP": "00000000000000000000001101110010", + "T_RISE_MAX": "00000000000000000000001101110010", + "T_RISE_MIN": "00000000000000000000001101110010", + "T_RISE_TYP": "00000000000000000000001101110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4382.9-4382.69" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x" ], + "DST": [ 68, 69, 70, 71 ], + "EN": [ "0" ], + "SRC": [ 96 ] + } + }, + "$specify$519": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000100000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100110010110", + "T_FALL_MIN": "00000000000000000000100110010110", + "T_FALL_TYP": "00000000000000000000100110010110", + "T_RISE_MAX": "00000000000000000000100110010110", + "T_RISE_MIN": "00000000000000000000100110010110", + "T_RISE_TYP": "00000000000000000000100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4384.9-4384.69" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "EN": [ "0" ], + "SRC": [ 102 ] + } + }, + "$specify$520": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100110010110", + "T_FALL_MIN": "00000000000000000000100110010110", + "T_FALL_TYP": "00000000000000000000100110010110", + "T_RISE_MAX": "00000000000000000000100110010110", + "T_RISE_MIN": "00000000000000000000100110010110", + "T_RISE_TYP": "00000000000000000000100110010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4386.9-4386.70" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x" ], + "DST": [ 72, 73, 74, 75 ], + "EN": [ "0" ], + "SRC": [ 102 ] + } + }, + "$specify$521": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000100000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101110010", + "T_FALL_MIN": "00000000000000000000001101110010", + "T_FALL_TYP": "00000000000000000000001101110010", + "T_RISE_MAX": "00000000000000000000001101110010", + "T_RISE_MIN": "00000000000000000000001101110010", + "T_RISE_TYP": "00000000000000000000001101110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4388.9-4388.68" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "DST": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "EN": [ "0" ], + "SRC": [ 102 ] + } + }, + "$specify$522": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001101110010", + "T_FALL_MIN": "00000000000000000000001101110010", + "T_FALL_TYP": "00000000000000000000001101110010", + "T_RISE_MAX": "00000000000000000000001101110010", + "T_RISE_MIN": "00000000000000000000001101110010", + "T_RISE_TYP": "00000000000000000000001101110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4390.9-4390.69" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x" ], + "DST": [ 72, 73, 74, 75 ], + "EN": [ "0" ], + "SRC": [ 102 ] + } + } + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4165.18-4165.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4166.18-4166.29" + } + }, + "CASCADEINA": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4150.11-4150.21" + } + }, + "CASCADEINB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4161.11-4161.21" + } + }, + "CASCADEOUTA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4131.12-4131.23" + } + }, + "CASCADEOUTB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4132.12-4132.23" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4145.11-4145.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4156.11-4156.20" + } + }, + "DBITERR": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4140.12-4140.19" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4167.18-4167.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4168.18-4168.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 205, 206, 207, 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4169.17-4169.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 209, 210, 211, 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4170.17-4170.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4133.19-4133.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4134.19-4134.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4135.18-4135.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4136.18-4136.25" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4137.18-4137.27" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4142.11-4142.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4153.11-4153.18" + } + }, + "INJECTDBITERR": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4163.11-4163.24" + } + }, + "INJECTSBITERR": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4164.11-4164.24" + } + }, + "RDADDRECC": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4138.18-4138.27" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4151.11-4151.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4162.11-4162.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4147.11-4147.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4158.11-4158.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4149.11-4149.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4160.11-4160.18" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4139.12-4139.19" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 213, 214, 215, 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4171.17-4171.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 217, 218, 219, 220, 221, 222, 223, 224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:4172.17-4172.22" + } + } + } + }, + "RAMB36E2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5366.1-5610.10" + }, + "parameter_default_values": { + "CASCADE_ORDER_A": "NONE", + "CASCADE_ORDER_B": "NONE", + "CLOCK_DOMAINS": "INDEPENDENT", + "DOA_REG": "00000000000000000000000000000001", + "DOB_REG": "00000000000000000000000000000001", + "ENADDRENA": "FALSE", + "ENADDRENB": "FALSE", + "EN_ECC_PIPE": "FALSE", + "EN_ECC_READ": "FALSE", + "EN_ECC_WRITE": "FALSE", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_40": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_41": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_42": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_43": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_44": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_45": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_46": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_47": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_48": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_49": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_50": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_51": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_52": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_53": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_54": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_55": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_56": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_57": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_58": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_59": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_60": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_61": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_62": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_63": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_64": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_65": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_66": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_67": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_68": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_69": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_70": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_71": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_72": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_73": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_74": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_75": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_76": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_77": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_78": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_79": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000000000000000000000", + "INIT_B": "000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "IS_CLKARDCLK_INVERTED": "0", + "IS_CLKBWRCLK_INVERTED": "0", + "IS_ENARDEN_INVERTED": "0", + "IS_ENBWREN_INVERTED": "0", + "IS_RSTRAMARSTRAM_INVERTED": "0", + "IS_RSTRAMB_INVERTED": "0", + "IS_RSTREGARSTREG_INVERTED": "0", + "IS_RSTREGB_INVERTED": "0", + "RDADDRCHANGEA": "FALSE", + "RDADDRCHANGEB": "FALSE", + "READ_WIDTH_A": "00000000000000000000000000000000", + "READ_WIDTH_B": "00000000000000000000000000000000", + "RSTREG_PRIORITY_A": "RSTREG", + "RSTREG_PRIORITY_B": "RSTREG", + "SIM_COLLISION_CHECK": "ALL", + "SLEEP_ASYNC": "FALSE", + "SRVAL_A": "000000000000000000000000000000000000", + "SRVAL_B": "000000000000000000000000000000000000", + "WRITE_MODE_A": "NO_CHANGE", + "WRITE_MODE_B": "NO_CHANGE", + "WRITE_WIDTH_A": "00000000000000000000000000000000", + "WRITE_WIDTH_B": "00000000000000000000000000000000" + }, + "ports": { + "CASDOUTA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "CASDOUTB": { + "direction": "output", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "CASDOUTPA": { + "direction": "output", + "bits": [ 66, 67, 68, 69 ] + }, + "CASDOUTPB": { + "direction": "output", + "bits": [ 70, 71, 72, 73 ] + }, + "CASOUTDBITERR": { + "direction": "output", + "bits": [ 74 ] + }, + "CASOUTSBITERR": { + "direction": "output", + "bits": [ 75 ] + }, + "DBITERR": { + "direction": "output", + "bits": [ 76 ] + }, + "DOUTADOUT": { + "direction": "output", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108 ] + }, + "DOUTBDOUT": { + "direction": "output", + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ] + }, + "DOUTPADOUTP": { + "direction": "output", + "bits": [ 141, 142, 143, 144 ] + }, + "DOUTPBDOUTP": { + "direction": "output", + "bits": [ 145, 146, 147, 148 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 149, 150, 151, 152, 153, 154, 155, 156 ] + }, + "RDADDRECC": { + "direction": "output", + "bits": [ 157, 158, 159, 160, 161, 162, 163, 164, 165 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 166 ] + }, + "ADDRARDADDR": { + "direction": "input", + "bits": [ 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181 ] + }, + "ADDRBWRADDR": { + "direction": "input", + "bits": [ 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "ADDRENA": { + "direction": "input", + "bits": [ 197 ] + }, + "ADDRENB": { + "direction": "input", + "bits": [ 198 ] + }, + "CASDIMUXA": { + "direction": "input", + "bits": [ 199 ] + }, + "CASDIMUXB": { + "direction": "input", + "bits": [ 200 ] + }, + "CASDINA": { + "direction": "input", + "bits": [ 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232 ] + }, + "CASDINB": { + "direction": "input", + "bits": [ 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264 ] + }, + "CASDINPA": { + "direction": "input", + "bits": [ 265, 266, 267, 268 ] + }, + "CASDINPB": { + "direction": "input", + "bits": [ 269, 270, 271, 272 ] + }, + "CASDOMUXA": { + "direction": "input", + "bits": [ 273 ] + }, + "CASDOMUXB": { + "direction": "input", + "bits": [ 274 ] + }, + "CASDOMUXEN_A": { + "direction": "input", + "bits": [ 275 ] + }, + "CASDOMUXEN_B": { + "direction": "input", + "bits": [ 276 ] + }, + "CASINDBITERR": { + "direction": "input", + "bits": [ 277 ] + }, + "CASINSBITERR": { + "direction": "input", + "bits": [ 278 ] + }, + "CASOREGIMUXA": { + "direction": "input", + "bits": [ 279 ] + }, + "CASOREGIMUXB": { + "direction": "input", + "bits": [ 280 ] + }, + "CASOREGIMUXEN_A": { + "direction": "input", + "bits": [ 281 ] + }, + "CASOREGIMUXEN_B": { + "direction": "input", + "bits": [ 282 ] + }, + "CLKARDCLK": { + "direction": "input", + "bits": [ 283 ] + }, + "CLKBWRCLK": { + "direction": "input", + "bits": [ 284 ] + }, + "DINADIN": { + "direction": "input", + "bits": [ 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316 ] + }, + "DINBDIN": { + "direction": "input", + "bits": [ 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348 ] + }, + "DINPADINP": { + "direction": "input", + "bits": [ 349, 350, 351, 352 ] + }, + "DINPBDINP": { + "direction": "input", + "bits": [ 353, 354, 355, 356 ] + }, + "ECCPIPECE": { + "direction": "input", + "bits": [ 357 ] + }, + "ENARDEN": { + "direction": "input", + "bits": [ 358 ] + }, + "ENBWREN": { + "direction": "input", + "bits": [ 359 ] + }, + "INJECTDBITERR": { + "direction": "input", + "bits": [ 360 ] + }, + "INJECTSBITERR": { + "direction": "input", + "bits": [ 361 ] + }, + "REGCEAREGCE": { + "direction": "input", + "bits": [ 362 ] + }, + "REGCEB": { + "direction": "input", + "bits": [ 363 ] + }, + "RSTRAMARSTRAM": { + "direction": "input", + "bits": [ 364 ] + }, + "RSTRAMB": { + "direction": "input", + "bits": [ 365 ] + }, + "RSTREGARSTREG": { + "direction": "input", + "bits": [ 366 ] + }, + "RSTREGB": { + "direction": "input", + "bits": [ 367 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 368 ] + }, + "WEA": { + "direction": "input", + "bits": [ 369, 370, 371, 372 ] + }, + "WEBWE": { + "direction": "input", + "bits": [ 373, 374, 375, 376, 377, 378, 379, 380 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRARDADDR": { + "hide_name": 0, + "bits": [ 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5560.18-5560.29" + } + }, + "ADDRBWRADDR": { + "hide_name": 0, + "bits": [ 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5561.18-5561.29" + } + }, + "ADDRENA": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5562.11-5562.18" + } + }, + "ADDRENB": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5563.11-5563.18" + } + }, + "CASDIMUXA": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5564.11-5564.20" + } + }, + "CASDIMUXB": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5565.11-5565.20" + } + }, + "CASDINA": { + "hide_name": 0, + "bits": [ 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5566.18-5566.25" + } + }, + "CASDINB": { + "hide_name": 0, + "bits": [ 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5567.18-5567.25" + } + }, + "CASDINPA": { + "hide_name": 0, + "bits": [ 265, 266, 267, 268 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5568.17-5568.25" + } + }, + "CASDINPB": { + "hide_name": 0, + "bits": [ 269, 270, 271, 272 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5569.17-5569.25" + } + }, + "CASDOMUXA": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5570.11-5570.20" + } + }, + "CASDOMUXB": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5571.11-5571.20" + } + }, + "CASDOMUXEN_A": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5572.11-5572.23" + } + }, + "CASDOMUXEN_B": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5573.11-5573.23" + } + }, + "CASDOUTA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5546.19-5546.27" + } + }, + "CASDOUTB": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5547.19-5547.27" + } + }, + "CASDOUTPA": { + "hide_name": 0, + "bits": [ 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5548.18-5548.27" + } + }, + "CASDOUTPB": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5549.18-5549.27" + } + }, + "CASINDBITERR": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5574.11-5574.23" + } + }, + "CASINSBITERR": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5575.11-5575.23" + } + }, + "CASOREGIMUXA": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5576.11-5576.23" + } + }, + "CASOREGIMUXB": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5577.11-5577.23" + } + }, + "CASOREGIMUXEN_A": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5578.11-5578.26" + } + }, + "CASOREGIMUXEN_B": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5579.11-5579.26" + } + }, + "CASOUTDBITERR": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5550.12-5550.25" + } + }, + "CASOUTSBITERR": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5551.12-5551.25" + } + }, + "CLKARDCLK": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKARDCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5582.11-5582.20" + } + }, + "CLKBWRCLK": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLKBWRCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5585.11-5585.20" + } + }, + "DBITERR": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5552.12-5552.19" + } + }, + "DINADIN": { + "hide_name": 0, + "bits": [ 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5586.18-5586.25" + } + }, + "DINBDIN": { + "hide_name": 0, + "bits": [ 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5587.18-5587.25" + } + }, + "DINPADINP": { + "hide_name": 0, + "bits": [ 349, 350, 351, 352 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5588.17-5588.26" + } + }, + "DINPBDINP": { + "hide_name": 0, + "bits": [ 353, 354, 355, 356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5589.17-5589.26" + } + }, + "DOUTADOUT": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5553.19-5553.28" + } + }, + "DOUTBDOUT": { + "hide_name": 0, + "bits": [ 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5554.19-5554.28" + } + }, + "DOUTPADOUTP": { + "hide_name": 0, + "bits": [ 141, 142, 143, 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5555.18-5555.29" + } + }, + "DOUTPBDOUTP": { + "hide_name": 0, + "bits": [ 145, 146, 147, 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5556.18-5556.29" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 149, 150, 151, 152, 153, 154, 155, 156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5557.18-5557.27" + } + }, + "ECCPIPECE": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5590.11-5590.20" + } + }, + "ENARDEN": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "invertible_pin": "IS_ENARDEN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5592.11-5592.18" + } + }, + "ENBWREN": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "invertible_pin": "IS_ENBWREN_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5594.11-5594.18" + } + }, + "INJECTDBITERR": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5595.11-5595.24" + } + }, + "INJECTSBITERR": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5596.11-5596.24" + } + }, + "RDADDRECC": { + "hide_name": 0, + "bits": [ 157, 158, 159, 160, 161, 162, 163, 164, 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5558.18-5558.27" + } + }, + "REGCEAREGCE": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5597.11-5597.22" + } + }, + "REGCEB": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5598.11-5598.17" + } + }, + "RSTRAMARSTRAM": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "invertible_pin": "IS_RSTRAMARSTRAM_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5600.11-5600.24" + } + }, + "RSTRAMB": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "invertible_pin": "IS_RSTRAMB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5602.11-5602.18" + } + }, + "RSTREGARSTREG": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "invertible_pin": "IS_RSTREGARSTREG_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5604.11-5604.24" + } + }, + "RSTREGB": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "invertible_pin": "IS_RSTREGB_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5606.11-5606.18" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5559.12-5559.19" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5607.11-5607.16" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 369, 370, 371, 372 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5608.17-5608.20" + } + }, + "WEBWE": { + "hide_name": 0, + "bits": [ 373, 374, 375, 376, 377, 378, 379, 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5609.17-5609.22" + } + } + } + }, + "RAMB36SDP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4795.1-4967.10" + }, + "parameter_default_values": { + "DO_REG": "00000000000000000000000000000000", + "EN_ECC_READ": "FALSE", + "EN_ECC_SCRUB": "FALSE", + "EN_ECC_WRITE": "FALSE", + "INIT": "000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_40": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_41": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_42": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_43": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_44": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_45": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_46": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_47": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_48": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_49": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_4F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_50": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_51": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_52": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_53": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_54": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_55": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_56": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_57": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_58": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_59": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_5F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_60": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_61": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_62": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_63": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_64": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_65": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_66": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_67": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_68": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_69": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_6F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_70": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_71": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_72": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_73": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_74": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_75": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_76": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_77": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_78": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_79": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_7F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_FILE": "NONE", + "SIM_COLLISION_CHECK": "ALL", + "SIM_MODE": "SAFE", + "SRVAL": "000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "DBITERR": { + "direction": "output", + "bits": [ 2 ] + }, + "SBITERR": { + "direction": "output", + "bits": [ 3 ] + }, + "DO": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "DOP": { + "direction": "output", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75 ] + }, + "ECCPARITY": { + "direction": "output", + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "RDCLK": { + "direction": "input", + "bits": [ 84 ] + }, + "RDEN": { + "direction": "input", + "bits": [ 85 ] + }, + "REGCE": { + "direction": "input", + "bits": [ 86 ] + }, + "SSR": { + "direction": "input", + "bits": [ 87 ] + }, + "WRCLK": { + "direction": "input", + "bits": [ 88 ] + }, + "WREN": { + "direction": "input", + "bits": [ 89 ] + }, + "WRADDR": { + "direction": "input", + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "RDADDR": { + "direction": "input", + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107 ] + }, + "DI": { + "direction": "input", + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171 ] + }, + "DIP": { + "direction": "input", + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179 ] + }, + "WE": { + "direction": "input", + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187 ] + } + }, + "cells": { + }, + "netnames": { + "DBITERR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4949.12-4949.19" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4964.18-4964.20" + } + }, + "DIP": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4965.17-4965.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4951.19-4951.21" + } + }, + "DOP": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4952.18-4952.21" + } + }, + "ECCPARITY": { + "hide_name": 0, + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4953.18-4953.27" + } + }, + "RDADDR": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4963.17-4963.23" + } + }, + "RDCLK": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4955.11-4955.16" + } + }, + "RDEN": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4956.11-4956.15" + } + }, + "REGCE": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4957.11-4957.16" + } + }, + "SBITERR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4950.12-4950.19" + } + }, + "SSR": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4958.11-4958.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 180, 181, 182, 183, 184, 185, 186, 187 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4966.17-4966.19" + } + }, + "WRADDR": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4962.17-4962.23" + } + }, + "WRCLK": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4960.11-4960.16" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4961.11-4961.15" + } + } + } + }, + "RAMB4_S1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:3.1-28.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DI": { + "direction": "input", + "bits": [ 15 ] + }, + "EN": { + "direction": "input", + "bits": [ 16 ] + }, + "CLK": { + "direction": "input", + "bits": [ 17 ] + }, + "WE": { + "direction": "input", + "bits": [ 18 ] + }, + "RST": { + "direction": "input", + "bits": [ 19 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:21.18-21.22" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:25.11-25.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:22.17-22.19" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:20.18-20.20" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:23.11-23.13" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27.11-27.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26.11-26.13" + } + } + } + }, + "RAMB4_S16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:111.1-136.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "DI": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "EN": { + "direction": "input", + "bits": [ 42 ] + }, + "CLK": { + "direction": "input", + "bits": [ 43 ] + }, + "WE": { + "direction": "input", + "bits": [ 44 ] + }, + "RST": { + "direction": "input", + "bits": [ 45 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:129.17-129.21" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:133.11-133.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:130.18-130.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:128.19-128.21" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:131.11-131.13" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:135.11-135.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:134.11-134.13" + } + } + } + }, + "RAMB4_S16_S16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:642.1-676.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "DIA": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "ENA": { + "direction": "input", + "bits": [ 42 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 43 ] + }, + "WEA": { + "direction": "input", + "bits": [ 44 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 45 ] + }, + "DOB": { + "direction": "output", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "DIB": { + "direction": "input", + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "ENB": { + "direction": "input", + "bits": [ 86 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 87 ] + }, + "WEB": { + "direction": "input", + "bits": [ 88 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 89 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:661.17-661.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:669.17-669.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:665.11-665.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:673.11-673.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:662.18-662.21" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:670.18-670.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:660.19-660.22" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:668.19-668.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:663.11-663.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:671.11-671.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:667.11-667.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:675.11-675.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:666.11-666.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:674.11-674.14" + } + } + } + }, + "RAMB4_S1_S1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:138.1-172.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15 ] + }, + "ENA": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 17 ] + }, + "WEA": { + "direction": "input", + "bits": [ 18 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 19 ] + }, + "DOB": { + "direction": "output", + "bits": [ 20 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 ] + }, + "DIB": { + "direction": "input", + "bits": [ 33 ] + }, + "ENB": { + "direction": "input", + "bits": [ 34 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 35 ] + }, + "WEB": { + "direction": "input", + "bits": [ 36 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 37 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:157.18-157.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:165.18-165.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:161.11-161.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:169.11-169.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:158.17-158.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:166.17-166.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:156.18-156.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:164.18-164.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:159.11-159.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:167.11-167.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:163.11-163.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:171.11-171.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:162.11-162.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:170.11-170.14" + } + } + } + }, + "RAMB4_S1_S16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:282.1-316.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15 ] + }, + "ENA": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 17 ] + }, + "WEA": { + "direction": "input", + "bits": [ 18 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 19 ] + }, + "DOB": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43 ] + }, + "DIB": { + "direction": "input", + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + }, + "ENB": { + "direction": "input", + "bits": [ 60 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 61 ] + }, + "WEB": { + "direction": "input", + "bits": [ 62 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 63 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:301.18-301.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:309.17-309.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:305.11-305.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:313.11-313.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:302.17-302.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:310.18-310.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:300.18-300.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:308.19-308.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:303.11-303.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:311.11-311.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:307.11-307.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:315.11-315.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:306.11-306.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:314.11-314.14" + } + } + } + }, + "RAMB4_S1_S2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:174.1-208.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15 ] + }, + "ENA": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 17 ] + }, + "WEA": { + "direction": "input", + "bits": [ 18 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 19 ] + }, + "DOB": { + "direction": "output", + "bits": [ 20, 21 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 ] + }, + "DIB": { + "direction": "input", + "bits": [ 33, 34 ] + }, + "ENB": { + "direction": "input", + "bits": [ 35 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 36 ] + }, + "WEB": { + "direction": "input", + "bits": [ 37 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 38 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:193.18-193.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:201.18-201.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:197.11-197.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:205.11-205.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:194.17-194.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:202.17-202.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:192.18-192.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 20, 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:200.18-200.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:195.11-195.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:203.11-203.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:199.11-199.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:207.11-207.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:198.11-198.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:206.11-206.14" + } + } + } + }, + "RAMB4_S1_S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:210.1-244.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15 ] + }, + "ENA": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 17 ] + }, + "WEA": { + "direction": "input", + "bits": [ 18 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 19 ] + }, + "DOB": { + "direction": "output", + "bits": [ 20, 21, 22, 23 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DIB": { + "direction": "input", + "bits": [ 34, 35, 36, 37 ] + }, + "ENB": { + "direction": "input", + "bits": [ 38 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 39 ] + }, + "WEB": { + "direction": "input", + "bits": [ 40 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 41 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:229.18-229.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:237.17-237.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:233.11-233.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:241.11-241.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:230.17-230.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:238.17-238.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:228.18-228.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:236.18-236.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:231.11-231.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:239.11-239.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:235.11-235.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:243.11-243.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:234.11-234.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:242.11-242.14" + } + } + } + }, + "RAMB4_S1_S8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:246.1-280.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15 ] + }, + "ENA": { + "direction": "input", + "bits": [ 16 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 17 ] + }, + "WEA": { + "direction": "input", + "bits": [ 18 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 19 ] + }, + "DOB": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "DIB": { + "direction": "input", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44 ] + }, + "ENB": { + "direction": "input", + "bits": [ 45 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 46 ] + }, + "WEB": { + "direction": "input", + "bits": [ 47 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 48 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:265.18-265.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:273.17-273.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:269.11-269.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:277.11-277.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:266.17-266.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:274.17-274.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:264.18-264.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:272.18-272.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:267.11-267.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:275.11-275.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:271.11-271.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:279.11-279.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:270.11-270.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:278.11-278.14" + } + } + } + }, + "RAMB4_S2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:30.1-55.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DI": { + "direction": "input", + "bits": [ 15, 16 ] + }, + "EN": { + "direction": "input", + "bits": [ 17 ] + }, + "CLK": { + "direction": "input", + "bits": [ 18 ] + }, + "WE": { + "direction": "input", + "bits": [ 19 ] + }, + "RST": { + "direction": "input", + "bits": [ 20 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:48.18-48.22" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:52.11-52.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:49.17-49.19" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:47.18-47.20" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:50.11-50.13" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:54.11-54.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:53.11-53.13" + } + } + } + }, + "RAMB4_S2_S16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:426.1-460.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15, 16 ] + }, + "ENA": { + "direction": "input", + "bits": [ 17 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 18 ] + }, + "WEA": { + "direction": "input", + "bits": [ 19 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 20 ] + }, + "DOB": { + "direction": "output", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44 ] + }, + "DIB": { + "direction": "input", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60 ] + }, + "ENB": { + "direction": "input", + "bits": [ 61 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 62 ] + }, + "WEB": { + "direction": "input", + "bits": [ 63 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 64 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:445.18-445.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:453.17-453.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:449.11-449.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:457.11-457.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:446.17-446.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:454.18-454.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:444.18-444.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:452.19-452.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:447.11-447.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:455.11-455.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:451.11-451.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:459.11-459.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:450.11-450.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:458.11-458.14" + } + } + } + }, + "RAMB4_S2_S2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:318.1-352.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15, 16 ] + }, + "ENA": { + "direction": "input", + "bits": [ 17 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 18 ] + }, + "WEA": { + "direction": "input", + "bits": [ 19 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 20 ] + }, + "DOB": { + "direction": "output", + "bits": [ 21, 22 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DIB": { + "direction": "input", + "bits": [ 34, 35 ] + }, + "ENB": { + "direction": "input", + "bits": [ 36 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 37 ] + }, + "WEB": { + "direction": "input", + "bits": [ 38 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 39 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:337.18-337.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:345.18-345.23" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:341.11-341.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:349.11-349.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:338.17-338.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:346.17-346.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:336.18-336.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 21, 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:344.18-344.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:339.11-339.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:347.11-347.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:343.11-343.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:351.11-351.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:342.11-342.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:350.11-350.14" + } + } + } + }, + "RAMB4_S2_S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:354.1-388.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15, 16 ] + }, + "ENA": { + "direction": "input", + "bits": [ 17 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 18 ] + }, + "WEA": { + "direction": "input", + "bits": [ 19 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 20 ] + }, + "DOB": { + "direction": "output", + "bits": [ 21, 22, 23, 24 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "DIB": { + "direction": "input", + "bits": [ 35, 36, 37, 38 ] + }, + "ENB": { + "direction": "input", + "bits": [ 39 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 40 ] + }, + "WEB": { + "direction": "input", + "bits": [ 41 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 42 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:373.18-373.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:381.17-381.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:377.11-377.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:385.11-385.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:374.17-374.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:382.17-382.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:372.18-372.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:380.18-380.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:375.11-375.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:383.11-383.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:379.11-379.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:387.11-387.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:378.11-378.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:386.11-386.14" + } + } + } + }, + "RAMB4_S2_S8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:390.1-424.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ] + }, + "DIA": { + "direction": "input", + "bits": [ 15, 16 ] + }, + "ENA": { + "direction": "input", + "bits": [ 17 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 18 ] + }, + "WEA": { + "direction": "input", + "bits": [ 19 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 20 ] + }, + "DOB": { + "direction": "output", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DIB": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "ENB": { + "direction": "input", + "bits": [ 46 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 47 ] + }, + "WEB": { + "direction": "input", + "bits": [ 48 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 49 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:409.18-409.23" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:417.17-417.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:413.11-413.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:421.11-421.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 15, 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:410.17-410.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:418.17-418.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:408.18-408.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:416.18-416.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:411.11-411.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:419.11-419.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:415.11-415.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:423.11-423.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:414.11-414.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:422.11-422.14" + } + } + } + }, + "RAMB4_S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:57.1-82.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] + }, + "DI": { + "direction": "input", + "bits": [ 16, 17, 18, 19 ] + }, + "EN": { + "direction": "input", + "bits": [ 20 ] + }, + "CLK": { + "direction": "input", + "bits": [ 21 ] + }, + "WE": { + "direction": "input", + "bits": [ 22 ] + }, + "RST": { + "direction": "input", + "bits": [ 23 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:75.17-75.21" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:79.11-79.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:76.17-76.19" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:74.18-74.20" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:77.11-77.13" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:81.11-81.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:80.11-80.13" + } + } + } + }, + "RAMB4_S4_S16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:534.1-568.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] + }, + "DIA": { + "direction": "input", + "bits": [ 16, 17, 18, 19 ] + }, + "ENA": { + "direction": "input", + "bits": [ 20 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 21 ] + }, + "WEA": { + "direction": "input", + "bits": [ 22 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 23 ] + }, + "DOB": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47 ] + }, + "DIB": { + "direction": "input", + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ] + }, + "ENB": { + "direction": "input", + "bits": [ 64 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 65 ] + }, + "WEB": { + "direction": "input", + "bits": [ 66 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 67 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:553.17-553.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:561.17-561.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:557.11-557.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:565.11-565.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:554.17-554.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:562.18-562.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:552.18-552.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:560.19-560.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:555.11-555.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:563.11-563.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:559.11-559.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:567.11-567.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:558.11-558.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:566.11-566.14" + } + } + } + }, + "RAMB4_S4_S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:462.1-496.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] + }, + "DIA": { + "direction": "input", + "bits": [ 16, 17, 18, 19 ] + }, + "ENA": { + "direction": "input", + "bits": [ 20 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 21 ] + }, + "WEA": { + "direction": "input", + "bits": [ 22 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 23 ] + }, + "DOB": { + "direction": "output", + "bits": [ 24, 25, 26, 27 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DIB": { + "direction": "input", + "bits": [ 38, 39, 40, 41 ] + }, + "ENB": { + "direction": "input", + "bits": [ 42 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 43 ] + }, + "WEB": { + "direction": "input", + "bits": [ 44 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 45 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:481.17-481.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:489.17-489.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:485.11-485.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:493.11-493.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:482.17-482.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:490.17-490.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:480.18-480.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:488.18-488.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:483.11-483.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:491.11-491.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:487.11-487.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:495.11-495.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:486.11-486.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:494.11-494.14" + } + } + } + }, + "RAMB4_S4_S8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:498.1-532.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] + }, + "DIA": { + "direction": "input", + "bits": [ 16, 17, 18, 19 ] + }, + "ENA": { + "direction": "input", + "bits": [ 20 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 21 ] + }, + "WEA": { + "direction": "input", + "bits": [ 22 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 23 ] + }, + "DOB": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40 ] + }, + "DIB": { + "direction": "input", + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48 ] + }, + "ENB": { + "direction": "input", + "bits": [ 49 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 50 ] + }, + "WEB": { + "direction": "input", + "bits": [ 51 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 52 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:517.17-517.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:525.17-525.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:521.11-521.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:529.11-529.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:518.17-518.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:526.17-526.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:516.18-516.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:524.18-524.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:519.11-519.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:527.11-527.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:523.11-523.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:531.11-531.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:522.11-522.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:530.11-530.14" + } + } + } + }, + "RAMB4_S8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:84.1-109.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18 ] + }, + "DI": { + "direction": "input", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ] + }, + "EN": { + "direction": "input", + "bits": [ 27 ] + }, + "CLK": { + "direction": "input", + "bits": [ 28 ] + }, + "WE": { + "direction": "input", + "bits": [ 29 ] + }, + "RST": { + "direction": "input", + "bits": [ 30 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:102.17-102.21" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:106.11-106.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:103.17-103.19" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:101.18-101.20" + } + }, + "EN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:104.11-104.13" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:108.11-108.14" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:107.11-107.13" + } + } + } + }, + "RAMB4_S8_S16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:606.1-640.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18 ] + }, + "DIA": { + "direction": "input", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ] + }, + "ENA": { + "direction": "input", + "bits": [ 27 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 28 ] + }, + "WEA": { + "direction": "input", + "bits": [ 29 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 30 ] + }, + "DOB": { + "direction": "output", + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "DIB": { + "direction": "input", + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ] + }, + "ENB": { + "direction": "input", + "bits": [ 71 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 72 ] + }, + "WEB": { + "direction": "input", + "bits": [ 73 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 74 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:625.17-625.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:633.17-633.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:629.11-629.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:637.11-637.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:626.17-626.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:634.18-634.21" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:624.18-624.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:632.19-632.22" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:627.11-627.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:635.11-635.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:631.11-631.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:639.11-639.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:630.11-630.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:638.11-638.14" + } + } + } + }, + "RAMB4_S8_S8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:570.1-604.10" + }, + "parameter_default_values": { + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_COLLISION_CHECK": "ALL" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ] + }, + "ADDRA": { + "direction": "input", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18 ] + }, + "DIA": { + "direction": "input", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ] + }, + "ENA": { + "direction": "input", + "bits": [ 27 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 28 ] + }, + "WEA": { + "direction": "input", + "bits": [ 29 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 30 ] + }, + "DOB": { + "direction": "output", + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38 ] + }, + "ADDRB": { + "direction": "input", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47 ] + }, + "DIB": { + "direction": "input", + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "ENB": { + "direction": "input", + "bits": [ 56 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 57 ] + }, + "WEB": { + "direction": "input", + "bits": [ 58 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 59 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRA": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:589.17-589.22" + } + }, + "ADDRB": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:597.17-597.22" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:593.11-593.15" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:601.11-601.15" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:590.17-590.20" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:598.17-598.20" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:588.18-588.21" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34, 35, 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:596.18-596.21" + } + }, + "ENA": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:591.11-591.14" + } + }, + "ENB": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:599.11-599.14" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:595.11-595.15" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:603.11-603.15" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:594.11-594.14" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:602.11-602.14" + } + } + } + }, + "RAMB8BWER": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4031.1-4110.10" + }, + "parameter_default_values": { + "DATA_WIDTH_A": "00000000000000000000000000000000", + "DATA_WIDTH_B": "00000000000000000000000000000000", + "DOA_REG": "00000000000000000000000000000000", + "DOB_REG": "00000000000000000000000000000000", + "EN_RSTRAM_A": "TRUE", + "EN_RSTRAM_B": "TRUE", + "INITP_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITP_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_A": "000000000000000000", + "INIT_B": "000000000000000000", + "INIT_FILE": "NONE", + "RAM_MODE": "TDP", + "RSTTYPE": "SYNC", + "RST_PRIORITY_A": "CE", + "RST_PRIORITY_B": "CE", + "SETUP_ALL": "00000000000000000000001111101000", + "SETUP_READ_FIRST": "00000000000000000000101110111000", + "SIM_COLLISION_CHECK": "ALL", + "SRVAL_A": "000000000000000000", + "SRVAL_B": "000000000000000000", + "WRITE_MODE_A": "WRITE_FIRST", + "WRITE_MODE_B": "WRITE_FIRST" + }, + "ports": { + "DOADO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOBDO": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DOPADOP": { + "direction": "output", + "bits": [ 34, 35 ] + }, + "DOPBDOP": { + "direction": "output", + "bits": [ 36, 37 ] + }, + "ADDRAWRADDR": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "ADDRBRDADDR": { + "direction": "input", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ] + }, + "CLKAWRCLK": { + "direction": "input", + "bits": [ 64 ] + }, + "CLKBRDCLK": { + "direction": "input", + "bits": [ 65 ] + }, + "DIADI": { + "direction": "input", + "bits": [ 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81 ] + }, + "DIBDI": { + "direction": "input", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ] + }, + "DIPADIP": { + "direction": "input", + "bits": [ 98, 99 ] + }, + "DIPBDIP": { + "direction": "input", + "bits": [ 100, 101 ] + }, + "ENAWREN": { + "direction": "input", + "bits": [ 102 ] + }, + "ENBRDEN": { + "direction": "input", + "bits": [ 103 ] + }, + "REGCEA": { + "direction": "input", + "bits": [ 104 ] + }, + "REGCEBREGCE": { + "direction": "input", + "bits": [ 105 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 106 ] + }, + "RSTBRST": { + "direction": "input", + "bits": [ 107 ] + }, + "WEAWEL": { + "direction": "input", + "bits": [ 108, 109 ] + }, + "WEBWEU": { + "direction": "input", + "bits": [ 110, 111 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRAWRADDR": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4092.18-4092.29" + } + }, + "ADDRBRDADDR": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4093.18-4093.29" + } + }, + "CLKAWRCLK": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4095.11-4095.20" + } + }, + "CLKBRDCLK": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4097.11-4097.20" + } + }, + "DIADI": { + "hide_name": 0, + "bits": [ 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4098.18-4098.23" + } + }, + "DIBDI": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4099.18-4099.23" + } + }, + "DIPADIP": { + "hide_name": 0, + "bits": [ 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4100.17-4100.24" + } + }, + "DIPBDIP": { + "hide_name": 0, + "bits": [ 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4101.17-4101.24" + } + }, + "DOADO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4088.19-4088.24" + } + }, + "DOBDO": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4089.19-4089.24" + } + }, + "DOPADOP": { + "hide_name": 0, + "bits": [ 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4090.18-4090.25" + } + }, + "DOPBDOP": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4091.18-4091.25" + } + }, + "ENAWREN": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4102.11-4102.18" + } + }, + "ENBRDEN": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4103.11-4103.18" + } + }, + "REGCEA": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4104.11-4104.17" + } + }, + "REGCEBREGCE": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4105.11-4105.22" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4106.11-4106.15" + } + }, + "RSTBRST": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4107.11-4107.18" + } + }, + "WEAWEL": { + "hide_name": 0, + "bits": [ 108, 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4108.17-4108.23" + } + }, + "WEBWEU": { + "hide_name": 0, + "bits": [ 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:4109.17-4109.23" + } + } + } + }, + "RFADC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19786.1-19852.10" + }, + "parameter_default_values": { + "OPT_ANALOG": "00000000000000000000000000000000", + "OPT_CLK_DIST": "00000000000000000000000000000000", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "XPA_ACTIVE_DUTYCYCLE": "00000000000000000000000001100100", + "XPA_CFG0": "00000000000000000000000000000000", + "XPA_CFG1": "00000000000000000000000000000000", + "XPA_CFG2": "00000000000000000000000000000000", + "XPA_NUM_ADCS": "0 ", + "XPA_NUM_DDCS": "00000000000000000000000000000000", + "XPA_PLL_USED": "EXTERNAL", + "XPA_SAMPLE_RATE_MSPS": "00000000000000000000000000000000" + }, + "ports": { + "CLK_ADC": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK_DIST_OUT_NORTH": { + "direction": "output", + "bits": [ 3 ] + }, + "CLK_DIST_OUT_SOUTH": { + "direction": "output", + "bits": [ 4 ] + }, + "DATA_ADC0": { + "direction": "output", + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "DATA_ADC1": { + "direction": "output", + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388 ] + }, + "DATA_ADC2": { + "direction": "output", + "bits": [ 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580 ] + }, + "DATA_ADC3": { + "direction": "output", + "bits": [ 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 789 ] + }, + "PLL_DMON_OUT": { + "direction": "output", + "bits": [ 790 ] + }, + "PLL_REFCLK_OUT": { + "direction": "output", + "bits": [ 791 ] + }, + "STATUS_ADC0": { + "direction": "output", + "bits": [ 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815 ] + }, + "STATUS_ADC1": { + "direction": "output", + "bits": [ 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839 ] + }, + "STATUS_ADC2": { + "direction": "output", + "bits": [ 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863 ] + }, + "STATUS_ADC3": { + "direction": "output", + "bits": [ 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887 ] + }, + "STATUS_COMMON": { + "direction": "output", + "bits": [ 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911 ] + }, + "SYSREF_OUT_NORTH": { + "direction": "output", + "bits": [ 912 ] + }, + "SYSREF_OUT_SOUTH": { + "direction": "output", + "bits": [ 913 ] + }, + "T1_ALLOWED_SOUTH": { + "direction": "output", + "bits": [ 914 ] + }, + "ADC_CLK_N": { + "direction": "input", + "bits": [ 915 ] + }, + "ADC_CLK_P": { + "direction": "input", + "bits": [ 916 ] + }, + "CLK_DIST_IN_NORTH": { + "direction": "input", + "bits": [ 917 ] + }, + "CLK_DIST_IN_SOUTH": { + "direction": "input", + "bits": [ 918 ] + }, + "CLK_FIFO_LM": { + "direction": "input", + "bits": [ 919 ] + }, + "CONTROL_ADC0": { + "direction": "input", + "bits": [ 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935 ] + }, + "CONTROL_ADC1": { + "direction": "input", + "bits": [ 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951 ] + }, + "CONTROL_ADC2": { + "direction": "input", + "bits": [ 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967 ] + }, + "CONTROL_ADC3": { + "direction": "input", + "bits": [ 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983 ] + }, + "CONTROL_COMMON": { + "direction": "input", + "bits": [ 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 1012 ] + }, + "DEN": { + "direction": "input", + "bits": [ 1013 ] + }, + "DI": { + "direction": "input", + "bits": [ 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029 ] + }, + "DWE": { + "direction": "input", + "bits": [ 1030 ] + }, + "FABRIC_CLK": { + "direction": "input", + "bits": [ 1031 ] + }, + "PLL_MONCLK": { + "direction": "input", + "bits": [ 1032 ] + }, + "PLL_REFCLK_IN": { + "direction": "input", + "bits": [ 1033 ] + }, + "SYSREF_IN_NORTH": { + "direction": "input", + "bits": [ 1034 ] + }, + "SYSREF_IN_SOUTH": { + "direction": "input", + "bits": [ 1035 ] + }, + "SYSREF_N": { + "direction": "input", + "bits": [ 1036 ] + }, + "SYSREF_P": { + "direction": "input", + "bits": [ 1037 ] + }, + "T1_ALLOWED_NORTH": { + "direction": "input", + "bits": [ 1038 ] + }, + "VIN0_N": { + "direction": "input", + "bits": [ 1039 ] + }, + "VIN0_P": { + "direction": "input", + "bits": [ 1040 ] + }, + "VIN1_N": { + "direction": "input", + "bits": [ 1041 ] + }, + "VIN1_P": { + "direction": "input", + "bits": [ 1042 ] + }, + "VIN2_N": { + "direction": "input", + "bits": [ 1043 ] + }, + "VIN2_P": { + "direction": "input", + "bits": [ 1044 ] + }, + "VIN3_N": { + "direction": "input", + "bits": [ 1045 ] + }, + "VIN3_P": { + "direction": "input", + "bits": [ 1046 ] + }, + "VIN_I01_N": { + "direction": "input", + "bits": [ 1047 ] + }, + "VIN_I01_P": { + "direction": "input", + "bits": [ 1048 ] + }, + "VIN_I23_N": { + "direction": "input", + "bits": [ 1049 ] + }, + "VIN_I23_P": { + "direction": "input", + "bits": [ 1050 ] + } + }, + "cells": { + }, + "netnames": { + "ADC_CLK_N": { + "hide_name": 0, + "bits": [ 915 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19817.11-19817.20" + } + }, + "ADC_CLK_P": { + "hide_name": 0, + "bits": [ 916 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19818.11-19818.20" + } + }, + "CLK_ADC": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19798.12-19798.19" + } + }, + "CLK_DIST_IN_NORTH": { + "hide_name": 0, + "bits": [ 917 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19819.11-19819.28" + } + }, + "CLK_DIST_IN_SOUTH": { + "hide_name": 0, + "bits": [ 918 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19820.11-19820.28" + } + }, + "CLK_DIST_OUT_NORTH": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19799.12-19799.30" + } + }, + "CLK_DIST_OUT_SOUTH": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19800.12-19800.30" + } + }, + "CLK_FIFO_LM": { + "hide_name": 0, + "bits": [ 919 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19821.11-19821.22" + } + }, + "CONTROL_ADC0": { + "hide_name": 0, + "bits": [ 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19822.18-19822.30" + } + }, + "CONTROL_ADC1": { + "hide_name": 0, + "bits": [ 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19823.18-19823.30" + } + }, + "CONTROL_ADC2": { + "hide_name": 0, + "bits": [ 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19824.18-19824.30" + } + }, + "CONTROL_ADC3": { + "hide_name": 0, + "bits": [ 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19825.18-19825.30" + } + }, + "CONTROL_COMMON": { + "hide_name": 0, + "bits": [ 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19826.18-19826.32" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19827.18-19827.23" + } + }, + "DATA_ADC0": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19801.20-19801.29" + } + }, + "DATA_ADC1": { + "hide_name": 0, + "bits": [ 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19802.20-19802.29" + } + }, + "DATA_ADC2": { + "hide_name": 0, + "bits": [ 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19803.20-19803.29" + } + }, + "DATA_ADC3": { + "hide_name": 0, + "bits": [ 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19804.20-19804.29" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 1012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19828.11-19828.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 1013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19829.11-19829.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19830.18-19830.20" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19805.19-19805.23" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 789 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19806.12-19806.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 1030 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19831.11-19831.14" + } + }, + "FABRIC_CLK": { + "hide_name": 0, + "bits": [ 1031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19832.11-19832.21" + } + }, + "PLL_DMON_OUT": { + "hide_name": 0, + "bits": [ 790 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19807.12-19807.24" + } + }, + "PLL_MONCLK": { + "hide_name": 0, + "bits": [ 1032 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19833.11-19833.21" + } + }, + "PLL_REFCLK_IN": { + "hide_name": 0, + "bits": [ 1033 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19834.11-19834.24" + } + }, + "PLL_REFCLK_OUT": { + "hide_name": 0, + "bits": [ 791 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19808.12-19808.26" + } + }, + "STATUS_ADC0": { + "hide_name": 0, + "bits": [ 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19809.19-19809.30" + } + }, + "STATUS_ADC1": { + "hide_name": 0, + "bits": [ 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19810.19-19810.30" + } + }, + "STATUS_ADC2": { + "hide_name": 0, + "bits": [ 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19811.19-19811.30" + } + }, + "STATUS_ADC3": { + "hide_name": 0, + "bits": [ 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19812.19-19812.30" + } + }, + "STATUS_COMMON": { + "hide_name": 0, + "bits": [ 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19813.19-19813.32" + } + }, + "SYSREF_IN_NORTH": { + "hide_name": 0, + "bits": [ 1034 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19835.11-19835.26" + } + }, + "SYSREF_IN_SOUTH": { + "hide_name": 0, + "bits": [ 1035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19836.11-19836.26" + } + }, + "SYSREF_N": { + "hide_name": 0, + "bits": [ 1036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19837.11-19837.19" + } + }, + "SYSREF_OUT_NORTH": { + "hide_name": 0, + "bits": [ 912 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19814.12-19814.28" + } + }, + "SYSREF_OUT_SOUTH": { + "hide_name": 0, + "bits": [ 913 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19815.12-19815.28" + } + }, + "SYSREF_P": { + "hide_name": 0, + "bits": [ 1037 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19838.11-19838.19" + } + }, + "T1_ALLOWED_NORTH": { + "hide_name": 0, + "bits": [ 1038 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19839.11-19839.27" + } + }, + "T1_ALLOWED_SOUTH": { + "hide_name": 0, + "bits": [ 914 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19816.12-19816.28" + } + }, + "VIN0_N": { + "hide_name": 0, + "bits": [ 1039 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19840.11-19840.17" + } + }, + "VIN0_P": { + "hide_name": 0, + "bits": [ 1040 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19841.11-19841.17" + } + }, + "VIN1_N": { + "hide_name": 0, + "bits": [ 1041 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19842.11-19842.17" + } + }, + "VIN1_P": { + "hide_name": 0, + "bits": [ 1042 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19843.11-19843.17" + } + }, + "VIN2_N": { + "hide_name": 0, + "bits": [ 1043 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19844.11-19844.17" + } + }, + "VIN2_P": { + "hide_name": 0, + "bits": [ 1044 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19845.11-19845.17" + } + }, + "VIN3_N": { + "hide_name": 0, + "bits": [ 1045 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19846.11-19846.17" + } + }, + "VIN3_P": { + "hide_name": 0, + "bits": [ 1046 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19847.11-19847.17" + } + }, + "VIN_I01_N": { + "hide_name": 0, + "bits": [ 1047 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19848.11-19848.20" + } + }, + "VIN_I01_P": { + "hide_name": 0, + "bits": [ 1048 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19849.11-19849.20" + } + }, + "VIN_I23_N": { + "hide_name": 0, + "bits": [ 1049 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19850.11-19850.20" + } + }, + "VIN_I23_P": { + "hide_name": 0, + "bits": [ 1050 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19851.11-19851.20" + } + } + } + }, + "RFDAC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19723.1-19784.10" + }, + "parameter_default_values": { + "OPT_CLK_DIST": "00000000000000000000000000000000", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "XPA_ACTIVE_DUTYCYCLE": "00000000000000000000000001100100", + "XPA_CFG0": "00000000000000000000000000000000", + "XPA_CFG1": "00000000000000000000000000000000", + "XPA_CFG2": "00000000000000000000000000000000", + "XPA_NUM_DACS": "00000000000000000000000000000000", + "XPA_NUM_DUCS": "00000000000000000000000000000000", + "XPA_PLL_USED": "EXTERNAL", + "XPA_SAMPLE_RATE_MSPS": "00000000000000000000000000000000" + }, + "ports": { + "CLK_DAC": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK_DIST_OUT_NORTH": { + "direction": "output", + "bits": [ 3 ] + }, + "CLK_DIST_OUT_SOUTH": { + "direction": "output", + "bits": [ 4 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 21 ] + }, + "PLL_DMON_OUT": { + "direction": "output", + "bits": [ 22 ] + }, + "PLL_REFCLK_OUT": { + "direction": "output", + "bits": [ 23 ] + }, + "STATUS_COMMON": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47 ] + }, + "STATUS_DAC0": { + "direction": "output", + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + }, + "STATUS_DAC1": { + "direction": "output", + "bits": [ 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ] + }, + "STATUS_DAC2": { + "direction": "output", + "bits": [ 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ] + }, + "STATUS_DAC3": { + "direction": "output", + "bits": [ 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ] + }, + "SYSREF_OUT_NORTH": { + "direction": "output", + "bits": [ 144 ] + }, + "SYSREF_OUT_SOUTH": { + "direction": "output", + "bits": [ 145 ] + }, + "T1_ALLOWED_SOUTH": { + "direction": "output", + "bits": [ 146 ] + }, + "VOUT0_N": { + "direction": "output", + "bits": [ 147 ] + }, + "VOUT0_P": { + "direction": "output", + "bits": [ 148 ] + }, + "VOUT1_N": { + "direction": "output", + "bits": [ 149 ] + }, + "VOUT1_P": { + "direction": "output", + "bits": [ 150 ] + }, + "VOUT2_N": { + "direction": "output", + "bits": [ 151 ] + }, + "VOUT2_P": { + "direction": "output", + "bits": [ 152 ] + }, + "VOUT3_N": { + "direction": "output", + "bits": [ 153 ] + }, + "VOUT3_P": { + "direction": "output", + "bits": [ 154 ] + }, + "CLK_DIST_IN_NORTH": { + "direction": "input", + "bits": [ 155 ] + }, + "CLK_DIST_IN_SOUTH": { + "direction": "input", + "bits": [ 156 ] + }, + "CLK_FIFO_LM": { + "direction": "input", + "bits": [ 157 ] + }, + "CONTROL_COMMON": { + "direction": "input", + "bits": [ 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173 ] + }, + "CONTROL_DAC0": { + "direction": "input", + "bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ] + }, + "CONTROL_DAC1": { + "direction": "input", + "bits": [ 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205 ] + }, + "CONTROL_DAC2": { + "direction": "input", + "bits": [ 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221 ] + }, + "CONTROL_DAC3": { + "direction": "input", + "bits": [ 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "DAC_CLK_N": { + "direction": "input", + "bits": [ 238 ] + }, + "DAC_CLK_P": { + "direction": "input", + "bits": [ 239 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251 ] + }, + "DATA_DAC0": { + "direction": "input", + "bits": [ 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507 ] + }, + "DATA_DAC1": { + "direction": "input", + "bits": [ 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763 ] + }, + "DATA_DAC2": { + "direction": "input", + "bits": [ 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019 ] + }, + "DATA_DAC3": { + "direction": "input", + "bits": [ 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 1276 ] + }, + "DEN": { + "direction": "input", + "bits": [ 1277 ] + }, + "DI": { + "direction": "input", + "bits": [ 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293 ] + }, + "DWE": { + "direction": "input", + "bits": [ 1294 ] + }, + "FABRIC_CLK": { + "direction": "input", + "bits": [ 1295 ] + }, + "PLL_MONCLK": { + "direction": "input", + "bits": [ 1296 ] + }, + "PLL_REFCLK_IN": { + "direction": "input", + "bits": [ 1297 ] + }, + "SYSREF_IN_NORTH": { + "direction": "input", + "bits": [ 1298 ] + }, + "SYSREF_IN_SOUTH": { + "direction": "input", + "bits": [ 1299 ] + }, + "SYSREF_N": { + "direction": "input", + "bits": [ 1300 ] + }, + "SYSREF_P": { + "direction": "input", + "bits": [ 1301 ] + }, + "T1_ALLOWED_NORTH": { + "direction": "input", + "bits": [ 1302 ] + } + }, + "cells": { + }, + "netnames": { + "CLK_DAC": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19734.12-19734.19" + } + }, + "CLK_DIST_IN_NORTH": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19757.11-19757.28" + } + }, + "CLK_DIST_IN_SOUTH": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19758.11-19758.28" + } + }, + "CLK_DIST_OUT_NORTH": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19735.12-19735.30" + } + }, + "CLK_DIST_OUT_SOUTH": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19736.12-19736.30" + } + }, + "CLK_FIFO_LM": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19759.11-19759.22" + } + }, + "CONTROL_COMMON": { + "hide_name": 0, + "bits": [ 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19760.18-19760.32" + } + }, + "CONTROL_DAC0": { + "hide_name": 0, + "bits": [ 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19761.18-19761.30" + } + }, + "CONTROL_DAC1": { + "hide_name": 0, + "bits": [ 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19762.18-19762.30" + } + }, + "CONTROL_DAC2": { + "hide_name": 0, + "bits": [ 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19763.18-19763.30" + } + }, + "CONTROL_DAC3": { + "hide_name": 0, + "bits": [ 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19764.18-19764.30" + } + }, + "DAC_CLK_N": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19765.11-19765.20" + } + }, + "DAC_CLK_P": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19766.11-19766.20" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19767.18-19767.23" + } + }, + "DATA_DAC0": { + "hide_name": 0, + "bits": [ 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19768.19-19768.28" + } + }, + "DATA_DAC1": { + "hide_name": 0, + "bits": [ 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19769.19-19769.28" + } + }, + "DATA_DAC2": { + "hide_name": 0, + "bits": [ 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018, 1019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19770.19-19770.28" + } + }, + "DATA_DAC3": { + "hide_name": 0, + "bits": [ 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124, 1125, 1126, 1127, 1128, 1129, 1130, 1131, 1132, 1133, 1134, 1135, 1136, 1137, 1138, 1139, 1140, 1141, 1142, 1143, 1144, 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152, 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160, 1161, 1162, 1163, 1164, 1165, 1166, 1167, 1168, 1169, 1170, 1171, 1172, 1173, 1174, 1175, 1176, 1177, 1178, 1179, 1180, 1181, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19771.19-19771.28" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 1276 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19772.11-19772.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 1277 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19773.11-19773.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19774.18-19774.20" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19737.19-19737.23" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19738.12-19738.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 1294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19775.11-19775.14" + } + }, + "FABRIC_CLK": { + "hide_name": 0, + "bits": [ 1295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19776.11-19776.21" + } + }, + "PLL_DMON_OUT": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19739.12-19739.24" + } + }, + "PLL_MONCLK": { + "hide_name": 0, + "bits": [ 1296 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19777.11-19777.21" + } + }, + "PLL_REFCLK_IN": { + "hide_name": 0, + "bits": [ 1297 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19778.11-19778.24" + } + }, + "PLL_REFCLK_OUT": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19740.12-19740.26" + } + }, + "STATUS_COMMON": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19741.19-19741.32" + } + }, + "STATUS_DAC0": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19742.19-19742.30" + } + }, + "STATUS_DAC1": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19743.19-19743.30" + } + }, + "STATUS_DAC2": { + "hide_name": 0, + "bits": [ 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19744.19-19744.30" + } + }, + "STATUS_DAC3": { + "hide_name": 0, + "bits": [ 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19745.19-19745.30" + } + }, + "SYSREF_IN_NORTH": { + "hide_name": 0, + "bits": [ 1298 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19779.11-19779.26" + } + }, + "SYSREF_IN_SOUTH": { + "hide_name": 0, + "bits": [ 1299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19780.11-19780.26" + } + }, + "SYSREF_N": { + "hide_name": 0, + "bits": [ 1300 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19781.11-19781.19" + } + }, + "SYSREF_OUT_NORTH": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19746.12-19746.28" + } + }, + "SYSREF_OUT_SOUTH": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19747.12-19747.28" + } + }, + "SYSREF_P": { + "hide_name": 0, + "bits": [ 1301 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19782.11-19782.19" + } + }, + "T1_ALLOWED_NORTH": { + "hide_name": 0, + "bits": [ 1302 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19783.11-19783.27" + } + }, + "T1_ALLOWED_SOUTH": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19748.12-19748.28" + } + }, + "VOUT0_N": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19749.12-19749.19" + } + }, + "VOUT0_P": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19750.12-19750.19" + } + }, + "VOUT1_N": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19751.12-19751.19" + } + }, + "VOUT1_P": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19752.12-19752.19" + } + }, + "VOUT2_N": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19753.12-19753.19" + } + }, + "VOUT2_P": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19754.12-19754.19" + } + }, + "VOUT3_N": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19755.12-19755.19" + } + }, + "VOUT3_P": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:19756.12-19756.19" + } + } + } + }, + "RIU_OR": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7151.1-7160.10" + }, + "parameter_default_values": { + "SIM_DEVICE": "ULTRASCALE" + }, + "ports": { + "RIU_RD_DATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "RIU_RD_VALID": { + "direction": "output", + "bits": [ 18 ] + }, + "RIU_RD_DATA_LOW": { + "direction": "input", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "RIU_RD_DATA_UPP": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "RIU_RD_VALID_LOW": { + "direction": "input", + "bits": [ 51 ] + }, + "RIU_RD_VALID_UPP": { + "direction": "input", + "bits": [ 52 ] + } + }, + "cells": { + }, + "netnames": { + "RIU_RD_DATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7154.19-7154.30" + } + }, + "RIU_RD_DATA_LOW": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7156.18-7156.33" + } + }, + "RIU_RD_DATA_UPP": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7157.18-7157.33" + } + }, + "RIU_RD_VALID": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7155.12-7155.24" + } + }, + "RIU_RD_VALID_LOW": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7158.11-7158.27" + } + }, + "RIU_RD_VALID_UPP": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7159.11-7159.27" + } + } + } + }, + "ROM128X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2231.1-2237.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2233.9-2233.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2233.13-2233.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2233.17-2233.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2233.21-2233.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2233.25-2233.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2233.29-2233.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2233.33-2233.35" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2232.10-2232.11" + } + } + } + }, + "ROM16X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2207.1-2213.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2209.9-2209.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2209.13-2209.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2209.17-2209.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2209.21-2209.23" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2208.10-2208.11" + } + } + } + }, + "ROM256X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2239.1-2245.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + }, + "A6": { + "direction": "input", + "bits": [ 9 ] + }, + "A7": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2241.9-2241.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2241.13-2241.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2241.17-2241.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2241.21-2241.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2241.25-2241.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2241.29-2241.31" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2241.33-2241.35" + } + }, + "A7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2241.37-2241.39" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2240.10-2240.11" + } + } + } + }, + "ROM32X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2215.1-2221.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2217.9-2217.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2217.13-2217.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2217.17-2217.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2217.21-2217.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2217.25-2217.27" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2216.10-2216.11" + } + } + } + }, + "ROM64X1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2223.1-2229.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "A4": { + "direction": "input", + "bits": [ 7 ] + }, + "A5": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2225.9-2225.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2225.13-2225.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2225.17-2225.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2225.21-2225.23" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2225.25-2225.27" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2225.29-2225.31" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2224.10-2224.11" + } + } + } + }, + "RXTX_BITSLICE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7215.1-7283.10" + }, + "parameter_default_values": { + "ENABLE_PRE_EMPHASIS": "FALSE", + "FIFO_SYNC_MODE": "FALSE", + "INIT": "1", + "IS_RX_CLK_INVERTED": "0", + "IS_RX_RST_DLY_INVERTED": "0", + "IS_RX_RST_INVERTED": "0", + "IS_TX_CLK_INVERTED": "0", + "IS_TX_RST_DLY_INVERTED": "0", + "IS_TX_RST_INVERTED": "0", + "LOOPBACK": "FALSE", + "NATIVE_ODELAY_BYPASS": "FALSE", + "RX_DATA_TYPE": "NONE", + "RX_DATA_WIDTH": "00000000000000000000000000001000", + "RX_DELAY_FORMAT": "TIME", + "RX_DELAY_TYPE": "FIXED", + "RX_DELAY_VALUE": "00000000000000000000000000000000", + "RX_UPDATE_MODE": "ASYNC", + "SIM_DEVICE": "ULTRASCALE", + "TBYTE_CTL": "TBYTE_IN", + "TX_DATA_WIDTH": "00000000000000000000000000001000", + "TX_DELAY_FORMAT": "TIME", + "TX_DELAY_TYPE": "FIXED", + "TX_DELAY_VALUE": "00000000000000000000000000000000", + "TX_OUTPUT_PHASE_90": "FALSE", + "TX_UPDATE_MODE": "ASYNC" + }, + "ports": { + "FIFO_EMPTY": { + "direction": "output", + "bits": [ 2 ] + }, + "FIFO_WRCLK_OUT": { + "direction": "output", + "bits": [ 3 ] + }, + "O": { + "direction": "output", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12 ] + }, + "RX_BIT_CTRL_OUT": { + "direction": "output", + "bits": [ 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "RX_CNTVALUEOUT": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "TX_BIT_CTRL_OUT": { + "direction": "output", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ] + }, + "TX_CNTVALUEOUT": { + "direction": "output", + "bits": [ 102, 103, 104, 105, 106, 107, 108, 109, 110 ] + }, + "T_OUT": { + "direction": "output", + "bits": [ 111 ] + }, + "D": { + "direction": "input", + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119 ] + }, + "DATAIN": { + "direction": "input", + "bits": [ 120 ] + }, + "FIFO_RD_CLK": { + "direction": "input", + "bits": [ 121 ] + }, + "FIFO_RD_EN": { + "direction": "input", + "bits": [ 122 ] + }, + "RX_BIT_CTRL_IN": { + "direction": "input", + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162 ] + }, + "RX_CE": { + "direction": "input", + "bits": [ 163 ] + }, + "RX_CLK": { + "direction": "input", + "bits": [ 164 ] + }, + "RX_CNTVALUEIN": { + "direction": "input", + "bits": [ 165, 166, 167, 168, 169, 170, 171, 172, 173 ] + }, + "RX_EN_VTC": { + "direction": "input", + "bits": [ 174 ] + }, + "RX_INC": { + "direction": "input", + "bits": [ 175 ] + }, + "RX_LOAD": { + "direction": "input", + "bits": [ 176 ] + }, + "RX_RST": { + "direction": "input", + "bits": [ 177 ] + }, + "RX_RST_DLY": { + "direction": "input", + "bits": [ 178 ] + }, + "T": { + "direction": "input", + "bits": [ 179 ] + }, + "TBYTE_IN": { + "direction": "input", + "bits": [ 180 ] + }, + "TX_BIT_CTRL_IN": { + "direction": "input", + "bits": [ 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220 ] + }, + "TX_CE": { + "direction": "input", + "bits": [ 221 ] + }, + "TX_CLK": { + "direction": "input", + "bits": [ 222 ] + }, + "TX_CNTVALUEIN": { + "direction": "input", + "bits": [ 223, 224, 225, 226, 227, 228, 229, 230, 231 ] + }, + "TX_EN_VTC": { + "direction": "input", + "bits": [ 232 ] + }, + "TX_INC": { + "direction": "input", + "bits": [ 233 ] + }, + "TX_LOAD": { + "direction": "input", + "bits": [ 234 ] + }, + "TX_RST": { + "direction": "input", + "bits": [ 235 ] + }, + "TX_RST_DLY": { + "direction": "input", + "bits": [ 236 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7253.17-7253.18" + } + }, + "DATAIN": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7254.11-7254.17" + } + }, + "FIFO_EMPTY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7244.12-7244.22" + } + }, + "FIFO_RD_CLK": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7255.11-7255.22" + } + }, + "FIFO_RD_EN": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7256.11-7256.21" + } + }, + "FIFO_WRCLK_OUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7245.12-7245.26" + } + }, + "O": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7246.12-7246.13" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8, 9, 10, 11, 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7247.18-7247.19" + } + }, + "RX_BIT_CTRL_IN": { + "hide_name": 0, + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7257.18-7257.32" + } + }, + "RX_BIT_CTRL_OUT": { + "hide_name": 0, + "bits": [ 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7248.19-7248.34" + } + }, + "RX_CE": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7258.11-7258.16" + } + }, + "RX_CLK": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "invertible_pin": "IS_RX_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7260.11-7260.17" + } + }, + "RX_CNTVALUEIN": { + "hide_name": 0, + "bits": [ 165, 166, 167, 168, 169, 170, 171, 172, 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7261.17-7261.30" + } + }, + "RX_CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7249.18-7249.32" + } + }, + "RX_EN_VTC": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7262.11-7262.20" + } + }, + "RX_INC": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7263.11-7263.17" + } + }, + "RX_LOAD": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7264.11-7264.18" + } + }, + "RX_RST": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "invertible_pin": "IS_RX_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7266.11-7266.17" + } + }, + "RX_RST_DLY": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "invertible_pin": "IS_RX_RST_DLY_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7268.11-7268.21" + } + }, + "T": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7269.11-7269.12" + } + }, + "TBYTE_IN": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7270.11-7270.19" + } + }, + "TX_BIT_CTRL_IN": { + "hide_name": 0, + "bits": [ 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7271.18-7271.32" + } + }, + "TX_BIT_CTRL_OUT": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7250.19-7250.34" + } + }, + "TX_CE": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7272.11-7272.16" + } + }, + "TX_CLK": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "invertible_pin": "IS_TX_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7274.11-7274.17" + } + }, + "TX_CNTVALUEIN": { + "hide_name": 0, + "bits": [ 223, 224, 225, 226, 227, 228, 229, 230, 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7275.17-7275.30" + } + }, + "TX_CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 102, 103, 104, 105, 106, 107, 108, 109, 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7251.18-7251.32" + } + }, + "TX_EN_VTC": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7276.11-7276.20" + } + }, + "TX_INC": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7277.11-7277.17" + } + }, + "TX_LOAD": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7278.11-7278.18" + } + }, + "TX_RST": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "invertible_pin": "IS_TX_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7280.11-7280.17" + } + }, + "TX_RST_DLY": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "invertible_pin": "IS_TX_RST_DLY_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7282.11-7282.21" + } + }, + "T_OUT": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7252.12-7252.17" + } + } + } + }, + "RX_BITSLICE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7162.1-7213.10" + }, + "parameter_default_values": { + "CASCADE": "TRUE", + "DATA_TYPE": "NONE", + "DATA_WIDTH": "00000000000000000000000000001000", + "DELAY_FORMAT": "TIME", + "DELAY_TYPE": "FIXED", + "DELAY_VALUE": "00000000000000000000000000000000", + "DELAY_VALUE_EXT": "00000000000000000000000000000000", + "FIFO_SYNC_MODE": "FALSE", + "IS_CLK_EXT_INVERTED": "0", + "IS_CLK_INVERTED": "0", + "IS_RST_DLY_EXT_INVERTED": "0", + "IS_RST_DLY_INVERTED": "0", + "IS_RST_INVERTED": "0", + "SIM_DEVICE": "ULTRASCALE", + "UPDATE_MODE": "ASYNC", + "UPDATE_MODE_EXT": "ASYNC" + }, + "ports": { + "CNTVALUEOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "CNTVALUEOUT_EXT": { + "direction": "output", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "FIFO_EMPTY": { + "direction": "output", + "bits": [ 20 ] + }, + "FIFO_WRCLK_OUT": { + "direction": "output", + "bits": [ 21 ] + }, + "Q": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ] + }, + "RX_BIT_CTRL_OUT": { + "direction": "output", + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "TX_BIT_CTRL_OUT": { + "direction": "output", + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ] + }, + "CE": { + "direction": "input", + "bits": [ 110 ] + }, + "CE_EXT": { + "direction": "input", + "bits": [ 111 ] + }, + "CLK": { + "direction": "input", + "bits": [ 112 ] + }, + "CLK_EXT": { + "direction": "input", + "bits": [ 113 ] + }, + "CNTVALUEIN": { + "direction": "input", + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122 ] + }, + "CNTVALUEIN_EXT": { + "direction": "input", + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130, 131 ] + }, + "DATAIN": { + "direction": "input", + "bits": [ 132 ] + }, + "EN_VTC": { + "direction": "input", + "bits": [ 133 ] + }, + "EN_VTC_EXT": { + "direction": "input", + "bits": [ 134 ] + }, + "FIFO_RD_CLK": { + "direction": "input", + "bits": [ 135 ] + }, + "FIFO_RD_EN": { + "direction": "input", + "bits": [ 136 ] + }, + "INC": { + "direction": "input", + "bits": [ 137 ] + }, + "INC_EXT": { + "direction": "input", + "bits": [ 138 ] + }, + "LOAD": { + "direction": "input", + "bits": [ 139 ] + }, + "LOAD_EXT": { + "direction": "input", + "bits": [ 140 ] + }, + "RST": { + "direction": "input", + "bits": [ 141 ] + }, + "RST_DLY": { + "direction": "input", + "bits": [ 142 ] + }, + "RST_DLY_EXT": { + "direction": "input", + "bits": [ 143 ] + }, + "RX_BIT_CTRL_IN": { + "direction": "input", + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183 ] + }, + "TX_BIT_CTRL_IN": { + "direction": "input", + "bits": [ 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7188.11-7188.13" + } + }, + "CE_EXT": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7189.11-7189.17" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7191.11-7191.14" + } + }, + "CLK_EXT": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "invertible_pin": "IS_CLK_EXT_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7193.11-7193.18" + } + }, + "CNTVALUEIN": { + "hide_name": 0, + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7194.17-7194.27" + } + }, + "CNTVALUEIN_EXT": { + "hide_name": 0, + "bits": [ 123, 124, 125, 126, 127, 128, 129, 130, 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7195.17-7195.31" + } + }, + "CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7181.18-7181.29" + } + }, + "CNTVALUEOUT_EXT": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7182.18-7182.33" + } + }, + "DATAIN": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7196.11-7196.17" + } + }, + "EN_VTC": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7197.11-7197.17" + } + }, + "EN_VTC_EXT": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7198.11-7198.21" + } + }, + "FIFO_EMPTY": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7183.12-7183.22" + } + }, + "FIFO_RD_CLK": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7199.11-7199.22" + } + }, + "FIFO_RD_EN": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7200.11-7200.21" + } + }, + "FIFO_WRCLK_OUT": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7184.12-7184.26" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7201.11-7201.14" + } + }, + "INC_EXT": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7202.11-7202.18" + } + }, + "LOAD": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7203.11-7203.15" + } + }, + "LOAD_EXT": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7204.11-7204.19" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7185.18-7185.19" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7206.11-7206.14" + } + }, + "RST_DLY": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "invertible_pin": "IS_RST_DLY_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7208.11-7208.18" + } + }, + "RST_DLY_EXT": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "invertible_pin": "IS_RST_DLY_EXT_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7210.11-7210.22" + } + }, + "RX_BIT_CTRL_IN": { + "hide_name": 0, + "bits": [ 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7211.18-7211.32" + } + }, + "RX_BIT_CTRL_OUT": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7186.19-7186.34" + } + }, + "TX_BIT_CTRL_IN": { + "hide_name": 0, + "bits": [ 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7212.18-7212.32" + } + }, + "TX_BIT_CTRL_OUT": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7187.19-7187.34" + } + } + } + }, + "SPI_ACCESS": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9978.1-9988.10" + }, + "parameter_default_values": { + "SIM_DELAY_TYPE": "SCALED", + "SIM_DEVICE": "3S1400AN", + "SIM_FACTORY_ID": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "SIM_MEM_FILE": "NONE", + "SIM_USER_ID": "11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111" + }, + "ports": { + "MISO": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "CSB": { + "direction": "input", + "bits": [ 4 ] + }, + "MOSI": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9985.11-9985.14" + } + }, + "CSB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9986.11-9986.14" + } + }, + "MISO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9984.12-9984.16" + } + }, + "MOSI": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9987.11-9987.15" + } + } + } + }, + "SRL16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2250.1-2273.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "CLK": { + "direction": "input", + "bits": [ 7 ] + }, + "D": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2252.9-2252.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2252.13-2252.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2252.17-2252.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2252.21-2252.23" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2254.9-2254.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2255.9-2255.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2251.10-2251.11" + } + } + } + }, + "SRL16E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2276.1-2311.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "A1": { + "direction": "input", + "bits": [ 4 ] + }, + "A2": { + "direction": "input", + "bits": [ 5 ] + }, + "A3": { + "direction": "input", + "bits": [ 6 ] + }, + "CE": { + "direction": "input", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2278.9-2278.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2278.13-2278.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2278.17-2278.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2278.21-2278.23" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2278.25-2278.27" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2281.9-2281.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2282.9-2282.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2277.10-2277.11" + } + } + } + }, + "SRLC16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2314.1-2341.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q15": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "D": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2317.9-2317.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2317.13-2317.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2317.17-2317.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2317.21-2317.23" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2319.9-2319.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2320.9-2320.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2315.10-2315.11" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2316.10-2316.13" + } + } + } + }, + "SRLC16E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2344.1-2384.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q15": { + "direction": "output", + "bits": [ 3 ] + }, + "A0": { + "direction": "input", + "bits": [ 4 ] + }, + "A1": { + "direction": "input", + "bits": [ 5 ] + }, + "A2": { + "direction": "input", + "bits": [ 6 ] + }, + "A3": { + "direction": "input", + "bits": [ 7 ] + }, + "CE": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2347.9-2347.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2347.13-2347.15" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2347.17-2347.19" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2347.21-2347.23" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2347.25-2347.27" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2350.9-2350.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2351.9-2351.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2345.10-2345.11" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2346.10-2346.13" + } + } + } + }, + "SRLC32E": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2387.1-2429.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "IS_CLK_INVERTED": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "Q31": { + "direction": "output", + "bits": [ 3 ] + }, + "A": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8 ] + }, + "CE": { + "direction": "input", + "bits": [ 9 ] + }, + "CLK": { + "direction": "input", + "bits": [ 10 ] + }, + "D": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2390.15-2390.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2391.9-2391.11" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2394.9-2394.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2395.9-2395.10" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2388.10-2388.11" + } + }, + "Q31": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:2389.10-2389.13" + } + } + } + }, + "STARTUPE2": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9574.1-9590.10" + }, + "parameter_default_values": { + "PROG_USR": "FALSE" + }, + "ports": { + "CFGCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGMCLK": { + "direction": "output", + "bits": [ 3 ] + }, + "EOS": { + "direction": "output", + "bits": [ 4 ] + }, + "PREQ": { + "direction": "output", + "bits": [ 5 ] + }, + "CLK": { + "direction": "input", + "bits": [ 6 ] + }, + "GSR": { + "direction": "input", + "bits": [ 7 ] + }, + "GTS": { + "direction": "input", + "bits": [ 8 ] + }, + "KEYCLEARB": { + "direction": "input", + "bits": [ 9 ] + }, + "PACK": { + "direction": "input", + "bits": [ 10 ] + }, + "USRCCLKO": { + "direction": "input", + "bits": [ 11 ] + }, + "USRCCLKTS": { + "direction": "input", + "bits": [ 12 ] + }, + "USRDONEO": { + "direction": "input", + "bits": [ 13 ] + }, + "USRDONETS": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9577.12-9577.18" + } + }, + "CFGMCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9578.12-9578.19" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9581.11-9581.14" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9579.12-9579.15" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9582.11-9582.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9583.11-9583.14" + } + }, + "KEYCLEARB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9584.11-9584.20" + } + }, + "PACK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9585.11-9585.15" + } + }, + "PREQ": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9580.12-9580.16" + } + }, + "USRCCLKO": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9586.11-9586.19" + } + }, + "USRCCLKTS": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9587.11-9587.20" + } + }, + "USRDONEO": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9588.11-9588.19" + } + }, + "USRDONETS": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9589.11-9589.20" + } + } + } + }, + "STARTUPE3": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9593.1-9613.10" + }, + "parameter_default_values": { + "PROG_USR": "FALSE" + }, + "ports": { + "CFGCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGMCLK": { + "direction": "output", + "bits": [ 3 ] + }, + "DI": { + "direction": "output", + "bits": [ 4, 5, 6, 7 ] + }, + "EOS": { + "direction": "output", + "bits": [ 8 ] + }, + "PREQ": { + "direction": "output", + "bits": [ 9 ] + }, + "DO": { + "direction": "input", + "bits": [ 10, 11, 12, 13 ] + }, + "DTS": { + "direction": "input", + "bits": [ 14, 15, 16, 17 ] + }, + "FCSBO": { + "direction": "input", + "bits": [ 18 ] + }, + "FCSBTS": { + "direction": "input", + "bits": [ 19 ] + }, + "GSR": { + "direction": "input", + "bits": [ 20 ] + }, + "GTS": { + "direction": "input", + "bits": [ 21 ] + }, + "KEYCLEARB": { + "direction": "input", + "bits": [ 22 ] + }, + "PACK": { + "direction": "input", + "bits": [ 23 ] + }, + "USRCCLKO": { + "direction": "input", + "bits": [ 24 ] + }, + "USRCCLKTS": { + "direction": "input", + "bits": [ 25 ] + }, + "USRDONEO": { + "direction": "input", + "bits": [ 26 ] + }, + "USRDONETS": { + "direction": "input", + "bits": [ 27 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9596.12-9596.18" + } + }, + "CFGMCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9597.12-9597.19" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9598.18-9598.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9601.17-9601.19" + } + }, + "DTS": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9602.17-9602.20" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9599.12-9599.15" + } + }, + "FCSBO": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9603.11-9603.16" + } + }, + "FCSBTS": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9604.11-9604.17" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9605.11-9605.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9606.11-9606.14" + } + }, + "KEYCLEARB": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9607.11-9607.20" + } + }, + "PACK": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9608.11-9608.15" + } + }, + "PREQ": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9600.12-9600.16" + } + }, + "USRCCLKO": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9609.11-9609.19" + } + }, + "USRCCLKTS": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9610.11-9610.20" + } + }, + "USRDONEO": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9611.11-9611.19" + } + }, + "USRDONETS": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9612.11-9612.20" + } + } + } + }, + "STARTUP_SPARTAN3": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9493.1-9497.10" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "GSR": { + "direction": "input", + "bits": [ 3 ] + }, + "GTS": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9494.11-9494.14" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9495.11-9495.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9496.11-9496.14" + } + } + } + }, + "STARTUP_SPARTAN3A": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9508.1-9512.10" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "GSR": { + "direction": "input", + "bits": [ 3 ] + }, + "GTS": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9509.11-9509.14" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9510.11-9510.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9511.11-9511.14" + } + } + } + }, + "STARTUP_SPARTAN3E": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9500.1-9505.10" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "GSR": { + "direction": "input", + "bits": [ 3 ] + }, + "GTS": { + "direction": "input", + "bits": [ 4 ] + }, + "MBT": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9501.11-9501.14" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9502.11-9502.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9503.11-9503.14" + } + }, + "MBT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9504.11-9504.14" + } + } + } + }, + "STARTUP_SPARTAN6": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9515.1-9523.10" + }, + "ports": { + "CFGCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGMCLK": { + "direction": "output", + "bits": [ 3 ] + }, + "EOS": { + "direction": "output", + "bits": [ 4 ] + }, + "CLK": { + "direction": "input", + "bits": [ 5 ] + }, + "GSR": { + "direction": "input", + "bits": [ 6 ] + }, + "GTS": { + "direction": "input", + "bits": [ 7 ] + }, + "KEYCLEARB": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9516.12-9516.18" + } + }, + "CFGMCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9517.12-9517.19" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9519.11-9519.14" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9518.12-9518.15" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9520.11-9520.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9521.11-9521.14" + } + }, + "KEYCLEARB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9522.11-9522.20" + } + } + } + }, + "STARTUP_VIRTEX4": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9526.1-9535.10" + }, + "ports": { + "EOS": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "GSR": { + "direction": "input", + "bits": [ 4 ] + }, + "GTS": { + "direction": "input", + "bits": [ 5 ] + }, + "USRCCLKO": { + "direction": "input", + "bits": [ 6 ] + }, + "USRCCLKTS": { + "direction": "input", + "bits": [ 7 ] + }, + "USRDONEO": { + "direction": "input", + "bits": [ 8 ] + }, + "USRDONETS": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9528.11-9528.14" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9527.12-9527.15" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9529.11-9529.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9530.11-9530.14" + } + }, + "USRCCLKO": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9531.11-9531.19" + } + }, + "USRCCLKTS": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9532.11-9532.20" + } + }, + "USRDONEO": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9533.11-9533.19" + } + }, + "USRDONETS": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9534.11-9534.20" + } + } + } + }, + "STARTUP_VIRTEX5": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9538.1-9551.10" + }, + "ports": { + "CFGCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGMCLK": { + "direction": "output", + "bits": [ 3 ] + }, + "DINSPI": { + "direction": "output", + "bits": [ 4 ] + }, + "EOS": { + "direction": "output", + "bits": [ 5 ] + }, + "TCKSPI": { + "direction": "output", + "bits": [ 6 ] + }, + "CLK": { + "direction": "input", + "bits": [ 7 ] + }, + "GSR": { + "direction": "input", + "bits": [ 8 ] + }, + "GTS": { + "direction": "input", + "bits": [ 9 ] + }, + "USRCCLKO": { + "direction": "input", + "bits": [ 10 ] + }, + "USRCCLKTS": { + "direction": "input", + "bits": [ 11 ] + }, + "USRDONEO": { + "direction": "input", + "bits": [ 12 ] + }, + "USRDONETS": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9539.12-9539.18" + } + }, + "CFGMCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9540.12-9540.19" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9544.11-9544.14" + } + }, + "DINSPI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9541.12-9541.18" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9542.12-9542.15" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9545.11-9545.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9546.11-9546.14" + } + }, + "TCKSPI": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9543.12-9543.18" + } + }, + "USRCCLKO": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9547.11-9547.19" + } + }, + "USRCCLKTS": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9548.11-9548.20" + } + }, + "USRDONEO": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9549.11-9549.19" + } + }, + "USRDONETS": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9550.11-9550.20" + } + } + } + }, + "STARTUP_VIRTEX6": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9554.1-9571.10" + }, + "parameter_default_values": { + "PROG_USR": "FALSE" + }, + "ports": { + "CFGCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "CFGMCLK": { + "direction": "output", + "bits": [ 3 ] + }, + "DINSPI": { + "direction": "output", + "bits": [ 4 ] + }, + "EOS": { + "direction": "output", + "bits": [ 5 ] + }, + "PREQ": { + "direction": "output", + "bits": [ 6 ] + }, + "TCKSPI": { + "direction": "output", + "bits": [ 7 ] + }, + "CLK": { + "direction": "input", + "bits": [ 8 ] + }, + "GSR": { + "direction": "input", + "bits": [ 9 ] + }, + "GTS": { + "direction": "input", + "bits": [ 10 ] + }, + "KEYCLEARB": { + "direction": "input", + "bits": [ 11 ] + }, + "PACK": { + "direction": "input", + "bits": [ 12 ] + }, + "USRCCLKO": { + "direction": "input", + "bits": [ 13 ] + }, + "USRCCLKTS": { + "direction": "input", + "bits": [ 14 ] + }, + "USRDONEO": { + "direction": "input", + "bits": [ 15 ] + }, + "USRDONETS": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9556.12-9556.18" + } + }, + "CFGMCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9557.12-9557.19" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9562.11-9562.14" + } + }, + "DINSPI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9558.12-9558.18" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9559.12-9559.15" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9563.11-9563.14" + } + }, + "GTS": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9564.11-9564.14" + } + }, + "KEYCLEARB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9565.11-9565.20" + } + }, + "PACK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9566.11-9566.15" + } + }, + "PREQ": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9560.12-9560.16" + } + }, + "TCKSPI": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9561.12-9561.18" + } + }, + "USRCCLKO": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9567.11-9567.19" + } + }, + "USRCCLKTS": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9568.11-9568.20" + } + }, + "USRDONEO": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9569.11-9569.19" + } + }, + "USRDONETS": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9570.11-9570.20" + } + } + } + }, + "SUSPEND_SYNC": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9958.1-9962.10" + }, + "ports": { + "SREQ": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "SACK": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9960.11-9960.14" + } + }, + "SACK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9961.11-9961.15" + } + }, + "SREQ": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9959.12-9959.16" + } + } + } + }, + "SYSMON": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9996.1-10046.10" + }, + "parameter_default_values": { + "INIT_40": "0000000000000000", + "INIT_41": "0000000000000000", + "INIT_42": "0000100000000000", + "INIT_43": "0000000000000000", + "INIT_44": "0000000000000000", + "INIT_45": "0000000000000000", + "INIT_46": "0000000000000000", + "INIT_47": "0000000000000000", + "INIT_48": "0000000000000000", + "INIT_49": "0000000000000000", + "INIT_4A": "0000000000000000", + "INIT_4B": "0000000000000000", + "INIT_4C": "0000000000000000", + "INIT_4D": "0000000000000000", + "INIT_4E": "0000000000000000", + "INIT_4F": "0000000000000000", + "INIT_50": "0000000000000000", + "INIT_51": "0000000000000000", + "INIT_52": "0000000000000000", + "INIT_53": "0000000000000000", + "INIT_54": "0000000000000000", + "INIT_55": "0000000000000000", + "INIT_56": "0000000000000000", + "INIT_57": "0000000000000000", + "SIM_DEVICE": "VIRTEX5", + "SIM_MONITOR_FILE": "design.txt" + }, + "ports": { + "BUSY": { + "direction": "output", + "bits": [ 2 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 3 ] + }, + "EOC": { + "direction": "output", + "bits": [ 4 ] + }, + "EOS": { + "direction": "output", + "bits": [ 5 ] + }, + "JTAGBUSY": { + "direction": "output", + "bits": [ 6 ] + }, + "JTAGLOCKED": { + "direction": "output", + "bits": [ 7 ] + }, + "JTAGMODIFIED": { + "direction": "output", + "bits": [ 8 ] + }, + "OT": { + "direction": "output", + "bits": [ 9 ] + }, + "DO": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ALM": { + "direction": "output", + "bits": [ 26, 27, 28 ] + }, + "CHANNEL": { + "direction": "output", + "bits": [ 29, 30, 31, 32, 33 ] + }, + "CONVST": { + "direction": "input", + "bits": [ 34 ] + }, + "CONVSTCLK": { + "direction": "input", + "bits": [ 35 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 36 ] + }, + "DEN": { + "direction": "input", + "bits": [ 37 ] + }, + "DWE": { + "direction": "input", + "bits": [ 38 ] + }, + "RESET": { + "direction": "input", + "bits": [ 39 ] + }, + "VN": { + "direction": "input", + "bits": [ 40 ] + }, + "VP": { + "direction": "input", + "bits": [ 41 ] + }, + "DI": { + "direction": "input", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] + }, + "VAUXN": { + "direction": "input", + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "VAUXP": { + "direction": "input", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 90, 91, 92, 93, 94, 95, 96 ] + } + }, + "cells": { + }, + "netnames": { + "ALM": { + "hide_name": 0, + "bits": [ 26, 27, 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10032.18-10032.21" + } + }, + "BUSY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10023.12-10023.16" + } + }, + "CHANNEL": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10033.18-10033.25" + } + }, + "CONVST": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10034.11-10034.17" + } + }, + "CONVSTCLK": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10035.11-10035.20" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 90, 91, 92, 93, 94, 95, 96 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10045.17-10045.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10036.11-10036.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10037.11-10037.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10042.18-10042.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10031.19-10031.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10024.12-10024.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10038.11-10038.14" + } + }, + "EOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10025.12-10025.15" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10026.12-10026.15" + } + }, + "JTAGBUSY": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10027.12-10027.20" + } + }, + "JTAGLOCKED": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10028.12-10028.22" + } + }, + "JTAGMODIFIED": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10029.12-10029.24" + } + }, + "OT": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10030.12-10030.14" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10039.11-10039.16" + } + }, + "VAUXN": { + "hide_name": 0, + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10043.18-10043.23" + } + }, + "VAUXP": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10044.18-10044.23" + } + }, + "VN": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10040.11-10040.13" + } + }, + "VP": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10041.11-10041.13" + } + } + } + }, + "SYSMONE1": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10115.1-10221.10" + }, + "parameter_default_values": { + "INIT_40": "0000000000000000", + "INIT_41": "0000000000000000", + "INIT_42": "0000000000000000", + "INIT_43": "0000000000000000", + "INIT_44": "0000000000000000", + "INIT_45": "0000000000000000", + "INIT_46": "0000000000000000", + "INIT_47": "0000000000000000", + "INIT_48": "0000000000000000", + "INIT_49": "0000000000000000", + "INIT_4A": "0000000000000000", + "INIT_4B": "0000000000000000", + "INIT_4C": "0000000000000000", + "INIT_4D": "0000000000000000", + "INIT_4E": "0000000000000000", + "INIT_4F": "0000000000000000", + "INIT_50": "0000000000000000", + "INIT_51": "0000000000000000", + "INIT_52": "0000000000000000", + "INIT_53": "0000000000000000", + "INIT_54": "0000000000000000", + "INIT_55": "0000000000000000", + "INIT_56": "0000000000000000", + "INIT_57": "0000000000000000", + "INIT_58": "0000000000000000", + "INIT_59": "0000000000000000", + "INIT_5A": "0000000000000000", + "INIT_5B": "0000000000000000", + "INIT_5C": "0000000000000000", + "INIT_5D": "0000000000000000", + "INIT_5E": "0000000000000000", + "INIT_5F": "0000000000000000", + "INIT_60": "0000000000000000", + "INIT_61": "0000000000000000", + "INIT_62": "0000000000000000", + "INIT_63": "0000000000000000", + "INIT_64": "0000000000000000", + "INIT_65": "0000000000000000", + "INIT_66": "0000000000000000", + "INIT_67": "0000000000000000", + "INIT_68": "0000000000000000", + "INIT_69": "0000000000000000", + "INIT_6A": "0000000000000000", + "INIT_6B": "0000000000000000", + "INIT_6C": "0000000000000000", + "INIT_6D": "0000000000000000", + "INIT_6E": "0000000000000000", + "INIT_6F": "0000000000000000", + "INIT_70": "0000000000000000", + "INIT_71": "0000000000000000", + "INIT_72": "0000000000000000", + "INIT_73": "0000000000000000", + "INIT_74": "0000000000000000", + "INIT_75": "0000000000000000", + "INIT_76": "0000000000000000", + "INIT_77": "0000000000000000", + "INIT_78": "0000000000000000", + "INIT_79": "0000000000000000", + "INIT_7A": "0000000000000000", + "INIT_7B": "0000000000000000", + "INIT_7C": "0000000000000000", + "INIT_7D": "0000000000000000", + "INIT_7E": "0000000000000000", + "INIT_7F": "0000000000000000", + "IS_CONVSTCLK_INVERTED": "0", + "IS_DCLK_INVERTED": "0", + "SIM_MONITOR_FILE": "design.txt", + "SYSMON_VUSER0_BANK": "00000000000000000000000000000000", + "SYSMON_VUSER0_MONITOR": "NONE", + "SYSMON_VUSER1_BANK": "00000000000000000000000000000000", + "SYSMON_VUSER1_MONITOR": "NONE", + "SYSMON_VUSER2_BANK": "00000000000000000000000000000000", + "SYSMON_VUSER2_MONITOR": "NONE", + "SYSMON_VUSER3_BANK": "00000000000000000000000000000000", + "SYSMON_VUSER3_MONITOR": "NONE" + }, + "ports": { + "ALM": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "BUSY": { + "direction": "output", + "bits": [ 18 ] + }, + "CHANNEL": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23, 24 ] + }, + "DO": { + "direction": "output", + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 41 ] + }, + "EOC": { + "direction": "output", + "bits": [ 42 ] + }, + "EOS": { + "direction": "output", + "bits": [ 43 ] + }, + "I2C_SCLK_TS": { + "direction": "output", + "bits": [ 44 ] + }, + "I2C_SDA_TS": { + "direction": "output", + "bits": [ 45 ] + }, + "JTAGBUSY": { + "direction": "output", + "bits": [ 46 ] + }, + "JTAGLOCKED": { + "direction": "output", + "bits": [ 47 ] + }, + "JTAGMODIFIED": { + "direction": "output", + "bits": [ 48 ] + }, + "MUXADDR": { + "direction": "output", + "bits": [ 49, 50, 51, 52, 53 ] + }, + "OT": { + "direction": "output", + "bits": [ 54 ] + }, + "CONVST": { + "direction": "input", + "bits": [ 55 ] + }, + "CONVSTCLK": { + "direction": "input", + "bits": [ 56 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 65 ] + }, + "DEN": { + "direction": "input", + "bits": [ 66 ] + }, + "DI": { + "direction": "input", + "bits": [ 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "DWE": { + "direction": "input", + "bits": [ 83 ] + }, + "I2C_SCLK": { + "direction": "input", + "bits": [ 84 ] + }, + "I2C_SDA": { + "direction": "input", + "bits": [ 85 ] + }, + "RESET": { + "direction": "input", + "bits": [ 86 ] + }, + "VAUXN": { + "direction": "input", + "bits": [ 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + }, + "VAUXP": { + "direction": "input", + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ] + }, + "VN": { + "direction": "input", + "bits": [ 119 ] + }, + "VP": { + "direction": "input", + "bits": [ 120 ] + } + }, + "cells": { + }, + "netnames": { + "ALM": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10191.19-10191.22" + } + }, + "BUSY": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10192.12-10192.16" + } + }, + "CHANNEL": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10193.18-10193.25" + } + }, + "CONVST": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10205.11-10205.17" + } + }, + "CONVSTCLK": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "invertible_pin": "IS_CONVSTCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10207.11-10207.20" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10208.17-10208.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "invertible_pin": "IS_DCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10210.11-10210.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10211.11-10211.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10212.18-10212.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10194.19-10194.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10195.12-10195.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10213.11-10213.14" + } + }, + "EOC": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10196.12-10196.15" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10197.12-10197.15" + } + }, + "I2C_SCLK": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10214.11-10214.19" + } + }, + "I2C_SCLK_TS": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10198.12-10198.23" + } + }, + "I2C_SDA": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10215.11-10215.18" + } + }, + "I2C_SDA_TS": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10199.12-10199.22" + } + }, + "JTAGBUSY": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10200.12-10200.20" + } + }, + "JTAGLOCKED": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10201.12-10201.22" + } + }, + "JTAGMODIFIED": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10202.12-10202.24" + } + }, + "MUXADDR": { + "hide_name": 0, + "bits": [ 49, 50, 51, 52, 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10203.18-10203.25" + } + }, + "OT": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10204.12-10204.14" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10216.11-10216.16" + } + }, + "VAUXN": { + "hide_name": 0, + "bits": [ 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10217.18-10217.23" + } + }, + "VAUXP": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10218.18-10218.23" + } + }, + "VN": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10219.11-10219.13" + } + }, + "VP": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10220.11-10220.13" + } + } + } + }, + "SYSMONE4": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10224.1-10334.10" + }, + "parameter_default_values": { + "COMMON_N_SOURCE": "1111111111111111", + "INIT_40": "0000000000000000", + "INIT_41": "0000000000000000", + "INIT_42": "0000000000000000", + "INIT_43": "0000000000000000", + "INIT_44": "0000000000000000", + "INIT_45": "0000000000000000", + "INIT_46": "0000000000000000", + "INIT_47": "0000000000000000", + "INIT_48": "0000000000000000", + "INIT_49": "0000000000000000", + "INIT_4A": "0000000000000000", + "INIT_4B": "0000000000000000", + "INIT_4C": "0000000000000000", + "INIT_4D": "0000000000000000", + "INIT_4E": "0000000000000000", + "INIT_4F": "0000000000000000", + "INIT_50": "0000000000000000", + "INIT_51": "0000000000000000", + "INIT_52": "0000000000000000", + "INIT_53": "0000000000000000", + "INIT_54": "0000000000000000", + "INIT_55": "0000000000000000", + "INIT_56": "0000000000000000", + "INIT_57": "0000000000000000", + "INIT_58": "0000000000000000", + "INIT_59": "0000000000000000", + "INIT_5A": "0000000000000000", + "INIT_5B": "0000000000000000", + "INIT_5C": "0000000000000000", + "INIT_5D": "0000000000000000", + "INIT_5E": "0000000000000000", + "INIT_5F": "0000000000000000", + "INIT_60": "0000000000000000", + "INIT_61": "0000000000000000", + "INIT_62": "0000000000000000", + "INIT_63": "0000000000000000", + "INIT_64": "0000000000000000", + "INIT_65": "0000000000000000", + "INIT_66": "0000000000000000", + "INIT_67": "0000000000000000", + "INIT_68": "0000000000000000", + "INIT_69": "0000000000000000", + "INIT_6A": "0000000000000000", + "INIT_6B": "0000000000000000", + "INIT_6C": "0000000000000000", + "INIT_6D": "0000000000000000", + "INIT_6E": "0000000000000000", + "INIT_6F": "0000000000000000", + "INIT_70": "0000000000000000", + "INIT_71": "0000000000000000", + "INIT_72": "0000000000000000", + "INIT_73": "0000000000000000", + "INIT_74": "0000000000000000", + "INIT_75": "0000000000000000", + "INIT_76": "0000000000000000", + "INIT_77": "0000000000000000", + "INIT_78": "0000000000000000", + "INIT_79": "0000000000000000", + "INIT_7A": "0000000000000000", + "INIT_7B": "0000000000000000", + "INIT_7C": "0000000000000000", + "INIT_7D": "0000000000000000", + "INIT_7E": "0000000000000000", + "INIT_7F": "0000000000000000", + "IS_CONVSTCLK_INVERTED": "0", + "IS_DCLK_INVERTED": "0", + "SIM_DEVICE": "ULTRASCALE_PLUS", + "SIM_MONITOR_FILE": "design.txt", + "SYSMON_VUSER0_BANK": "00000000000000000000000000000000", + "SYSMON_VUSER0_MONITOR": "NONE", + "SYSMON_VUSER1_BANK": "00000000000000000000000000000000", + "SYSMON_VUSER1_MONITOR": "NONE", + "SYSMON_VUSER2_BANK": "00000000000000000000000000000000", + "SYSMON_VUSER2_MONITOR": "NONE", + "SYSMON_VUSER3_BANK": "00000000000000000000000000000000", + "SYSMON_VUSER3_MONITOR": "NONE" + }, + "ports": { + "ADC_DATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "ALM": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "BUSY": { + "direction": "output", + "bits": [ 34 ] + }, + "CHANNEL": { + "direction": "output", + "bits": [ 35, 36, 37, 38, 39, 40 ] + }, + "DO": { + "direction": "output", + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 57 ] + }, + "EOC": { + "direction": "output", + "bits": [ 58 ] + }, + "EOS": { + "direction": "output", + "bits": [ 59 ] + }, + "I2C_SCLK_TS": { + "direction": "output", + "bits": [ 60 ] + }, + "I2C_SDA_TS": { + "direction": "output", + "bits": [ 61 ] + }, + "JTAGBUSY": { + "direction": "output", + "bits": [ 62 ] + }, + "JTAGLOCKED": { + "direction": "output", + "bits": [ 63 ] + }, + "JTAGMODIFIED": { + "direction": "output", + "bits": [ 64 ] + }, + "MUXADDR": { + "direction": "output", + "bits": [ 65, 66, 67, 68, 69 ] + }, + "OT": { + "direction": "output", + "bits": [ 70 ] + }, + "SMBALERT_TS": { + "direction": "output", + "bits": [ 71 ] + }, + "CONVST": { + "direction": "input", + "bits": [ 72 ] + }, + "CONVSTCLK": { + "direction": "input", + "bits": [ 73 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 82 ] + }, + "DEN": { + "direction": "input", + "bits": [ 83 ] + }, + "DI": { + "direction": "input", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "DWE": { + "direction": "input", + "bits": [ 100 ] + }, + "I2C_SCLK": { + "direction": "input", + "bits": [ 101 ] + }, + "I2C_SDA": { + "direction": "input", + "bits": [ 102 ] + }, + "RESET": { + "direction": "input", + "bits": [ 103 ] + }, + "VAUXN": { + "direction": "input", + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ] + }, + "VAUXP": { + "direction": "input", + "bits": [ 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135 ] + }, + "VN": { + "direction": "input", + "bits": [ 136 ] + }, + "VP": { + "direction": "input", + "bits": [ 137 ] + } + }, + "cells": { + }, + "netnames": { + "ADC_DATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10302.19-10302.27" + } + }, + "ALM": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10303.19-10303.22" + } + }, + "BUSY": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10304.12-10304.16" + } + }, + "CHANNEL": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10305.18-10305.25" + } + }, + "CONVST": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10318.11-10318.17" + } + }, + "CONVSTCLK": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "invertible_pin": "IS_CONVSTCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10320.11-10320.20" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10321.17-10321.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "invertible_pin": "IS_DCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10323.11-10323.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10324.11-10324.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10325.18-10325.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10306.19-10306.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10307.12-10307.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10326.11-10326.14" + } + }, + "EOC": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10308.12-10308.15" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10309.12-10309.15" + } + }, + "I2C_SCLK": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10327.11-10327.19" + } + }, + "I2C_SCLK_TS": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10310.12-10310.23" + } + }, + "I2C_SDA": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10328.11-10328.18" + } + }, + "I2C_SDA_TS": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10311.12-10311.22" + } + }, + "JTAGBUSY": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10312.12-10312.20" + } + }, + "JTAGLOCKED": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10313.12-10313.22" + } + }, + "JTAGMODIFIED": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10314.12-10314.24" + } + }, + "MUXADDR": { + "hide_name": 0, + "bits": [ 65, 66, 67, 68, 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10315.18-10315.25" + } + }, + "OT": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10316.12-10316.14" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10329.11-10329.16" + } + }, + "SMBALERT_TS": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10317.12-10317.23" + } + }, + "VAUXN": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10330.18-10330.23" + } + }, + "VAUXP": { + "hide_name": 0, + "bits": [ 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10331.18-10331.23" + } + }, + "VN": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10332.11-10332.13" + } + }, + "VP": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10333.11-10333.13" + } + } + } + }, + "TEMAC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26709.1-26953.10" + }, + "parameter_default_values": { + "EMAC0_1000BASEX_ENABLE": "FALSE", + "EMAC0_ADDRFILTER_ENABLE": "FALSE", + "EMAC0_BYTEPHY": "FALSE", + "EMAC0_CONFIGVEC_79": "FALSE", + "EMAC0_DCRBASEADDR": "00000000", + "EMAC0_GTLOOPBACK": "FALSE", + "EMAC0_HOST_ENABLE": "FALSE", + "EMAC0_LINKTIMERVAL": "000000000", + "EMAC0_LTCHECK_DISABLE": "FALSE", + "EMAC0_MDIO_ENABLE": "FALSE", + "EMAC0_PAUSEADDR": "000000000000000000000000000000000000000000000000", + "EMAC0_PHYINITAUTONEG_ENABLE": "FALSE", + "EMAC0_PHYISOLATE": "FALSE", + "EMAC0_PHYLOOPBACKMSB": "FALSE", + "EMAC0_PHYPOWERDOWN": "FALSE", + "EMAC0_PHYRESET": "FALSE", + "EMAC0_RGMII_ENABLE": "FALSE", + "EMAC0_RX16BITCLIENT_ENABLE": "FALSE", + "EMAC0_RXFLOWCTRL_ENABLE": "FALSE", + "EMAC0_RXHALFDUPLEX": "FALSE", + "EMAC0_RXINBANDFCS_ENABLE": "FALSE", + "EMAC0_RXJUMBOFRAME_ENABLE": "FALSE", + "EMAC0_RXRESET": "FALSE", + "EMAC0_RXVLAN_ENABLE": "FALSE", + "EMAC0_RX_ENABLE": "FALSE", + "EMAC0_SGMII_ENABLE": "FALSE", + "EMAC0_SPEED_LSB": "FALSE", + "EMAC0_SPEED_MSB": "FALSE", + "EMAC0_TX16BITCLIENT_ENABLE": "FALSE", + "EMAC0_TXFLOWCTRL_ENABLE": "FALSE", + "EMAC0_TXHALFDUPLEX": "FALSE", + "EMAC0_TXIFGADJUST_ENABLE": "FALSE", + "EMAC0_TXINBANDFCS_ENABLE": "FALSE", + "EMAC0_TXJUMBOFRAME_ENABLE": "FALSE", + "EMAC0_TXRESET": "FALSE", + "EMAC0_TXVLAN_ENABLE": "FALSE", + "EMAC0_TX_ENABLE": "FALSE", + "EMAC0_UNICASTADDR": "000000000000000000000000000000000000000000000000", + "EMAC0_UNIDIRECTION_ENABLE": "FALSE", + "EMAC0_USECLKEN": "FALSE", + "EMAC1_1000BASEX_ENABLE": "FALSE", + "EMAC1_ADDRFILTER_ENABLE": "FALSE", + "EMAC1_BYTEPHY": "FALSE", + "EMAC1_CONFIGVEC_79": "FALSE", + "EMAC1_DCRBASEADDR": "00000000", + "EMAC1_GTLOOPBACK": "FALSE", + "EMAC1_HOST_ENABLE": "FALSE", + "EMAC1_LINKTIMERVAL": "000000000", + "EMAC1_LTCHECK_DISABLE": "FALSE", + "EMAC1_MDIO_ENABLE": "FALSE", + "EMAC1_PAUSEADDR": "000000000000000000000000000000000000000000000000", + "EMAC1_PHYINITAUTONEG_ENABLE": "FALSE", + "EMAC1_PHYISOLATE": "FALSE", + "EMAC1_PHYLOOPBACKMSB": "FALSE", + "EMAC1_PHYPOWERDOWN": "FALSE", + "EMAC1_PHYRESET": "FALSE", + "EMAC1_RGMII_ENABLE": "FALSE", + "EMAC1_RX16BITCLIENT_ENABLE": "FALSE", + "EMAC1_RXFLOWCTRL_ENABLE": "FALSE", + "EMAC1_RXHALFDUPLEX": "FALSE", + "EMAC1_RXINBANDFCS_ENABLE": "FALSE", + "EMAC1_RXJUMBOFRAME_ENABLE": "FALSE", + "EMAC1_RXRESET": "FALSE", + "EMAC1_RXVLAN_ENABLE": "FALSE", + "EMAC1_RX_ENABLE": "FALSE", + "EMAC1_SGMII_ENABLE": "FALSE", + "EMAC1_SPEED_LSB": "FALSE", + "EMAC1_SPEED_MSB": "FALSE", + "EMAC1_TX16BITCLIENT_ENABLE": "FALSE", + "EMAC1_TXFLOWCTRL_ENABLE": "FALSE", + "EMAC1_TXHALFDUPLEX": "FALSE", + "EMAC1_TXIFGADJUST_ENABLE": "FALSE", + "EMAC1_TXINBANDFCS_ENABLE": "FALSE", + "EMAC1_TXJUMBOFRAME_ENABLE": "FALSE", + "EMAC1_TXRESET": "FALSE", + "EMAC1_TXVLAN_ENABLE": "FALSE", + "EMAC1_TX_ENABLE": "FALSE", + "EMAC1_UNICASTADDR": "000000000000000000000000000000000000000000000000", + "EMAC1_UNIDIRECTION_ENABLE": "FALSE", + "EMAC1_USECLKEN": "FALSE" + }, + "ports": { + "DCRHOSTDONEIR": { + "direction": "output", + "bits": [ 2 ] + }, + "EMAC0CLIENTANINTERRUPT": { + "direction": "output", + "bits": [ 3 ] + }, + "EMAC0CLIENTRXBADFRAME": { + "direction": "output", + "bits": [ 4 ] + }, + "EMAC0CLIENTRXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 5 ] + }, + "EMAC0CLIENTRXDVLD": { + "direction": "output", + "bits": [ 6 ] + }, + "EMAC0CLIENTRXDVLDMSW": { + "direction": "output", + "bits": [ 7 ] + }, + "EMAC0CLIENTRXFRAMEDROP": { + "direction": "output", + "bits": [ 8 ] + }, + "EMAC0CLIENTRXGOODFRAME": { + "direction": "output", + "bits": [ 9 ] + }, + "EMAC0CLIENTRXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 10 ] + }, + "EMAC0CLIENTRXSTATSVLD": { + "direction": "output", + "bits": [ 11 ] + }, + "EMAC0CLIENTTXACK": { + "direction": "output", + "bits": [ 12 ] + }, + "EMAC0CLIENTTXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 13 ] + }, + "EMAC0CLIENTTXCOLLISION": { + "direction": "output", + "bits": [ 14 ] + }, + "EMAC0CLIENTTXRETRANSMIT": { + "direction": "output", + "bits": [ 15 ] + }, + "EMAC0CLIENTTXSTATS": { + "direction": "output", + "bits": [ 16 ] + }, + "EMAC0CLIENTTXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 17 ] + }, + "EMAC0CLIENTTXSTATSVLD": { + "direction": "output", + "bits": [ 18 ] + }, + "EMAC0PHYENCOMMAALIGN": { + "direction": "output", + "bits": [ 19 ] + }, + "EMAC0PHYLOOPBACKMSB": { + "direction": "output", + "bits": [ 20 ] + }, + "EMAC0PHYMCLKOUT": { + "direction": "output", + "bits": [ 21 ] + }, + "EMAC0PHYMDOUT": { + "direction": "output", + "bits": [ 22 ] + }, + "EMAC0PHYMDTRI": { + "direction": "output", + "bits": [ 23 ] + }, + "EMAC0PHYMGTRXRESET": { + "direction": "output", + "bits": [ 24 ] + }, + "EMAC0PHYMGTTXRESET": { + "direction": "output", + "bits": [ 25 ] + }, + "EMAC0PHYPOWERDOWN": { + "direction": "output", + "bits": [ 26 ] + }, + "EMAC0PHYSYNCACQSTATUS": { + "direction": "output", + "bits": [ 27 ] + }, + "EMAC0PHYTXCHARDISPMODE": { + "direction": "output", + "bits": [ 28 ] + }, + "EMAC0PHYTXCHARDISPVAL": { + "direction": "output", + "bits": [ 29 ] + }, + "EMAC0PHYTXCHARISK": { + "direction": "output", + "bits": [ 30 ] + }, + "EMAC0PHYTXCLK": { + "direction": "output", + "bits": [ 31 ] + }, + "EMAC0PHYTXEN": { + "direction": "output", + "bits": [ 32 ] + }, + "EMAC0PHYTXER": { + "direction": "output", + "bits": [ 33 ] + }, + "EMAC0PHYTXGMIIMIICLKOUT": { + "direction": "output", + "bits": [ 34 ] + }, + "EMAC0SPEEDIS10100": { + "direction": "output", + "bits": [ 35 ] + }, + "EMAC1CLIENTANINTERRUPT": { + "direction": "output", + "bits": [ 36 ] + }, + "EMAC1CLIENTRXBADFRAME": { + "direction": "output", + "bits": [ 37 ] + }, + "EMAC1CLIENTRXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 38 ] + }, + "EMAC1CLIENTRXDVLD": { + "direction": "output", + "bits": [ 39 ] + }, + "EMAC1CLIENTRXDVLDMSW": { + "direction": "output", + "bits": [ 40 ] + }, + "EMAC1CLIENTRXFRAMEDROP": { + "direction": "output", + "bits": [ 41 ] + }, + "EMAC1CLIENTRXGOODFRAME": { + "direction": "output", + "bits": [ 42 ] + }, + "EMAC1CLIENTRXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 43 ] + }, + "EMAC1CLIENTRXSTATSVLD": { + "direction": "output", + "bits": [ 44 ] + }, + "EMAC1CLIENTTXACK": { + "direction": "output", + "bits": [ 45 ] + }, + "EMAC1CLIENTTXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 46 ] + }, + "EMAC1CLIENTTXCOLLISION": { + "direction": "output", + "bits": [ 47 ] + }, + "EMAC1CLIENTTXRETRANSMIT": { + "direction": "output", + "bits": [ 48 ] + }, + "EMAC1CLIENTTXSTATS": { + "direction": "output", + "bits": [ 49 ] + }, + "EMAC1CLIENTTXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 50 ] + }, + "EMAC1CLIENTTXSTATSVLD": { + "direction": "output", + "bits": [ 51 ] + }, + "EMAC1PHYENCOMMAALIGN": { + "direction": "output", + "bits": [ 52 ] + }, + "EMAC1PHYLOOPBACKMSB": { + "direction": "output", + "bits": [ 53 ] + }, + "EMAC1PHYMCLKOUT": { + "direction": "output", + "bits": [ 54 ] + }, + "EMAC1PHYMDOUT": { + "direction": "output", + "bits": [ 55 ] + }, + "EMAC1PHYMDTRI": { + "direction": "output", + "bits": [ 56 ] + }, + "EMAC1PHYMGTRXRESET": { + "direction": "output", + "bits": [ 57 ] + }, + "EMAC1PHYMGTTXRESET": { + "direction": "output", + "bits": [ 58 ] + }, + "EMAC1PHYPOWERDOWN": { + "direction": "output", + "bits": [ 59 ] + }, + "EMAC1PHYSYNCACQSTATUS": { + "direction": "output", + "bits": [ 60 ] + }, + "EMAC1PHYTXCHARDISPMODE": { + "direction": "output", + "bits": [ 61 ] + }, + "EMAC1PHYTXCHARDISPVAL": { + "direction": "output", + "bits": [ 62 ] + }, + "EMAC1PHYTXCHARISK": { + "direction": "output", + "bits": [ 63 ] + }, + "EMAC1PHYTXCLK": { + "direction": "output", + "bits": [ 64 ] + }, + "EMAC1PHYTXEN": { + "direction": "output", + "bits": [ 65 ] + }, + "EMAC1PHYTXER": { + "direction": "output", + "bits": [ 66 ] + }, + "EMAC1PHYTXGMIIMIICLKOUT": { + "direction": "output", + "bits": [ 67 ] + }, + "EMAC1SPEEDIS10100": { + "direction": "output", + "bits": [ 68 ] + }, + "EMACDCRACK": { + "direction": "output", + "bits": [ 69 ] + }, + "HOSTMIIMRDY": { + "direction": "output", + "bits": [ 70 ] + }, + "EMACDCRDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + }, + "EMAC0CLIENTRXD": { + "direction": "output", + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ] + }, + "EMAC1CLIENTRXD": { + "direction": "output", + "bits": [ 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134 ] + }, + "HOSTRDDATA": { + "direction": "output", + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166 ] + }, + "EMAC0CLIENTRXSTATS": { + "direction": "output", + "bits": [ 167, 168, 169, 170, 171, 172, 173 ] + }, + "EMAC1CLIENTRXSTATS": { + "direction": "output", + "bits": [ 174, 175, 176, 177, 178, 179, 180 ] + }, + "EMAC0PHYTXD": { + "direction": "output", + "bits": [ 181, 182, 183, 184, 185, 186, 187, 188 ] + }, + "EMAC1PHYTXD": { + "direction": "output", + "bits": [ 189, 190, 191, 192, 193, 194, 195, 196 ] + }, + "CLIENTEMAC0DCMLOCKED": { + "direction": "input", + "bits": [ 197 ] + }, + "CLIENTEMAC0PAUSEREQ": { + "direction": "input", + "bits": [ 198 ] + }, + "CLIENTEMAC0RXCLIENTCLKIN": { + "direction": "input", + "bits": [ 199 ] + }, + "CLIENTEMAC0TXCLIENTCLKIN": { + "direction": "input", + "bits": [ 200 ] + }, + "CLIENTEMAC0TXDVLD": { + "direction": "input", + "bits": [ 201 ] + }, + "CLIENTEMAC0TXDVLDMSW": { + "direction": "input", + "bits": [ 202 ] + }, + "CLIENTEMAC0TXFIRSTBYTE": { + "direction": "input", + "bits": [ 203 ] + }, + "CLIENTEMAC0TXUNDERRUN": { + "direction": "input", + "bits": [ 204 ] + }, + "CLIENTEMAC1DCMLOCKED": { + "direction": "input", + "bits": [ 205 ] + }, + "CLIENTEMAC1PAUSEREQ": { + "direction": "input", + "bits": [ 206 ] + }, + "CLIENTEMAC1RXCLIENTCLKIN": { + "direction": "input", + "bits": [ 207 ] + }, + "CLIENTEMAC1TXCLIENTCLKIN": { + "direction": "input", + "bits": [ 208 ] + }, + "CLIENTEMAC1TXDVLD": { + "direction": "input", + "bits": [ 209 ] + }, + "CLIENTEMAC1TXDVLDMSW": { + "direction": "input", + "bits": [ 210 ] + }, + "CLIENTEMAC1TXFIRSTBYTE": { + "direction": "input", + "bits": [ 211 ] + }, + "CLIENTEMAC1TXUNDERRUN": { + "direction": "input", + "bits": [ 212 ] + }, + "DCREMACCLK": { + "direction": "input", + "bits": [ 213 ] + }, + "DCREMACENABLE": { + "direction": "input", + "bits": [ 214 ] + }, + "DCREMACREAD": { + "direction": "input", + "bits": [ 215 ] + }, + "DCREMACWRITE": { + "direction": "input", + "bits": [ 216 ] + }, + "HOSTCLK": { + "direction": "input", + "bits": [ 217 ] + }, + "HOSTEMAC1SEL": { + "direction": "input", + "bits": [ 218 ] + }, + "HOSTMIIMSEL": { + "direction": "input", + "bits": [ 219 ] + }, + "HOSTREQ": { + "direction": "input", + "bits": [ 220 ] + }, + "PHYEMAC0COL": { + "direction": "input", + "bits": [ 221 ] + }, + "PHYEMAC0CRS": { + "direction": "input", + "bits": [ 222 ] + }, + "PHYEMAC0GTXCLK": { + "direction": "input", + "bits": [ 223 ] + }, + "PHYEMAC0MCLKIN": { + "direction": "input", + "bits": [ 224 ] + }, + "PHYEMAC0MDIN": { + "direction": "input", + "bits": [ 225 ] + }, + "PHYEMAC0MIITXCLK": { + "direction": "input", + "bits": [ 226 ] + }, + "PHYEMAC0RXBUFERR": { + "direction": "input", + "bits": [ 227 ] + }, + "PHYEMAC0RXCHARISCOMMA": { + "direction": "input", + "bits": [ 228 ] + }, + "PHYEMAC0RXCHARISK": { + "direction": "input", + "bits": [ 229 ] + }, + "PHYEMAC0RXCHECKINGCRC": { + "direction": "input", + "bits": [ 230 ] + }, + "PHYEMAC0RXCLK": { + "direction": "input", + "bits": [ 231 ] + }, + "PHYEMAC0RXCOMMADET": { + "direction": "input", + "bits": [ 232 ] + }, + "PHYEMAC0RXDISPERR": { + "direction": "input", + "bits": [ 233 ] + }, + "PHYEMAC0RXDV": { + "direction": "input", + "bits": [ 234 ] + }, + "PHYEMAC0RXER": { + "direction": "input", + "bits": [ 235 ] + }, + "PHYEMAC0RXNOTINTABLE": { + "direction": "input", + "bits": [ 236 ] + }, + "PHYEMAC0RXRUNDISP": { + "direction": "input", + "bits": [ 237 ] + }, + "PHYEMAC0SIGNALDET": { + "direction": "input", + "bits": [ 238 ] + }, + "PHYEMAC0TXBUFERR": { + "direction": "input", + "bits": [ 239 ] + }, + "PHYEMAC0TXGMIIMIICLKIN": { + "direction": "input", + "bits": [ 240 ] + }, + "PHYEMAC1COL": { + "direction": "input", + "bits": [ 241 ] + }, + "PHYEMAC1CRS": { + "direction": "input", + "bits": [ 242 ] + }, + "PHYEMAC1GTXCLK": { + "direction": "input", + "bits": [ 243 ] + }, + "PHYEMAC1MCLKIN": { + "direction": "input", + "bits": [ 244 ] + }, + "PHYEMAC1MDIN": { + "direction": "input", + "bits": [ 245 ] + }, + "PHYEMAC1MIITXCLK": { + "direction": "input", + "bits": [ 246 ] + }, + "PHYEMAC1RXBUFERR": { + "direction": "input", + "bits": [ 247 ] + }, + "PHYEMAC1RXCHARISCOMMA": { + "direction": "input", + "bits": [ 248 ] + }, + "PHYEMAC1RXCHARISK": { + "direction": "input", + "bits": [ 249 ] + }, + "PHYEMAC1RXCHECKINGCRC": { + "direction": "input", + "bits": [ 250 ] + }, + "PHYEMAC1RXCLK": { + "direction": "input", + "bits": [ 251 ] + }, + "PHYEMAC1RXCOMMADET": { + "direction": "input", + "bits": [ 252 ] + }, + "PHYEMAC1RXDISPERR": { + "direction": "input", + "bits": [ 253 ] + }, + "PHYEMAC1RXDV": { + "direction": "input", + "bits": [ 254 ] + }, + "PHYEMAC1RXER": { + "direction": "input", + "bits": [ 255 ] + }, + "PHYEMAC1RXNOTINTABLE": { + "direction": "input", + "bits": [ 256 ] + }, + "PHYEMAC1RXRUNDISP": { + "direction": "input", + "bits": [ 257 ] + }, + "PHYEMAC1SIGNALDET": { + "direction": "input", + "bits": [ 258 ] + }, + "PHYEMAC1TXBUFERR": { + "direction": "input", + "bits": [ 259 ] + }, + "PHYEMAC1TXGMIIMIICLKIN": { + "direction": "input", + "bits": [ 260 ] + }, + "RESET": { + "direction": "input", + "bits": [ 261 ] + }, + "DCREMACDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293 ] + }, + "DCREMACABUS": { + "direction": "input", + "upto": 1, + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ] + }, + "CLIENTEMAC0PAUSEVAL": { + "direction": "input", + "bits": [ 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319 ] + }, + "CLIENTEMAC0TXD": { + "direction": "input", + "bits": [ 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335 ] + }, + "CLIENTEMAC1PAUSEVAL": { + "direction": "input", + "bits": [ 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351 ] + }, + "CLIENTEMAC1TXD": { + "direction": "input", + "bits": [ 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367 ] + }, + "HOSTOPCODE": { + "direction": "input", + "bits": [ 368, 369 ] + }, + "PHYEMAC0RXBUFSTATUS": { + "direction": "input", + "bits": [ 370, 371 ] + }, + "PHYEMAC0RXLOSSOFSYNC": { + "direction": "input", + "bits": [ 372, 373 ] + }, + "PHYEMAC1RXBUFSTATUS": { + "direction": "input", + "bits": [ 374, 375 ] + }, + "PHYEMAC1RXLOSSOFSYNC": { + "direction": "input", + "bits": [ 376, 377 ] + }, + "PHYEMAC0RXCLKCORCNT": { + "direction": "input", + "bits": [ 378, 379, 380 ] + }, + "PHYEMAC1RXCLKCORCNT": { + "direction": "input", + "bits": [ 381, 382, 383 ] + }, + "HOSTWRDATA": { + "direction": "input", + "bits": [ 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415 ] + }, + "PHYEMAC0PHYAD": { + "direction": "input", + "bits": [ 416, 417, 418, 419, 420 ] + }, + "PHYEMAC1PHYAD": { + "direction": "input", + "bits": [ 421, 422, 423, 424, 425 ] + }, + "CLIENTEMAC0TXIFGDELAY": { + "direction": "input", + "bits": [ 426, 427, 428, 429, 430, 431, 432, 433 ] + }, + "CLIENTEMAC1TXIFGDELAY": { + "direction": "input", + "bits": [ 434, 435, 436, 437, 438, 439, 440, 441 ] + }, + "PHYEMAC0RXD": { + "direction": "input", + "bits": [ 442, 443, 444, 445, 446, 447, 448, 449 ] + }, + "PHYEMAC1RXD": { + "direction": "input", + "bits": [ 450, 451, 452, 453, 454, 455, 456, 457 ] + }, + "HOSTADDR": { + "direction": "input", + "bits": [ 458, 459, 460, 461, 462, 463, 464, 465, 466, 467 ] + } + }, + "cells": { + }, + "netnames": { + "CLIENTEMAC0DCMLOCKED": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26867.11-26867.31" + } + }, + "CLIENTEMAC0PAUSEREQ": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26868.11-26868.30" + } + }, + "CLIENTEMAC0PAUSEVAL": { + "hide_name": 0, + "bits": [ 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26934.18-26934.37" + } + }, + "CLIENTEMAC0RXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26869.11-26869.35" + } + }, + "CLIENTEMAC0TXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26870.11-26870.35" + } + }, + "CLIENTEMAC0TXD": { + "hide_name": 0, + "bits": [ 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26935.18-26935.32" + } + }, + "CLIENTEMAC0TXDVLD": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26871.11-26871.28" + } + }, + "CLIENTEMAC0TXDVLDMSW": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26872.11-26872.31" + } + }, + "CLIENTEMAC0TXFIRSTBYTE": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26873.11-26873.33" + } + }, + "CLIENTEMAC0TXIFGDELAY": { + "hide_name": 0, + "bits": [ 426, 427, 428, 429, 430, 431, 432, 433 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26948.17-26948.38" + } + }, + "CLIENTEMAC0TXUNDERRUN": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26874.11-26874.32" + } + }, + "CLIENTEMAC1DCMLOCKED": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26875.11-26875.31" + } + }, + "CLIENTEMAC1PAUSEREQ": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26876.11-26876.30" + } + }, + "CLIENTEMAC1PAUSEVAL": { + "hide_name": 0, + "bits": [ 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26936.18-26936.37" + } + }, + "CLIENTEMAC1RXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26877.11-26877.35" + } + }, + "CLIENTEMAC1TXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26878.11-26878.35" + } + }, + "CLIENTEMAC1TXD": { + "hide_name": 0, + "bits": [ 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26937.18-26937.32" + } + }, + "CLIENTEMAC1TXDVLD": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26879.11-26879.28" + } + }, + "CLIENTEMAC1TXDVLDMSW": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26880.11-26880.31" + } + }, + "CLIENTEMAC1TXFIRSTBYTE": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26881.11-26881.33" + } + }, + "CLIENTEMAC1TXIFGDELAY": { + "hide_name": 0, + "bits": [ 434, 435, 436, 437, 438, 439, 440, 441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26949.17-26949.38" + } + }, + "CLIENTEMAC1TXUNDERRUN": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26882.11-26882.32" + } + }, + "DCREMACABUS": { + "hide_name": 0, + "bits": [ 294, 295, 296, 297, 298, 299, 300, 301, 302, 303 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26933.17-26933.28" + } + }, + "DCREMACCLK": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26883.11-26883.21" + } + }, + "DCREMACDBUS": { + "hide_name": 0, + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26932.18-26932.29" + } + }, + "DCREMACENABLE": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26884.11-26884.24" + } + }, + "DCREMACREAD": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26885.11-26885.22" + } + }, + "DCREMACWRITE": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26886.11-26886.23" + } + }, + "DCRHOSTDONEIR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26790.12-26790.25" + } + }, + "EMAC0CLIENTANINTERRUPT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26791.12-26791.34" + } + }, + "EMAC0CLIENTRXBADFRAME": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26792.12-26792.33" + } + }, + "EMAC0CLIENTRXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26793.12-26793.37" + } + }, + "EMAC0CLIENTRXD": { + "hide_name": 0, + "bits": [ 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26860.19-26860.33" + } + }, + "EMAC0CLIENTRXDVLD": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26794.12-26794.29" + } + }, + "EMAC0CLIENTRXDVLDMSW": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26795.12-26795.32" + } + }, + "EMAC0CLIENTRXFRAMEDROP": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26796.12-26796.34" + } + }, + "EMAC0CLIENTRXGOODFRAME": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26797.12-26797.34" + } + }, + "EMAC0CLIENTRXSTATS": { + "hide_name": 0, + "bits": [ 167, 168, 169, 170, 171, 172, 173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26863.18-26863.36" + } + }, + "EMAC0CLIENTRXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26798.12-26798.37" + } + }, + "EMAC0CLIENTRXSTATSVLD": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26799.12-26799.33" + } + }, + "EMAC0CLIENTTXACK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26800.12-26800.28" + } + }, + "EMAC0CLIENTTXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26801.12-26801.37" + } + }, + "EMAC0CLIENTTXCOLLISION": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26802.12-26802.34" + } + }, + "EMAC0CLIENTTXRETRANSMIT": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26803.12-26803.35" + } + }, + "EMAC0CLIENTTXSTATS": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26804.12-26804.30" + } + }, + "EMAC0CLIENTTXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26805.12-26805.37" + } + }, + "EMAC0CLIENTTXSTATSVLD": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26806.12-26806.33" + } + }, + "EMAC0PHYENCOMMAALIGN": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26807.12-26807.32" + } + }, + "EMAC0PHYLOOPBACKMSB": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26808.12-26808.31" + } + }, + "EMAC0PHYMCLKOUT": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26809.12-26809.27" + } + }, + "EMAC0PHYMDOUT": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26810.12-26810.25" + } + }, + "EMAC0PHYMDTRI": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26811.12-26811.25" + } + }, + "EMAC0PHYMGTRXRESET": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26812.12-26812.30" + } + }, + "EMAC0PHYMGTTXRESET": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26813.12-26813.30" + } + }, + "EMAC0PHYPOWERDOWN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26814.12-26814.29" + } + }, + "EMAC0PHYSYNCACQSTATUS": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26815.12-26815.33" + } + }, + "EMAC0PHYTXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26816.12-26816.34" + } + }, + "EMAC0PHYTXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26817.12-26817.33" + } + }, + "EMAC0PHYTXCHARISK": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26818.12-26818.29" + } + }, + "EMAC0PHYTXCLK": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26819.12-26819.25" + } + }, + "EMAC0PHYTXD": { + "hide_name": 0, + "bits": [ 181, 182, 183, 184, 185, 186, 187, 188 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26865.18-26865.29" + } + }, + "EMAC0PHYTXEN": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26820.12-26820.24" + } + }, + "EMAC0PHYTXER": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26821.12-26821.24" + } + }, + "EMAC0PHYTXGMIIMIICLKOUT": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26822.12-26822.35" + } + }, + "EMAC0SPEEDIS10100": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26823.12-26823.29" + } + }, + "EMAC1CLIENTANINTERRUPT": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26824.12-26824.34" + } + }, + "EMAC1CLIENTRXBADFRAME": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26825.12-26825.33" + } + }, + "EMAC1CLIENTRXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26826.12-26826.37" + } + }, + "EMAC1CLIENTRXD": { + "hide_name": 0, + "bits": [ 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26861.19-26861.33" + } + }, + "EMAC1CLIENTRXDVLD": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26827.12-26827.29" + } + }, + "EMAC1CLIENTRXDVLDMSW": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26828.12-26828.32" + } + }, + "EMAC1CLIENTRXFRAMEDROP": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26829.12-26829.34" + } + }, + "EMAC1CLIENTRXGOODFRAME": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26830.12-26830.34" + } + }, + "EMAC1CLIENTRXSTATS": { + "hide_name": 0, + "bits": [ 174, 175, 176, 177, 178, 179, 180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26864.18-26864.36" + } + }, + "EMAC1CLIENTRXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26831.12-26831.37" + } + }, + "EMAC1CLIENTRXSTATSVLD": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26832.12-26832.33" + } + }, + "EMAC1CLIENTTXACK": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26833.12-26833.28" + } + }, + "EMAC1CLIENTTXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26834.12-26834.37" + } + }, + "EMAC1CLIENTTXCOLLISION": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26835.12-26835.34" + } + }, + "EMAC1CLIENTTXRETRANSMIT": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26836.12-26836.35" + } + }, + "EMAC1CLIENTTXSTATS": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26837.12-26837.30" + } + }, + "EMAC1CLIENTTXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26838.12-26838.37" + } + }, + "EMAC1CLIENTTXSTATSVLD": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26839.12-26839.33" + } + }, + "EMAC1PHYENCOMMAALIGN": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26840.12-26840.32" + } + }, + "EMAC1PHYLOOPBACKMSB": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26841.12-26841.31" + } + }, + "EMAC1PHYMCLKOUT": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26842.12-26842.27" + } + }, + "EMAC1PHYMDOUT": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26843.12-26843.25" + } + }, + "EMAC1PHYMDTRI": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26844.12-26844.25" + } + }, + "EMAC1PHYMGTRXRESET": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26845.12-26845.30" + } + }, + "EMAC1PHYMGTTXRESET": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26846.12-26846.30" + } + }, + "EMAC1PHYPOWERDOWN": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26847.12-26847.29" + } + }, + "EMAC1PHYSYNCACQSTATUS": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26848.12-26848.33" + } + }, + "EMAC1PHYTXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26849.12-26849.34" + } + }, + "EMAC1PHYTXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26850.12-26850.33" + } + }, + "EMAC1PHYTXCHARISK": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26851.12-26851.29" + } + }, + "EMAC1PHYTXCLK": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26852.12-26852.25" + } + }, + "EMAC1PHYTXD": { + "hide_name": 0, + "bits": [ 189, 190, 191, 192, 193, 194, 195, 196 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26866.18-26866.29" + } + }, + "EMAC1PHYTXEN": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26853.12-26853.24" + } + }, + "EMAC1PHYTXER": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26854.12-26854.24" + } + }, + "EMAC1PHYTXGMIIMIICLKOUT": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26855.12-26855.35" + } + }, + "EMAC1SPEEDIS10100": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26856.12-26856.29" + } + }, + "EMACDCRACK": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26857.12-26857.22" + } + }, + "EMACDCRDBUS": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26859.19-26859.30" + } + }, + "HOSTADDR": { + "hide_name": 0, + "bits": [ 458, 459, 460, 461, 462, 463, 464, 465, 466, 467 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26952.17-26952.25" + } + }, + "HOSTCLK": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26887.11-26887.18" + } + }, + "HOSTEMAC1SEL": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26888.11-26888.23" + } + }, + "HOSTMIIMRDY": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26858.12-26858.23" + } + }, + "HOSTMIIMSEL": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26889.11-26889.22" + } + }, + "HOSTOPCODE": { + "hide_name": 0, + "bits": [ 368, 369 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26938.17-26938.27" + } + }, + "HOSTRDDATA": { + "hide_name": 0, + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26862.19-26862.29" + } + }, + "HOSTREQ": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26890.11-26890.18" + } + }, + "HOSTWRDATA": { + "hide_name": 0, + "bits": [ 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26945.18-26945.28" + } + }, + "PHYEMAC0COL": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26891.11-26891.22" + } + }, + "PHYEMAC0CRS": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26892.11-26892.22" + } + }, + "PHYEMAC0GTXCLK": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26893.11-26893.25" + } + }, + "PHYEMAC0MCLKIN": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26894.11-26894.25" + } + }, + "PHYEMAC0MDIN": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26895.11-26895.23" + } + }, + "PHYEMAC0MIITXCLK": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26896.11-26896.27" + } + }, + "PHYEMAC0PHYAD": { + "hide_name": 0, + "bits": [ 416, 417, 418, 419, 420 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26946.17-26946.30" + } + }, + "PHYEMAC0RXBUFERR": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26897.11-26897.27" + } + }, + "PHYEMAC0RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 370, 371 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26939.17-26939.36" + } + }, + "PHYEMAC0RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26898.11-26898.32" + } + }, + "PHYEMAC0RXCHARISK": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26899.11-26899.28" + } + }, + "PHYEMAC0RXCHECKINGCRC": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26900.11-26900.32" + } + }, + "PHYEMAC0RXCLK": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26901.11-26901.24" + } + }, + "PHYEMAC0RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 378, 379, 380 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26943.17-26943.36" + } + }, + "PHYEMAC0RXCOMMADET": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26902.11-26902.29" + } + }, + "PHYEMAC0RXD": { + "hide_name": 0, + "bits": [ 442, 443, 444, 445, 446, 447, 448, 449 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26950.17-26950.28" + } + }, + "PHYEMAC0RXDISPERR": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26903.11-26903.28" + } + }, + "PHYEMAC0RXDV": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26904.11-26904.23" + } + }, + "PHYEMAC0RXER": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26905.11-26905.23" + } + }, + "PHYEMAC0RXLOSSOFSYNC": { + "hide_name": 0, + "bits": [ 372, 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26940.17-26940.37" + } + }, + "PHYEMAC0RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26906.11-26906.31" + } + }, + "PHYEMAC0RXRUNDISP": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26907.11-26907.28" + } + }, + "PHYEMAC0SIGNALDET": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26908.11-26908.28" + } + }, + "PHYEMAC0TXBUFERR": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26909.11-26909.27" + } + }, + "PHYEMAC0TXGMIIMIICLKIN": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26910.11-26910.33" + } + }, + "PHYEMAC1COL": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26911.11-26911.22" + } + }, + "PHYEMAC1CRS": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26912.11-26912.22" + } + }, + "PHYEMAC1GTXCLK": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26913.11-26913.25" + } + }, + "PHYEMAC1MCLKIN": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26914.11-26914.25" + } + }, + "PHYEMAC1MDIN": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26915.11-26915.23" + } + }, + "PHYEMAC1MIITXCLK": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26916.11-26916.27" + } + }, + "PHYEMAC1PHYAD": { + "hide_name": 0, + "bits": [ 421, 422, 423, 424, 425 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26947.17-26947.30" + } + }, + "PHYEMAC1RXBUFERR": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26917.11-26917.27" + } + }, + "PHYEMAC1RXBUFSTATUS": { + "hide_name": 0, + "bits": [ 374, 375 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26941.17-26941.36" + } + }, + "PHYEMAC1RXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26918.11-26918.32" + } + }, + "PHYEMAC1RXCHARISK": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26919.11-26919.28" + } + }, + "PHYEMAC1RXCHECKINGCRC": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26920.11-26920.32" + } + }, + "PHYEMAC1RXCLK": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26921.11-26921.24" + } + }, + "PHYEMAC1RXCLKCORCNT": { + "hide_name": 0, + "bits": [ 381, 382, 383 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26944.17-26944.36" + } + }, + "PHYEMAC1RXCOMMADET": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26922.11-26922.29" + } + }, + "PHYEMAC1RXD": { + "hide_name": 0, + "bits": [ 450, 451, 452, 453, 454, 455, 456, 457 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26951.17-26951.28" + } + }, + "PHYEMAC1RXDISPERR": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26923.11-26923.28" + } + }, + "PHYEMAC1RXDV": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26924.11-26924.23" + } + }, + "PHYEMAC1RXER": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26925.11-26925.23" + } + }, + "PHYEMAC1RXLOSSOFSYNC": { + "hide_name": 0, + "bits": [ 376, 377 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26942.17-26942.37" + } + }, + "PHYEMAC1RXNOTINTABLE": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26926.11-26926.31" + } + }, + "PHYEMAC1RXRUNDISP": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26927.11-26927.28" + } + }, + "PHYEMAC1SIGNALDET": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26928.11-26928.28" + } + }, + "PHYEMAC1TXBUFERR": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26929.11-26929.27" + } + }, + "PHYEMAC1TXGMIIMIICLKIN": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26930.11-26930.33" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26931.11-26931.16" + } + } + } + }, + "TEMAC_SINGLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26955.1-27084.10" + }, + "parameter_default_values": { + "EMAC_1000BASEX_ENABLE": "FALSE", + "EMAC_ADDRFILTER_ENABLE": "FALSE", + "EMAC_BYTEPHY": "FALSE", + "EMAC_CTRLLENCHECK_DISABLE": "FALSE", + "EMAC_DCRBASEADDR": "00000000", + "EMAC_GTLOOPBACK": "FALSE", + "EMAC_HOST_ENABLE": "FALSE", + "EMAC_LINKTIMERVAL": "000000000", + "EMAC_LTCHECK_DISABLE": "FALSE", + "EMAC_MDIO_ENABLE": "FALSE", + "EMAC_MDIO_IGNORE_PHYADZERO": "FALSE", + "EMAC_PAUSEADDR": "000000000000000000000000000000000000000000000000", + "EMAC_PHYINITAUTONEG_ENABLE": "FALSE", + "EMAC_PHYISOLATE": "FALSE", + "EMAC_PHYLOOPBACKMSB": "FALSE", + "EMAC_PHYPOWERDOWN": "FALSE", + "EMAC_PHYRESET": "FALSE", + "EMAC_RGMII_ENABLE": "FALSE", + "EMAC_RX16BITCLIENT_ENABLE": "FALSE", + "EMAC_RXFLOWCTRL_ENABLE": "FALSE", + "EMAC_RXHALFDUPLEX": "FALSE", + "EMAC_RXINBANDFCS_ENABLE": "FALSE", + "EMAC_RXJUMBOFRAME_ENABLE": "FALSE", + "EMAC_RXRESET": "FALSE", + "EMAC_RXVLAN_ENABLE": "FALSE", + "EMAC_RX_ENABLE": "TRUE", + "EMAC_SGMII_ENABLE": "FALSE", + "EMAC_SPEED_LSB": "FALSE", + "EMAC_SPEED_MSB": "FALSE", + "EMAC_TX16BITCLIENT_ENABLE": "FALSE", + "EMAC_TXFLOWCTRL_ENABLE": "FALSE", + "EMAC_TXHALFDUPLEX": "FALSE", + "EMAC_TXIFGADJUST_ENABLE": "FALSE", + "EMAC_TXINBANDFCS_ENABLE": "FALSE", + "EMAC_TXJUMBOFRAME_ENABLE": "FALSE", + "EMAC_TXRESET": "FALSE", + "EMAC_TXVLAN_ENABLE": "FALSE", + "EMAC_TX_ENABLE": "TRUE", + "EMAC_UNICASTADDR": "000000000000000000000000000000000000000000000000", + "EMAC_UNIDIRECTION_ENABLE": "FALSE", + "EMAC_USECLKEN": "FALSE", + "SIM_VERSION": "1.0" + }, + "ports": { + "DCRHOSTDONEIR": { + "direction": "output", + "bits": [ 2 ] + }, + "EMACCLIENTANINTERRUPT": { + "direction": "output", + "bits": [ 3 ] + }, + "EMACCLIENTRXBADFRAME": { + "direction": "output", + "bits": [ 4 ] + }, + "EMACCLIENTRXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 5 ] + }, + "EMACCLIENTRXDVLD": { + "direction": "output", + "bits": [ 6 ] + }, + "EMACCLIENTRXDVLDMSW": { + "direction": "output", + "bits": [ 7 ] + }, + "EMACCLIENTRXFRAMEDROP": { + "direction": "output", + "bits": [ 8 ] + }, + "EMACCLIENTRXGOODFRAME": { + "direction": "output", + "bits": [ 9 ] + }, + "EMACCLIENTRXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 10 ] + }, + "EMACCLIENTRXSTATSVLD": { + "direction": "output", + "bits": [ 11 ] + }, + "EMACCLIENTTXACK": { + "direction": "output", + "bits": [ 12 ] + }, + "EMACCLIENTTXCLIENTCLKOUT": { + "direction": "output", + "bits": [ 13 ] + }, + "EMACCLIENTTXCOLLISION": { + "direction": "output", + "bits": [ 14 ] + }, + "EMACCLIENTTXRETRANSMIT": { + "direction": "output", + "bits": [ 15 ] + }, + "EMACCLIENTTXSTATS": { + "direction": "output", + "bits": [ 16 ] + }, + "EMACCLIENTTXSTATSBYTEVLD": { + "direction": "output", + "bits": [ 17 ] + }, + "EMACCLIENTTXSTATSVLD": { + "direction": "output", + "bits": [ 18 ] + }, + "EMACDCRACK": { + "direction": "output", + "bits": [ 19 ] + }, + "EMACPHYENCOMMAALIGN": { + "direction": "output", + "bits": [ 20 ] + }, + "EMACPHYLOOPBACKMSB": { + "direction": "output", + "bits": [ 21 ] + }, + "EMACPHYMCLKOUT": { + "direction": "output", + "bits": [ 22 ] + }, + "EMACPHYMDOUT": { + "direction": "output", + "bits": [ 23 ] + }, + "EMACPHYMDTRI": { + "direction": "output", + "bits": [ 24 ] + }, + "EMACPHYMGTRXRESET": { + "direction": "output", + "bits": [ 25 ] + }, + "EMACPHYMGTTXRESET": { + "direction": "output", + "bits": [ 26 ] + }, + "EMACPHYPOWERDOWN": { + "direction": "output", + "bits": [ 27 ] + }, + "EMACPHYSYNCACQSTATUS": { + "direction": "output", + "bits": [ 28 ] + }, + "EMACPHYTXCHARDISPMODE": { + "direction": "output", + "bits": [ 29 ] + }, + "EMACPHYTXCHARDISPVAL": { + "direction": "output", + "bits": [ 30 ] + }, + "EMACPHYTXCHARISK": { + "direction": "output", + "bits": [ 31 ] + }, + "EMACPHYTXCLK": { + "direction": "output", + "bits": [ 32 ] + }, + "EMACPHYTXEN": { + "direction": "output", + "bits": [ 33 ] + }, + "EMACPHYTXER": { + "direction": "output", + "bits": [ 34 ] + }, + "EMACPHYTXGMIIMIICLKOUT": { + "direction": "output", + "bits": [ 35 ] + }, + "EMACSPEEDIS10100": { + "direction": "output", + "bits": [ 36 ] + }, + "HOSTMIIMRDY": { + "direction": "output", + "bits": [ 37 ] + }, + "EMACDCRDBUS": { + "direction": "output", + "upto": 1, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ] + }, + "EMACCLIENTRXD": { + "direction": "output", + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ] + }, + "HOSTRDDATA": { + "direction": "output", + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117 ] + }, + "EMACCLIENTRXSTATS": { + "direction": "output", + "bits": [ 118, 119, 120, 121, 122, 123, 124 ] + }, + "EMACPHYTXD": { + "direction": "output", + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132 ] + }, + "CLIENTEMACDCMLOCKED": { + "direction": "input", + "bits": [ 133 ] + }, + "CLIENTEMACPAUSEREQ": { + "direction": "input", + "bits": [ 134 ] + }, + "CLIENTEMACRXCLIENTCLKIN": { + "direction": "input", + "bits": [ 135 ] + }, + "CLIENTEMACTXCLIENTCLKIN": { + "direction": "input", + "bits": [ 136 ] + }, + "CLIENTEMACTXDVLD": { + "direction": "input", + "bits": [ 137 ] + }, + "CLIENTEMACTXDVLDMSW": { + "direction": "input", + "bits": [ 138 ] + }, + "CLIENTEMACTXFIRSTBYTE": { + "direction": "input", + "bits": [ 139 ] + }, + "CLIENTEMACTXUNDERRUN": { + "direction": "input", + "bits": [ 140 ] + }, + "DCREMACCLK": { + "direction": "input", + "bits": [ 141 ] + }, + "DCREMACENABLE": { + "direction": "input", + "bits": [ 142 ] + }, + "DCREMACREAD": { + "direction": "input", + "bits": [ 143 ] + }, + "DCREMACWRITE": { + "direction": "input", + "bits": [ 144 ] + }, + "HOSTCLK": { + "direction": "input", + "bits": [ 145 ] + }, + "HOSTMIIMSEL": { + "direction": "input", + "bits": [ 146 ] + }, + "HOSTREQ": { + "direction": "input", + "bits": [ 147 ] + }, + "PHYEMACCOL": { + "direction": "input", + "bits": [ 148 ] + }, + "PHYEMACCRS": { + "direction": "input", + "bits": [ 149 ] + }, + "PHYEMACGTXCLK": { + "direction": "input", + "bits": [ 150 ] + }, + "PHYEMACMCLKIN": { + "direction": "input", + "bits": [ 151 ] + }, + "PHYEMACMDIN": { + "direction": "input", + "bits": [ 152 ] + }, + "PHYEMACMIITXCLK": { + "direction": "input", + "bits": [ 153 ] + }, + "PHYEMACRXCHARISCOMMA": { + "direction": "input", + "bits": [ 154 ] + }, + "PHYEMACRXCHARISK": { + "direction": "input", + "bits": [ 155 ] + }, + "PHYEMACRXCLK": { + "direction": "input", + "bits": [ 156 ] + }, + "PHYEMACRXDISPERR": { + "direction": "input", + "bits": [ 157 ] + }, + "PHYEMACRXDV": { + "direction": "input", + "bits": [ 158 ] + }, + "PHYEMACRXER": { + "direction": "input", + "bits": [ 159 ] + }, + "PHYEMACRXNOTINTABLE": { + "direction": "input", + "bits": [ 160 ] + }, + "PHYEMACRXRUNDISP": { + "direction": "input", + "bits": [ 161 ] + }, + "PHYEMACSIGNALDET": { + "direction": "input", + "bits": [ 162 ] + }, + "PHYEMACTXBUFERR": { + "direction": "input", + "bits": [ 163 ] + }, + "PHYEMACTXGMIIMIICLKIN": { + "direction": "input", + "bits": [ 164 ] + }, + "RESET": { + "direction": "input", + "bits": [ 165 ] + }, + "DCREMACDBUS": { + "direction": "input", + "upto": 1, + "bits": [ 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197 ] + }, + "DCREMACABUS": { + "direction": "input", + "upto": 1, + "bits": [ 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ] + }, + "CLIENTEMACPAUSEVAL": { + "direction": "input", + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223 ] + }, + "CLIENTEMACTXD": { + "direction": "input", + "bits": [ 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239 ] + }, + "HOSTOPCODE": { + "direction": "input", + "bits": [ 240, 241 ] + }, + "PHYEMACRXBUFSTATUS": { + "direction": "input", + "bits": [ 242, 243 ] + }, + "PHYEMACRXCLKCORCNT": { + "direction": "input", + "bits": [ 244, 245, 246 ] + }, + "HOSTWRDATA": { + "direction": "input", + "bits": [ 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278 ] + }, + "PHYEMACPHYAD": { + "direction": "input", + "bits": [ 279, 280, 281, 282, 283 ] + }, + "CLIENTEMACTXIFGDELAY": { + "direction": "input", + "bits": [ 284, 285, 286, 287, 288, 289, 290, 291 ] + }, + "PHYEMACRXD": { + "direction": "input", + "bits": [ 292, 293, 294, 295, 296, 297, 298, 299 ] + }, + "HOSTADDR": { + "direction": "input", + "bits": [ 300, 301, 302, 303, 304, 305, 306, 307, 308, 309 ] + } + }, + "cells": { + }, + "netnames": { + "CLIENTEMACDCMLOCKED": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27039.11-27039.30" + } + }, + "CLIENTEMACPAUSEREQ": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27040.11-27040.29" + } + }, + "CLIENTEMACPAUSEVAL": { + "hide_name": 0, + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27074.18-27074.36" + } + }, + "CLIENTEMACRXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27041.11-27041.34" + } + }, + "CLIENTEMACTXCLIENTCLKIN": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27042.11-27042.34" + } + }, + "CLIENTEMACTXD": { + "hide_name": 0, + "bits": [ 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27075.18-27075.31" + } + }, + "CLIENTEMACTXDVLD": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27043.11-27043.27" + } + }, + "CLIENTEMACTXDVLDMSW": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27044.11-27044.30" + } + }, + "CLIENTEMACTXFIRSTBYTE": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27045.11-27045.32" + } + }, + "CLIENTEMACTXIFGDELAY": { + "hide_name": 0, + "bits": [ 284, 285, 286, 287, 288, 289, 290, 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27081.17-27081.37" + } + }, + "CLIENTEMACTXUNDERRUN": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27046.11-27046.31" + } + }, + "DCREMACABUS": { + "hide_name": 0, + "bits": [ 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27073.17-27073.28" + } + }, + "DCREMACCLK": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27047.11-27047.21" + } + }, + "DCREMACDBUS": { + "hide_name": 0, + "bits": [ 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27072.18-27072.29" + } + }, + "DCREMACENABLE": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27048.11-27048.24" + } + }, + "DCREMACREAD": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27049.11-27049.22" + } + }, + "DCREMACWRITE": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27050.11-27050.23" + } + }, + "DCRHOSTDONEIR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26998.12-26998.25" + } + }, + "EMACCLIENTANINTERRUPT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:26999.12-26999.33" + } + }, + "EMACCLIENTRXBADFRAME": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27000.12-27000.32" + } + }, + "EMACCLIENTRXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27001.12-27001.36" + } + }, + "EMACCLIENTRXD": { + "hide_name": 0, + "bits": [ 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27035.19-27035.32" + } + }, + "EMACCLIENTRXDVLD": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27002.12-27002.28" + } + }, + "EMACCLIENTRXDVLDMSW": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27003.12-27003.31" + } + }, + "EMACCLIENTRXFRAMEDROP": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27004.12-27004.33" + } + }, + "EMACCLIENTRXGOODFRAME": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27005.12-27005.33" + } + }, + "EMACCLIENTRXSTATS": { + "hide_name": 0, + "bits": [ 118, 119, 120, 121, 122, 123, 124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27037.18-27037.35" + } + }, + "EMACCLIENTRXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27006.12-27006.36" + } + }, + "EMACCLIENTRXSTATSVLD": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27007.12-27007.32" + } + }, + "EMACCLIENTTXACK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27008.12-27008.27" + } + }, + "EMACCLIENTTXCLIENTCLKOUT": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27009.12-27009.36" + } + }, + "EMACCLIENTTXCOLLISION": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27010.12-27010.33" + } + }, + "EMACCLIENTTXRETRANSMIT": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27011.12-27011.34" + } + }, + "EMACCLIENTTXSTATS": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27012.12-27012.29" + } + }, + "EMACCLIENTTXSTATSBYTEVLD": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27013.12-27013.36" + } + }, + "EMACCLIENTTXSTATSVLD": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27014.12-27014.32" + } + }, + "EMACDCRACK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27015.12-27015.22" + } + }, + "EMACDCRDBUS": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69 ], + "upto": 1, + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27034.19-27034.30" + } + }, + "EMACPHYENCOMMAALIGN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27016.12-27016.31" + } + }, + "EMACPHYLOOPBACKMSB": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27017.12-27017.30" + } + }, + "EMACPHYMCLKOUT": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27018.12-27018.26" + } + }, + "EMACPHYMDOUT": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27019.12-27019.24" + } + }, + "EMACPHYMDTRI": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27020.12-27020.24" + } + }, + "EMACPHYMGTRXRESET": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27021.12-27021.29" + } + }, + "EMACPHYMGTTXRESET": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27022.12-27022.29" + } + }, + "EMACPHYPOWERDOWN": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27023.12-27023.28" + } + }, + "EMACPHYSYNCACQSTATUS": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27024.12-27024.32" + } + }, + "EMACPHYTXCHARDISPMODE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27025.12-27025.33" + } + }, + "EMACPHYTXCHARDISPVAL": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27026.12-27026.32" + } + }, + "EMACPHYTXCHARISK": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27027.12-27027.28" + } + }, + "EMACPHYTXCLK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27028.12-27028.24" + } + }, + "EMACPHYTXD": { + "hide_name": 0, + "bits": [ 125, 126, 127, 128, 129, 130, 131, 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27038.18-27038.28" + } + }, + "EMACPHYTXEN": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27029.12-27029.23" + } + }, + "EMACPHYTXER": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27030.12-27030.23" + } + }, + "EMACPHYTXGMIIMIICLKOUT": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27031.12-27031.34" + } + }, + "EMACSPEEDIS10100": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27032.12-27032.28" + } + }, + "HOSTADDR": { + "hide_name": 0, + "bits": [ 300, 301, 302, 303, 304, 305, 306, 307, 308, 309 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27083.17-27083.25" + } + }, + "HOSTCLK": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27051.11-27051.18" + } + }, + "HOSTMIIMRDY": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27033.12-27033.23" + } + }, + "HOSTMIIMSEL": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27052.11-27052.22" + } + }, + "HOSTOPCODE": { + "hide_name": 0, + "bits": [ 240, 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27076.17-27076.27" + } + }, + "HOSTRDDATA": { + "hide_name": 0, + "bits": [ 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27036.19-27036.29" + } + }, + "HOSTREQ": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27053.11-27053.18" + } + }, + "HOSTWRDATA": { + "hide_name": 0, + "bits": [ 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27079.18-27079.28" + } + }, + "PHYEMACCOL": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27054.11-27054.21" + } + }, + "PHYEMACCRS": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27055.11-27055.21" + } + }, + "PHYEMACGTXCLK": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27056.11-27056.24" + } + }, + "PHYEMACMCLKIN": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27057.11-27057.24" + } + }, + "PHYEMACMDIN": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27058.11-27058.22" + } + }, + "PHYEMACMIITXCLK": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27059.11-27059.26" + } + }, + "PHYEMACPHYAD": { + "hide_name": 0, + "bits": [ 279, 280, 281, 282, 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27080.17-27080.29" + } + }, + "PHYEMACRXBUFSTATUS": { + "hide_name": 0, + "bits": [ 242, 243 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27077.17-27077.35" + } + }, + "PHYEMACRXCHARISCOMMA": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27060.11-27060.31" + } + }, + "PHYEMACRXCHARISK": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27061.11-27061.27" + } + }, + "PHYEMACRXCLK": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27062.11-27062.23" + } + }, + "PHYEMACRXCLKCORCNT": { + "hide_name": 0, + "bits": [ 244, 245, 246 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27078.17-27078.35" + } + }, + "PHYEMACRXD": { + "hide_name": 0, + "bits": [ 292, 293, 294, 295, 296, 297, 298, 299 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27082.17-27082.27" + } + }, + "PHYEMACRXDISPERR": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27063.11-27063.27" + } + }, + "PHYEMACRXDV": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27064.11-27064.22" + } + }, + "PHYEMACRXER": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27065.11-27065.22" + } + }, + "PHYEMACRXNOTINTABLE": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27066.11-27066.30" + } + }, + "PHYEMACRXRUNDISP": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27067.11-27067.27" + } + }, + "PHYEMACSIGNALDET": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27068.11-27068.27" + } + }, + "PHYEMACTXBUFERR": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27069.11-27069.26" + } + }, + "PHYEMACTXGMIIMIICLKIN": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27070.11-27070.32" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:27071.11-27071.16" + } + } + } + }, + "TX_BITSLICE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7285.1-7323.10" + }, + "parameter_default_values": { + "DATA_WIDTH": "00000000000000000000000000001000", + "DELAY_FORMAT": "TIME", + "DELAY_TYPE": "FIXED", + "DELAY_VALUE": "00000000000000000000000000000000", + "ENABLE_PRE_EMPHASIS": "FALSE", + "INIT": "1", + "IS_CLK_INVERTED": "0", + "IS_RST_DLY_INVERTED": "0", + "IS_RST_INVERTED": "0", + "NATIVE_ODELAY_BYPASS": "FALSE", + "OUTPUT_PHASE_90": "FALSE", + "SIM_DEVICE": "ULTRASCALE", + "TBYTE_CTL": "TBYTE_IN", + "UPDATE_MODE": "ASYNC" + }, + "ports": { + "CNTVALUEOUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "O": { + "direction": "output", + "bits": [ 11 ] + }, + "RX_BIT_CTRL_OUT": { + "direction": "output", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + }, + "TX_BIT_CTRL_OUT": { + "direction": "output", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91 ] + }, + "T_OUT": { + "direction": "output", + "bits": [ 92 ] + }, + "CE": { + "direction": "input", + "bits": [ 93 ] + }, + "CLK": { + "direction": "input", + "bits": [ 94 ] + }, + "CNTVALUEIN": { + "direction": "input", + "bits": [ 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + }, + "D": { + "direction": "input", + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111 ] + }, + "EN_VTC": { + "direction": "input", + "bits": [ 112 ] + }, + "INC": { + "direction": "input", + "bits": [ 113 ] + }, + "LOAD": { + "direction": "input", + "bits": [ 114 ] + }, + "RST": { + "direction": "input", + "bits": [ 115 ] + }, + "RST_DLY": { + "direction": "input", + "bits": [ 116 ] + }, + "RX_BIT_CTRL_IN": { + "direction": "input", + "bits": [ 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156 ] + }, + "T": { + "direction": "input", + "bits": [ 157 ] + }, + "TBYTE_IN": { + "direction": "input", + "bits": [ 158 ] + }, + "TX_BIT_CTRL_IN": { + "direction": "input", + "bits": [ 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7307.11-7307.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7309.11-7309.14" + } + }, + "CNTVALUEIN": { + "hide_name": 0, + "bits": [ 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7310.17-7310.27" + } + }, + "CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7302.18-7302.29" + } + }, + "D": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107, 108, 109, 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7311.17-7311.18" + } + }, + "EN_VTC": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7312.11-7312.17" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7313.11-7313.14" + } + }, + "LOAD": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7314.11-7314.15" + } + }, + "O": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7303.12-7303.13" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7316.11-7316.14" + } + }, + "RST_DLY": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "invertible_pin": "IS_RST_DLY_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7318.11-7318.18" + } + }, + "RX_BIT_CTRL_IN": { + "hide_name": 0, + "bits": [ 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7319.18-7319.32" + } + }, + "RX_BIT_CTRL_OUT": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7304.19-7304.34" + } + }, + "T": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7320.11-7320.12" + } + }, + "TBYTE_IN": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7321.11-7321.19" + } + }, + "TX_BIT_CTRL_IN": { + "hide_name": 0, + "bits": [ 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7322.18-7322.32" + } + }, + "TX_BIT_CTRL_OUT": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7305.19-7305.34" + } + }, + "T_OUT": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7306.12-7306.17" + } + } + } + }, + "TX_BITSLICE_TRI": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7325.1-7355.10" + }, + "parameter_default_values": { + "DATA_WIDTH": "00000000000000000000000000001000", + "DELAY_FORMAT": "TIME", + "DELAY_TYPE": "FIXED", + "DELAY_VALUE": "00000000000000000000000000000000", + "INIT": "1", + "IS_CLK_INVERTED": "0", + "IS_RST_DLY_INVERTED": "0", + "IS_RST_INVERTED": "0", + "NATIVE_ODELAY_BYPASS": "FALSE", + "OUTPUT_PHASE_90": "FALSE", + "SIM_DEVICE": "ULTRASCALE", + "UPDATE_MODE": "ASYNC" + }, + "ports": { + "BIT_CTRL_OUT": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "CNTVALUEOUT": { + "direction": "output", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "TRI_OUT": { + "direction": "output", + "bits": [ 51 ] + }, + "BIT_CTRL_IN": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91 ] + }, + "CE": { + "direction": "input", + "bits": [ 92 ] + }, + "CLK": { + "direction": "input", + "bits": [ 93 ] + }, + "CNTVALUEIN": { + "direction": "input", + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + }, + "EN_VTC": { + "direction": "input", + "bits": [ 103 ] + }, + "INC": { + "direction": "input", + "bits": [ 104 ] + }, + "LOAD": { + "direction": "input", + "bits": [ 105 ] + }, + "RST": { + "direction": "input", + "bits": [ 106 ] + }, + "RST_DLY": { + "direction": "input", + "bits": [ 107 ] + } + }, + "cells": { + }, + "netnames": { + "BIT_CTRL_IN": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7343.18-7343.29" + } + }, + "BIT_CTRL_OUT": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7340.19-7340.31" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7344.11-7344.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7346.11-7346.14" + } + }, + "CNTVALUEIN": { + "hide_name": 0, + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7347.17-7347.27" + } + }, + "CNTVALUEOUT": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7341.18-7341.29" + } + }, + "EN_VTC": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7348.11-7348.17" + } + }, + "INC": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7349.11-7349.14" + } + }, + "LOAD": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7350.11-7350.15" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "invertible_pin": "IS_RST_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7352.11-7352.14" + } + }, + "RST_DLY": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "invertible_pin": "IS_RST_DLY_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7354.11-7354.18" + } + }, + "TRI_OUT": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:7342.12-7342.19" + } + } + } + }, + "URAM288": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5612.1-5725.10" + }, + "parameter_default_values": { + "AUTO_SLEEP_LATENCY": "00000000000000000000000000001000", + "AVG_CONS_INACTIVE_CYCLES": "00000000000000000000000000001010", + "BWE_MODE_A": "PARITY_INTERLEAVED", + "BWE_MODE_B": "PARITY_INTERLEAVED", + "CASCADE_ORDER_A": "NONE", + "CASCADE_ORDER_B": "NONE", + "EN_AUTO_SLEEP_MODE": "FALSE", + "EN_ECC_RD_A": "FALSE", + "EN_ECC_RD_B": "FALSE", + "EN_ECC_WR_A": "FALSE", + "EN_ECC_WR_B": "FALSE", + "IREG_PRE_A": "FALSE", + "IREG_PRE_B": "FALSE", + "IS_CLK_INVERTED": "0", + "IS_EN_A_INVERTED": "0", + "IS_EN_B_INVERTED": "0", + "IS_RDB_WR_A_INVERTED": "0", + "IS_RDB_WR_B_INVERTED": "0", + "IS_RST_A_INVERTED": "0", + "IS_RST_B_INVERTED": "0", + "MATRIX_ID": "NONE", + "NUM_UNIQUE_SELF_ADDR_A": "00000000000000000000000000000001", + "NUM_UNIQUE_SELF_ADDR_B": "00000000000000000000000000000001", + "NUM_URAM_IN_MATRIX": "00000000000000000000000000000001", + "OREG_A": "FALSE", + "OREG_B": "FALSE", + "OREG_ECC_A": "FALSE", + "OREG_ECC_B": "FALSE", + "REG_CAS_A": "FALSE", + "REG_CAS_B": "FALSE", + "RST_MODE_A": "SYNC", + "RST_MODE_B": "SYNC", + "SELF_ADDR_A": "00000000000", + "SELF_ADDR_B": "00000000000", + "SELF_MASK_A": "11111111111", + "SELF_MASK_B": "11111111111", + "USE_EXT_CE_A": "FALSE", + "USE_EXT_CE_B": "FALSE" + }, + "ports": { + "CAS_OUT_ADDR_A": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24 ] + }, + "CAS_OUT_ADDR_B": { + "direction": "output", + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47 ] + }, + "CAS_OUT_BWE_A": { + "direction": "output", + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56 ] + }, + "CAS_OUT_BWE_B": { + "direction": "output", + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "CAS_OUT_DBITERR_A": { + "direction": "output", + "bits": [ 66 ] + }, + "CAS_OUT_DBITERR_B": { + "direction": "output", + "bits": [ 67 ] + }, + "CAS_OUT_DIN_A": { + "direction": "output", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139 ] + }, + "CAS_OUT_DIN_B": { + "direction": "output", + "bits": [ 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211 ] + }, + "CAS_OUT_DOUT_A": { + "direction": "output", + "bits": [ 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283 ] + }, + "CAS_OUT_DOUT_B": { + "direction": "output", + "bits": [ 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355 ] + }, + "CAS_OUT_EN_A": { + "direction": "output", + "bits": [ 356 ] + }, + "CAS_OUT_EN_B": { + "direction": "output", + "bits": [ 357 ] + }, + "CAS_OUT_RDACCESS_A": { + "direction": "output", + "bits": [ 358 ] + }, + "CAS_OUT_RDACCESS_B": { + "direction": "output", + "bits": [ 359 ] + }, + "CAS_OUT_RDB_WR_A": { + "direction": "output", + "bits": [ 360 ] + }, + "CAS_OUT_RDB_WR_B": { + "direction": "output", + "bits": [ 361 ] + }, + "CAS_OUT_SBITERR_A": { + "direction": "output", + "bits": [ 362 ] + }, + "CAS_OUT_SBITERR_B": { + "direction": "output", + "bits": [ 363 ] + }, + "DBITERR_A": { + "direction": "output", + "bits": [ 364 ] + }, + "DBITERR_B": { + "direction": "output", + "bits": [ 365 ] + }, + "DOUT_A": { + "direction": "output", + "bits": [ 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437 ] + }, + "DOUT_B": { + "direction": "output", + "bits": [ 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509 ] + }, + "RDACCESS_A": { + "direction": "output", + "bits": [ 510 ] + }, + "RDACCESS_B": { + "direction": "output", + "bits": [ 511 ] + }, + "SBITERR_A": { + "direction": "output", + "bits": [ 512 ] + }, + "SBITERR_B": { + "direction": "output", + "bits": [ 513 ] + }, + "ADDR_A": { + "direction": "input", + "bits": [ 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536 ] + }, + "ADDR_B": { + "direction": "input", + "bits": [ 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559 ] + }, + "BWE_A": { + "direction": "input", + "bits": [ 560, 561, 562, 563, 564, 565, 566, 567, 568 ] + }, + "BWE_B": { + "direction": "input", + "bits": [ 569, 570, 571, 572, 573, 574, 575, 576, 577 ] + }, + "CAS_IN_ADDR_A": { + "direction": "input", + "bits": [ 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600 ] + }, + "CAS_IN_ADDR_B": { + "direction": "input", + "bits": [ 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623 ] + }, + "CAS_IN_BWE_A": { + "direction": "input", + "bits": [ 624, 625, 626, 627, 628, 629, 630, 631, 632 ] + }, + "CAS_IN_BWE_B": { + "direction": "input", + "bits": [ 633, 634, 635, 636, 637, 638, 639, 640, 641 ] + }, + "CAS_IN_DBITERR_A": { + "direction": "input", + "bits": [ 642 ] + }, + "CAS_IN_DBITERR_B": { + "direction": "input", + "bits": [ 643 ] + }, + "CAS_IN_DIN_A": { + "direction": "input", + "bits": [ 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715 ] + }, + "CAS_IN_DIN_B": { + "direction": "input", + "bits": [ 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787 ] + }, + "CAS_IN_DOUT_A": { + "direction": "input", + "bits": [ 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859 ] + }, + "CAS_IN_DOUT_B": { + "direction": "input", + "bits": [ 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931 ] + }, + "CAS_IN_EN_A": { + "direction": "input", + "bits": [ 932 ] + }, + "CAS_IN_EN_B": { + "direction": "input", + "bits": [ 933 ] + }, + "CAS_IN_RDACCESS_A": { + "direction": "input", + "bits": [ 934 ] + }, + "CAS_IN_RDACCESS_B": { + "direction": "input", + "bits": [ 935 ] + }, + "CAS_IN_RDB_WR_A": { + "direction": "input", + "bits": [ 936 ] + }, + "CAS_IN_RDB_WR_B": { + "direction": "input", + "bits": [ 937 ] + }, + "CAS_IN_SBITERR_A": { + "direction": "input", + "bits": [ 938 ] + }, + "CAS_IN_SBITERR_B": { + "direction": "input", + "bits": [ 939 ] + }, + "CLK": { + "direction": "input", + "bits": [ 940 ] + }, + "DIN_A": { + "direction": "input", + "bits": [ 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012 ] + }, + "DIN_B": { + "direction": "input", + "bits": [ 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084 ] + }, + "EN_A": { + "direction": "input", + "bits": [ 1085 ] + }, + "EN_B": { + "direction": "input", + "bits": [ 1086 ] + }, + "INJECT_DBITERR_A": { + "direction": "input", + "bits": [ 1087 ] + }, + "INJECT_DBITERR_B": { + "direction": "input", + "bits": [ 1088 ] + }, + "INJECT_SBITERR_A": { + "direction": "input", + "bits": [ 1089 ] + }, + "INJECT_SBITERR_B": { + "direction": "input", + "bits": [ 1090 ] + }, + "OREG_CE_A": { + "direction": "input", + "bits": [ 1091 ] + }, + "OREG_CE_B": { + "direction": "input", + "bits": [ 1092 ] + }, + "OREG_ECC_CE_A": { + "direction": "input", + "bits": [ 1093 ] + }, + "OREG_ECC_CE_B": { + "direction": "input", + "bits": [ 1094 ] + }, + "RDB_WR_A": { + "direction": "input", + "bits": [ 1095 ] + }, + "RDB_WR_B": { + "direction": "input", + "bits": [ 1096 ] + }, + "RST_A": { + "direction": "input", + "bits": [ 1097 ] + }, + "RST_B": { + "direction": "input", + "bits": [ 1098 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 1099 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR_A": { + "hide_name": 0, + "bits": [ 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5677.18-5677.24" + } + }, + "ADDR_B": { + "hide_name": 0, + "bits": [ 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5678.18-5678.24" + } + }, + "BWE_A": { + "hide_name": 0, + "bits": [ 560, 561, 562, 563, 564, 565, 566, 567, 568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5679.17-5679.22" + } + }, + "BWE_B": { + "hide_name": 0, + "bits": [ 569, 570, 571, 572, 573, 574, 575, 576, 577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5680.17-5680.22" + } + }, + "CAS_IN_ADDR_A": { + "hide_name": 0, + "bits": [ 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5681.18-5681.31" + } + }, + "CAS_IN_ADDR_B": { + "hide_name": 0, + "bits": [ 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5682.18-5682.31" + } + }, + "CAS_IN_BWE_A": { + "hide_name": 0, + "bits": [ 624, 625, 626, 627, 628, 629, 630, 631, 632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5683.17-5683.29" + } + }, + "CAS_IN_BWE_B": { + "hide_name": 0, + "bits": [ 633, 634, 635, 636, 637, 638, 639, 640, 641 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5684.17-5684.29" + } + }, + "CAS_IN_DBITERR_A": { + "hide_name": 0, + "bits": [ 642 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5685.11-5685.27" + } + }, + "CAS_IN_DBITERR_B": { + "hide_name": 0, + "bits": [ 643 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5686.11-5686.27" + } + }, + "CAS_IN_DIN_A": { + "hide_name": 0, + "bits": [ 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5687.18-5687.30" + } + }, + "CAS_IN_DIN_B": { + "hide_name": 0, + "bits": [ 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5688.18-5688.30" + } + }, + "CAS_IN_DOUT_A": { + "hide_name": 0, + "bits": [ 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5689.18-5689.31" + } + }, + "CAS_IN_DOUT_B": { + "hide_name": 0, + "bits": [ 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5690.18-5690.31" + } + }, + "CAS_IN_EN_A": { + "hide_name": 0, + "bits": [ 932 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5691.11-5691.22" + } + }, + "CAS_IN_EN_B": { + "hide_name": 0, + "bits": [ 933 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5692.11-5692.22" + } + }, + "CAS_IN_RDACCESS_A": { + "hide_name": 0, + "bits": [ 934 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5693.11-5693.28" + } + }, + "CAS_IN_RDACCESS_B": { + "hide_name": 0, + "bits": [ 935 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5694.11-5694.28" + } + }, + "CAS_IN_RDB_WR_A": { + "hide_name": 0, + "bits": [ 936 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5695.11-5695.26" + } + }, + "CAS_IN_RDB_WR_B": { + "hide_name": 0, + "bits": [ 937 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5696.11-5696.26" + } + }, + "CAS_IN_SBITERR_A": { + "hide_name": 0, + "bits": [ 938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5697.11-5697.27" + } + }, + "CAS_IN_SBITERR_B": { + "hide_name": 0, + "bits": [ 939 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5698.11-5698.27" + } + }, + "CAS_OUT_ADDR_A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5651.19-5651.33" + } + }, + "CAS_OUT_ADDR_B": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5652.19-5652.33" + } + }, + "CAS_OUT_BWE_A": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51, 52, 53, 54, 55, 56 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5653.18-5653.31" + } + }, + "CAS_OUT_BWE_B": { + "hide_name": 0, + "bits": [ 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5654.18-5654.31" + } + }, + "CAS_OUT_DBITERR_A": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5655.12-5655.29" + } + }, + "CAS_OUT_DBITERR_B": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5656.12-5656.29" + } + }, + "CAS_OUT_DIN_A": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5657.19-5657.32" + } + }, + "CAS_OUT_DIN_B": { + "hide_name": 0, + "bits": [ 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5658.19-5658.32" + } + }, + "CAS_OUT_DOUT_A": { + "hide_name": 0, + "bits": [ 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5659.19-5659.33" + } + }, + "CAS_OUT_DOUT_B": { + "hide_name": 0, + "bits": [ 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5660.19-5660.33" + } + }, + "CAS_OUT_EN_A": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5661.12-5661.24" + } + }, + "CAS_OUT_EN_B": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5662.12-5662.24" + } + }, + "CAS_OUT_RDACCESS_A": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5663.12-5663.30" + } + }, + "CAS_OUT_RDACCESS_B": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5664.12-5664.30" + } + }, + "CAS_OUT_RDB_WR_A": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5665.12-5665.28" + } + }, + "CAS_OUT_RDB_WR_B": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5666.12-5666.28" + } + }, + "CAS_OUT_SBITERR_A": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5667.12-5667.29" + } + }, + "CAS_OUT_SBITERR_B": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5668.12-5668.29" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 940 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5701.11-5701.14" + } + }, + "DBITERR_A": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5669.12-5669.21" + } + }, + "DBITERR_B": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5670.12-5670.21" + } + }, + "DIN_A": { + "hide_name": 0, + "bits": [ 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982, 983, 984, 985, 986, 987, 988, 989, 990, 991, 992, 993, 994, 995, 996, 997, 998, 999, 1000, 1001, 1002, 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010, 1011, 1012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5702.18-5702.23" + } + }, + "DIN_B": { + "hide_name": 0, + "bits": [ 1013, 1014, 1015, 1016, 1017, 1018, 1019, 1020, 1021, 1022, 1023, 1024, 1025, 1026, 1027, 1028, 1029, 1030, 1031, 1032, 1033, 1034, 1035, 1036, 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080, 1081, 1082, 1083, 1084 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5703.18-5703.23" + } + }, + "DOUT_A": { + "hide_name": 0, + "bits": [ 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423, 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5671.19-5671.25" + } + }, + "DOUT_B": { + "hide_name": 0, + "bits": [ 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5672.19-5672.25" + } + }, + "EN_A": { + "hide_name": 0, + "bits": [ 1085 ], + "attributes": { + "invertible_pin": "IS_EN_A_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5705.11-5705.15" + } + }, + "EN_B": { + "hide_name": 0, + "bits": [ 1086 ], + "attributes": { + "invertible_pin": "IS_EN_B_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5707.11-5707.15" + } + }, + "INJECT_DBITERR_A": { + "hide_name": 0, + "bits": [ 1087 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5708.11-5708.27" + } + }, + "INJECT_DBITERR_B": { + "hide_name": 0, + "bits": [ 1088 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5709.11-5709.27" + } + }, + "INJECT_SBITERR_A": { + "hide_name": 0, + "bits": [ 1089 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5710.11-5710.27" + } + }, + "INJECT_SBITERR_B": { + "hide_name": 0, + "bits": [ 1090 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5711.11-5711.27" + } + }, + "OREG_CE_A": { + "hide_name": 0, + "bits": [ 1091 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5712.11-5712.20" + } + }, + "OREG_CE_B": { + "hide_name": 0, + "bits": [ 1092 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5713.11-5713.20" + } + }, + "OREG_ECC_CE_A": { + "hide_name": 0, + "bits": [ 1093 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5714.11-5714.24" + } + }, + "OREG_ECC_CE_B": { + "hide_name": 0, + "bits": [ 1094 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5715.11-5715.24" + } + }, + "RDACCESS_A": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5673.12-5673.22" + } + }, + "RDACCESS_B": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5674.12-5674.22" + } + }, + "RDB_WR_A": { + "hide_name": 0, + "bits": [ 1095 ], + "attributes": { + "invertible_pin": "IS_RDB_WR_A_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5717.11-5717.19" + } + }, + "RDB_WR_B": { + "hide_name": 0, + "bits": [ 1096 ], + "attributes": { + "invertible_pin": "IS_RDB_WR_B_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5719.11-5719.19" + } + }, + "RST_A": { + "hide_name": 0, + "bits": [ 1097 ], + "attributes": { + "invertible_pin": "IS_RST_A_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5721.11-5721.16" + } + }, + "RST_B": { + "hide_name": 0, + "bits": [ 1098 ], + "attributes": { + "invertible_pin": "IS_RST_B_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5723.11-5723.16" + } + }, + "SBITERR_A": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5675.12-5675.21" + } + }, + "SBITERR_B": { + "hide_name": 0, + "bits": [ 513 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5676.12-5676.21" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 1099 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5724.11-5724.16" + } + } + } + }, + "URAM288_BASE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5727.1-5790.10" + }, + "parameter_default_values": { + "AUTO_SLEEP_LATENCY": "00000000000000000000000000001000", + "AVG_CONS_INACTIVE_CYCLES": "00000000000000000000000000001010", + "BWE_MODE_A": "PARITY_INTERLEAVED", + "BWE_MODE_B": "PARITY_INTERLEAVED", + "EN_AUTO_SLEEP_MODE": "FALSE", + "EN_ECC_RD_A": "FALSE", + "EN_ECC_RD_B": "FALSE", + "EN_ECC_WR_A": "FALSE", + "EN_ECC_WR_B": "FALSE", + "IREG_PRE_A": "FALSE", + "IREG_PRE_B": "FALSE", + "IS_CLK_INVERTED": "0", + "IS_EN_A_INVERTED": "0", + "IS_EN_B_INVERTED": "0", + "IS_RDB_WR_A_INVERTED": "0", + "IS_RDB_WR_B_INVERTED": "0", + "IS_RST_A_INVERTED": "0", + "IS_RST_B_INVERTED": "0", + "OREG_A": "FALSE", + "OREG_B": "FALSE", + "OREG_ECC_A": "FALSE", + "OREG_ECC_B": "FALSE", + "RST_MODE_A": "SYNC", + "RST_MODE_B": "SYNC", + "USE_EXT_CE_A": "FALSE", + "USE_EXT_CE_B": "FALSE" + }, + "ports": { + "DBITERR_A": { + "direction": "output", + "bits": [ 2 ] + }, + "DBITERR_B": { + "direction": "output", + "bits": [ 3 ] + }, + "DOUT_A": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ] + }, + "DOUT_B": { + "direction": "output", + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147 ] + }, + "SBITERR_A": { + "direction": "output", + "bits": [ 148 ] + }, + "SBITERR_B": { + "direction": "output", + "bits": [ 149 ] + }, + "ADDR_A": { + "direction": "input", + "bits": [ 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ] + }, + "ADDR_B": { + "direction": "input", + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195 ] + }, + "BWE_A": { + "direction": "input", + "bits": [ 196, 197, 198, 199, 200, 201, 202, 203, 204 ] + }, + "BWE_B": { + "direction": "input", + "bits": [ 205, 206, 207, 208, 209, 210, 211, 212, 213 ] + }, + "CLK": { + "direction": "input", + "bits": [ 214 ] + }, + "DIN_A": { + "direction": "input", + "bits": [ 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286 ] + }, + "DIN_B": { + "direction": "input", + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358 ] + }, + "EN_A": { + "direction": "input", + "bits": [ 359 ] + }, + "EN_B": { + "direction": "input", + "bits": [ 360 ] + }, + "INJECT_DBITERR_A": { + "direction": "input", + "bits": [ 361 ] + }, + "INJECT_DBITERR_B": { + "direction": "input", + "bits": [ 362 ] + }, + "INJECT_SBITERR_A": { + "direction": "input", + "bits": [ 363 ] + }, + "INJECT_SBITERR_B": { + "direction": "input", + "bits": [ 364 ] + }, + "OREG_CE_A": { + "direction": "input", + "bits": [ 365 ] + }, + "OREG_CE_B": { + "direction": "input", + "bits": [ 366 ] + }, + "OREG_ECC_CE_A": { + "direction": "input", + "bits": [ 367 ] + }, + "OREG_ECC_CE_B": { + "direction": "input", + "bits": [ 368 ] + }, + "RDB_WR_A": { + "direction": "input", + "bits": [ 369 ] + }, + "RDB_WR_B": { + "direction": "input", + "bits": [ 370 ] + }, + "RST_A": { + "direction": "input", + "bits": [ 371 ] + }, + "RST_B": { + "direction": "input", + "bits": [ 372 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 373 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR_A": { + "hide_name": 0, + "bits": [ 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5760.18-5760.24" + } + }, + "ADDR_B": { + "hide_name": 0, + "bits": [ 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5761.18-5761.24" + } + }, + "BWE_A": { + "hide_name": 0, + "bits": [ 196, 197, 198, 199, 200, 201, 202, 203, 204 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5762.17-5762.22" + } + }, + "BWE_B": { + "hide_name": 0, + "bits": [ 205, 206, 207, 208, 209, 210, 211, 212, 213 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5763.17-5763.22" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "clkbuf_sink": "00000000000000000000000000000001", + "invertible_pin": "IS_CLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5766.11-5766.14" + } + }, + "DBITERR_A": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5754.12-5754.21" + } + }, + "DBITERR_B": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5755.12-5755.21" + } + }, + "DIN_A": { + "hide_name": 0, + "bits": [ 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5767.18-5767.23" + } + }, + "DIN_B": { + "hide_name": 0, + "bits": [ 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5768.18-5768.23" + } + }, + "DOUT_A": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5756.19-5756.25" + } + }, + "DOUT_B": { + "hide_name": 0, + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5757.19-5757.25" + } + }, + "EN_A": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "invertible_pin": "IS_EN_A_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5770.11-5770.15" + } + }, + "EN_B": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "invertible_pin": "IS_EN_B_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5772.11-5772.15" + } + }, + "INJECT_DBITERR_A": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5773.11-5773.27" + } + }, + "INJECT_DBITERR_B": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5774.11-5774.27" + } + }, + "INJECT_SBITERR_A": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5775.11-5775.27" + } + }, + "INJECT_SBITERR_B": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5776.11-5776.27" + } + }, + "OREG_CE_A": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5777.11-5777.20" + } + }, + "OREG_CE_B": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5778.11-5778.20" + } + }, + "OREG_ECC_CE_A": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5779.11-5779.24" + } + }, + "OREG_ECC_CE_B": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5780.11-5780.24" + } + }, + "RDB_WR_A": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "invertible_pin": "IS_RDB_WR_A_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5782.11-5782.19" + } + }, + "RDB_WR_B": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "invertible_pin": "IS_RDB_WR_B_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5784.11-5784.19" + } + }, + "RST_A": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "invertible_pin": "IS_RST_A_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5786.11-5786.16" + } + }, + "RST_B": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "invertible_pin": "IS_RST_B_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5788.11-5788.16" + } + }, + "SBITERR_A": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5758.12-5758.21" + } + }, + "SBITERR_B": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5759.12-5759.21" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:5789.11-5789.16" + } + } + } + }, + "USR_ACCESSE2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9947.1-9951.10" + }, + "ports": { + "CFGCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "DATAVALID": { + "direction": "output", + "bits": [ 3 ] + }, + "DATA": { + "direction": "output", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9948.12-9948.18" + } + }, + "DATA": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9950.19-9950.23" + } + }, + "DATAVALID": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9949.12-9949.21" + } + } + } + }, + "USR_ACCESS_VIRTEX4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9930.1-9933.10" + }, + "ports": { + "DATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DATAVALID": { + "direction": "output", + "bits": [ 34 ] + } + }, + "cells": { + }, + "netnames": { + "DATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9931.19-9931.23" + } + }, + "DATAVALID": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9932.12-9932.21" + } + } + } + }, + "USR_ACCESS_VIRTEX5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9935.1-9939.10" + }, + "ports": { + "CFGCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "DATA": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "DATAVALID": { + "direction": "output", + "bits": [ 35 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9936.12-9936.18" + } + }, + "DATA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9937.19-9937.23" + } + }, + "DATAVALID": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9938.12-9938.21" + } + } + } + }, + "USR_ACCESS_VIRTEX6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9941.1-9945.10" + }, + "ports": { + "CFGCLK": { + "direction": "output", + "bits": [ 2 ] + }, + "DATA": { + "direction": "output", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ] + }, + "DATAVALID": { + "direction": "output", + "bits": [ 35 ] + } + }, + "cells": { + }, + "netnames": { + "CFGCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9942.12-9942.18" + } + }, + "DATA": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9943.19-9943.23" + } + }, + "DATAVALID": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:9944.12-9944.21" + } + } + } + }, + "VCC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:24.1-26.10" + }, + "ports": { + "P": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "P": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:24.19-24.20" + } + } + } + }, + "VCU": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33823.1-34053.10" + }, + "parameter_default_values": { + "CORECLKREQ": "00000000000000000000001010011011", + "DECHORRESOLUTION": "00000000000000000000111100000000", + "DECODERCHROMAFORMAT": "4_2_2", + "DECODERCODING": "H.265", + "DECODERCOLORDEPTH": "00000000000000000000000000001010", + "DECODERNUMCORES": "00000000000000000000000000000010", + "DECVERTRESOLUTION": "00000000000000000000100001110000", + "ENABLEDECODER": "TRUE", + "ENABLEENCODER": "TRUE", + "ENCHORRESOLUTION": "00000000000000000000111100000000", + "ENCODERCHROMAFORMAT": "4_2_2", + "ENCODERCODING": "H.265", + "ENCODERCOLORDEPTH": "00000000000000000000000000001010", + "ENCODERNUMCORES": "00000000000000000000000000000100", + "ENCVERTRESOLUTION": "00000000000000000000100001110000" + }, + "ports": { + "VCUPLARREADYAXILITEAPB": { + "direction": "output", + "bits": [ 2 ] + }, + "VCUPLAWREADYAXILITEAPB": { + "direction": "output", + "bits": [ 3 ] + }, + "VCUPLBRESPAXILITEAPB": { + "direction": "output", + "bits": [ 4, 5 ] + }, + "VCUPLBVALIDAXILITEAPB": { + "direction": "output", + "bits": [ 6 ] + }, + "VCUPLCORESTATUSCLKPLL": { + "direction": "output", + "bits": [ 7 ] + }, + "VCUPLDECARADDR0": { + "direction": "output", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + }, + "VCUPLDECARADDR1": { + "direction": "output", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ] + }, + "VCUPLDECARBURST0": { + "direction": "output", + "bits": [ 96, 97 ] + }, + "VCUPLDECARBURST1": { + "direction": "output", + "bits": [ 98, 99 ] + }, + "VCUPLDECARCACHE0": { + "direction": "output", + "bits": [ 100, 101, 102, 103 ] + }, + "VCUPLDECARCACHE1": { + "direction": "output", + "bits": [ 104, 105, 106, 107 ] + }, + "VCUPLDECARID0": { + "direction": "output", + "bits": [ 108, 109, 110, 111 ] + }, + "VCUPLDECARID1": { + "direction": "output", + "bits": [ 112, 113, 114, 115 ] + }, + "VCUPLDECARLEN0": { + "direction": "output", + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123 ] + }, + "VCUPLDECARLEN1": { + "direction": "output", + "bits": [ 124, 125, 126, 127, 128, 129, 130, 131 ] + }, + "VCUPLDECARPROT0": { + "direction": "output", + "bits": [ 132 ] + }, + "VCUPLDECARPROT1": { + "direction": "output", + "bits": [ 133 ] + }, + "VCUPLDECARQOS0": { + "direction": "output", + "bits": [ 134, 135, 136, 137 ] + }, + "VCUPLDECARQOS1": { + "direction": "output", + "bits": [ 138, 139, 140, 141 ] + }, + "VCUPLDECARSIZE0": { + "direction": "output", + "bits": [ 142, 143, 144 ] + }, + "VCUPLDECARSIZE1": { + "direction": "output", + "bits": [ 145, 146, 147 ] + }, + "VCUPLDECARVALID0": { + "direction": "output", + "bits": [ 148 ] + }, + "VCUPLDECARVALID1": { + "direction": "output", + "bits": [ 149 ] + }, + "VCUPLDECAWADDR0": { + "direction": "output", + "bits": [ 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193 ] + }, + "VCUPLDECAWADDR1": { + "direction": "output", + "bits": [ 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ] + }, + "VCUPLDECAWBURST0": { + "direction": "output", + "bits": [ 238, 239 ] + }, + "VCUPLDECAWBURST1": { + "direction": "output", + "bits": [ 240, 241 ] + }, + "VCUPLDECAWCACHE0": { + "direction": "output", + "bits": [ 242, 243, 244, 245 ] + }, + "VCUPLDECAWCACHE1": { + "direction": "output", + "bits": [ 246, 247, 248, 249 ] + }, + "VCUPLDECAWID0": { + "direction": "output", + "bits": [ 250, 251, 252, 253 ] + }, + "VCUPLDECAWID1": { + "direction": "output", + "bits": [ 254, 255, 256, 257 ] + }, + "VCUPLDECAWLEN0": { + "direction": "output", + "bits": [ 258, 259, 260, 261, 262, 263, 264, 265 ] + }, + "VCUPLDECAWLEN1": { + "direction": "output", + "bits": [ 266, 267, 268, 269, 270, 271, 272, 273 ] + }, + "VCUPLDECAWPROT0": { + "direction": "output", + "bits": [ 274 ] + }, + "VCUPLDECAWPROT1": { + "direction": "output", + "bits": [ 275 ] + }, + "VCUPLDECAWQOS0": { + "direction": "output", + "bits": [ 276, 277, 278, 279 ] + }, + "VCUPLDECAWQOS1": { + "direction": "output", + "bits": [ 280, 281, 282, 283 ] + }, + "VCUPLDECAWSIZE0": { + "direction": "output", + "bits": [ 284, 285, 286 ] + }, + "VCUPLDECAWSIZE1": { + "direction": "output", + "bits": [ 287, 288, 289 ] + }, + "VCUPLDECAWVALID0": { + "direction": "output", + "bits": [ 290 ] + }, + "VCUPLDECAWVALID1": { + "direction": "output", + "bits": [ 291 ] + }, + "VCUPLDECBREADY0": { + "direction": "output", + "bits": [ 292 ] + }, + "VCUPLDECBREADY1": { + "direction": "output", + "bits": [ 293 ] + }, + "VCUPLDECRREADY0": { + "direction": "output", + "bits": [ 294 ] + }, + "VCUPLDECRREADY1": { + "direction": "output", + "bits": [ 295 ] + }, + "VCUPLDECWDATA0": { + "direction": "output", + "bits": [ 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423 ] + }, + "VCUPLDECWDATA1": { + "direction": "output", + "bits": [ 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551 ] + }, + "VCUPLDECWLAST0": { + "direction": "output", + "bits": [ 552 ] + }, + "VCUPLDECWLAST1": { + "direction": "output", + "bits": [ 553 ] + }, + "VCUPLDECWVALID0": { + "direction": "output", + "bits": [ 554 ] + }, + "VCUPLDECWVALID1": { + "direction": "output", + "bits": [ 555 ] + }, + "VCUPLENCALL2CADDR": { + "direction": "output", + "bits": [ 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572 ] + }, + "VCUPLENCALL2CRVALID": { + "direction": "output", + "bits": [ 573 ] + }, + "VCUPLENCALL2CWDATA": { + "direction": "output", + "bits": [ 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893 ] + }, + "VCUPLENCALL2CWVALID": { + "direction": "output", + "bits": [ 894 ] + }, + "VCUPLENCARADDR0": { + "direction": "output", + "bits": [ 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938 ] + }, + "VCUPLENCARADDR1": { + "direction": "output", + "bits": [ 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982 ] + }, + "VCUPLENCARBURST0": { + "direction": "output", + "bits": [ 983, 984 ] + }, + "VCUPLENCARBURST1": { + "direction": "output", + "bits": [ 985, 986 ] + }, + "VCUPLENCARCACHE0": { + "direction": "output", + "bits": [ 987, 988, 989, 990 ] + }, + "VCUPLENCARCACHE1": { + "direction": "output", + "bits": [ 991, 992, 993, 994 ] + }, + "VCUPLENCARID0": { + "direction": "output", + "bits": [ 995, 996, 997, 998 ] + }, + "VCUPLENCARID1": { + "direction": "output", + "bits": [ 999, 1000, 1001, 1002 ] + }, + "VCUPLENCARLEN0": { + "direction": "output", + "bits": [ 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010 ] + }, + "VCUPLENCARLEN1": { + "direction": "output", + "bits": [ 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018 ] + }, + "VCUPLENCARPROT0": { + "direction": "output", + "bits": [ 1019 ] + }, + "VCUPLENCARPROT1": { + "direction": "output", + "bits": [ 1020 ] + }, + "VCUPLENCARQOS0": { + "direction": "output", + "bits": [ 1021, 1022, 1023, 1024 ] + }, + "VCUPLENCARQOS1": { + "direction": "output", + "bits": [ 1025, 1026, 1027, 1028 ] + }, + "VCUPLENCARSIZE0": { + "direction": "output", + "bits": [ 1029, 1030, 1031 ] + }, + "VCUPLENCARSIZE1": { + "direction": "output", + "bits": [ 1032, 1033, 1034 ] + }, + "VCUPLENCARVALID0": { + "direction": "output", + "bits": [ 1035 ] + }, + "VCUPLENCARVALID1": { + "direction": "output", + "bits": [ 1036 ] + }, + "VCUPLENCAWADDR0": { + "direction": "output", + "bits": [ 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080 ] + }, + "VCUPLENCAWADDR1": { + "direction": "output", + "bits": [ 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124 ] + }, + "VCUPLENCAWBURST0": { + "direction": "output", + "bits": [ 1125, 1126 ] + }, + "VCUPLENCAWBURST1": { + "direction": "output", + "bits": [ 1127, 1128 ] + }, + "VCUPLENCAWCACHE0": { + "direction": "output", + "bits": [ 1129, 1130, 1131, 1132 ] + }, + "VCUPLENCAWCACHE1": { + "direction": "output", + "bits": [ 1133, 1134, 1135, 1136 ] + }, + "VCUPLENCAWID0": { + "direction": "output", + "bits": [ 1137, 1138, 1139, 1140 ] + }, + "VCUPLENCAWID1": { + "direction": "output", + "bits": [ 1141, 1142, 1143, 1144 ] + }, + "VCUPLENCAWLEN0": { + "direction": "output", + "bits": [ 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152 ] + }, + "VCUPLENCAWLEN1": { + "direction": "output", + "bits": [ 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160 ] + }, + "VCUPLENCAWPROT0": { + "direction": "output", + "bits": [ 1161 ] + }, + "VCUPLENCAWPROT1": { + "direction": "output", + "bits": [ 1162 ] + }, + "VCUPLENCAWQOS0": { + "direction": "output", + "bits": [ 1163, 1164, 1165, 1166 ] + }, + "VCUPLENCAWQOS1": { + "direction": "output", + "bits": [ 1167, 1168, 1169, 1170 ] + }, + "VCUPLENCAWSIZE0": { + "direction": "output", + "bits": [ 1171, 1172, 1173 ] + }, + "VCUPLENCAWSIZE1": { + "direction": "output", + "bits": [ 1174, 1175, 1176 ] + }, + "VCUPLENCAWVALID0": { + "direction": "output", + "bits": [ 1177 ] + }, + "VCUPLENCAWVALID1": { + "direction": "output", + "bits": [ 1178 ] + }, + "VCUPLENCBREADY0": { + "direction": "output", + "bits": [ 1179 ] + }, + "VCUPLENCBREADY1": { + "direction": "output", + "bits": [ 1180 ] + }, + "VCUPLENCRREADY0": { + "direction": "output", + "bits": [ 1181 ] + }, + "VCUPLENCRREADY1": { + "direction": "output", + "bits": [ 1182 ] + }, + "VCUPLENCWDATA0": { + "direction": "output", + "bits": [ 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310 ] + }, + "VCUPLENCWDATA1": { + "direction": "output", + "bits": [ 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438 ] + }, + "VCUPLENCWLAST0": { + "direction": "output", + "bits": [ 1439 ] + }, + "VCUPLENCWLAST1": { + "direction": "output", + "bits": [ 1440 ] + }, + "VCUPLENCWVALID0": { + "direction": "output", + "bits": [ 1441 ] + }, + "VCUPLENCWVALID1": { + "direction": "output", + "bits": [ 1442 ] + }, + "VCUPLMCUMAXIICDCARADDR": { + "direction": "output", + "bits": [ 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486 ] + }, + "VCUPLMCUMAXIICDCARBURST": { + "direction": "output", + "bits": [ 1487, 1488 ] + }, + "VCUPLMCUMAXIICDCARCACHE": { + "direction": "output", + "bits": [ 1489, 1490, 1491, 1492 ] + }, + "VCUPLMCUMAXIICDCARID": { + "direction": "output", + "bits": [ 1493, 1494, 1495 ] + }, + "VCUPLMCUMAXIICDCARLEN": { + "direction": "output", + "bits": [ 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503 ] + }, + "VCUPLMCUMAXIICDCARLOCK": { + "direction": "output", + "bits": [ 1504 ] + }, + "VCUPLMCUMAXIICDCARPROT": { + "direction": "output", + "bits": [ 1505, 1506, 1507 ] + }, + "VCUPLMCUMAXIICDCARQOS": { + "direction": "output", + "bits": [ 1508, 1509, 1510, 1511 ] + }, + "VCUPLMCUMAXIICDCARSIZE": { + "direction": "output", + "bits": [ 1512, 1513, 1514 ] + }, + "VCUPLMCUMAXIICDCARVALID": { + "direction": "output", + "bits": [ 1515 ] + }, + "VCUPLMCUMAXIICDCAWADDR": { + "direction": "output", + "bits": [ 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559 ] + }, + "VCUPLMCUMAXIICDCAWBURST": { + "direction": "output", + "bits": [ 1560, 1561 ] + }, + "VCUPLMCUMAXIICDCAWCACHE": { + "direction": "output", + "bits": [ 1562, 1563, 1564, 1565 ] + }, + "VCUPLMCUMAXIICDCAWID": { + "direction": "output", + "bits": [ 1566, 1567, 1568 ] + }, + "VCUPLMCUMAXIICDCAWLEN": { + "direction": "output", + "bits": [ 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576 ] + }, + "VCUPLMCUMAXIICDCAWLOCK": { + "direction": "output", + "bits": [ 1577 ] + }, + "VCUPLMCUMAXIICDCAWPROT": { + "direction": "output", + "bits": [ 1578, 1579, 1580 ] + }, + "VCUPLMCUMAXIICDCAWQOS": { + "direction": "output", + "bits": [ 1581, 1582, 1583, 1584 ] + }, + "VCUPLMCUMAXIICDCAWSIZE": { + "direction": "output", + "bits": [ 1585, 1586, 1587 ] + }, + "VCUPLMCUMAXIICDCAWVALID": { + "direction": "output", + "bits": [ 1588 ] + }, + "VCUPLMCUMAXIICDCBREADY": { + "direction": "output", + "bits": [ 1589 ] + }, + "VCUPLMCUMAXIICDCRREADY": { + "direction": "output", + "bits": [ 1590 ] + }, + "VCUPLMCUMAXIICDCWDATA": { + "direction": "output", + "bits": [ 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622 ] + }, + "VCUPLMCUMAXIICDCWLAST": { + "direction": "output", + "bits": [ 1623 ] + }, + "VCUPLMCUMAXIICDCWSTRB": { + "direction": "output", + "bits": [ 1624, 1625, 1626, 1627 ] + }, + "VCUPLMCUMAXIICDCWVALID": { + "direction": "output", + "bits": [ 1628 ] + }, + "VCUPLMCUSTATUSCLKPLL": { + "direction": "output", + "bits": [ 1629 ] + }, + "VCUPLPINTREQ": { + "direction": "output", + "bits": [ 1630 ] + }, + "VCUPLPLLSTATUSPLLLOCK": { + "direction": "output", + "bits": [ 1631 ] + }, + "VCUPLPWRSUPPLYSTATUSVCCAUX": { + "direction": "output", + "bits": [ 1632 ] + }, + "VCUPLPWRSUPPLYSTATUSVCUINT": { + "direction": "output", + "bits": [ 1633 ] + }, + "VCUPLRDATAAXILITEAPB": { + "direction": "output", + "bits": [ 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665 ] + }, + "VCUPLRRESPAXILITEAPB": { + "direction": "output", + "bits": [ 1666, 1667 ] + }, + "VCUPLRVALIDAXILITEAPB": { + "direction": "output", + "bits": [ 1668 ] + }, + "VCUPLWREADYAXILITEAPB": { + "direction": "output", + "bits": [ 1669 ] + }, + "INITPLVCUGASKETCLAMPCONTROLLVLSHVCCINTD": { + "direction": "input", + "bits": [ 1670 ] + }, + "PLVCUARADDRAXILITEAPB": { + "direction": "input", + "bits": [ 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690 ] + }, + "PLVCUARPROTAXILITEAPB": { + "direction": "input", + "bits": [ 1691, 1692, 1693 ] + }, + "PLVCUARVALIDAXILITEAPB": { + "direction": "input", + "bits": [ 1694 ] + }, + "PLVCUAWADDRAXILITEAPB": { + "direction": "input", + "bits": [ 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714 ] + }, + "PLVCUAWPROTAXILITEAPB": { + "direction": "input", + "bits": [ 1715, 1716, 1717 ] + }, + "PLVCUAWVALIDAXILITEAPB": { + "direction": "input", + "bits": [ 1718 ] + }, + "PLVCUAXIDECCLK": { + "direction": "input", + "bits": [ 1719 ] + }, + "PLVCUAXIENCCLK": { + "direction": "input", + "bits": [ 1720 ] + }, + "PLVCUAXILITECLK": { + "direction": "input", + "bits": [ 1721 ] + }, + "PLVCUAXIMCUCLK": { + "direction": "input", + "bits": [ 1722 ] + }, + "PLVCUBREADYAXILITEAPB": { + "direction": "input", + "bits": [ 1723 ] + }, + "PLVCUCORECLK": { + "direction": "input", + "bits": [ 1724 ] + }, + "PLVCUDECARREADY0": { + "direction": "input", + "bits": [ 1725 ] + }, + "PLVCUDECARREADY1": { + "direction": "input", + "bits": [ 1726 ] + }, + "PLVCUDECAWREADY0": { + "direction": "input", + "bits": [ 1727 ] + }, + "PLVCUDECAWREADY1": { + "direction": "input", + "bits": [ 1728 ] + }, + "PLVCUDECBID0": { + "direction": "input", + "bits": [ 1729, 1730, 1731, 1732 ] + }, + "PLVCUDECBID1": { + "direction": "input", + "bits": [ 1733, 1734, 1735, 1736 ] + }, + "PLVCUDECBRESP0": { + "direction": "input", + "bits": [ 1737, 1738 ] + }, + "PLVCUDECBRESP1": { + "direction": "input", + "bits": [ 1739, 1740 ] + }, + "PLVCUDECBVALID0": { + "direction": "input", + "bits": [ 1741 ] + }, + "PLVCUDECBVALID1": { + "direction": "input", + "bits": [ 1742 ] + }, + "PLVCUDECRDATA0": { + "direction": "input", + "bits": [ 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870 ] + }, + "PLVCUDECRDATA1": { + "direction": "input", + "bits": [ 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998 ] + }, + "PLVCUDECRID0": { + "direction": "input", + "bits": [ 1999, 2000, 2001, 2002 ] + }, + "PLVCUDECRID1": { + "direction": "input", + "bits": [ 2003, 2004, 2005, 2006 ] + }, + "PLVCUDECRLAST0": { + "direction": "input", + "bits": [ 2007 ] + }, + "PLVCUDECRLAST1": { + "direction": "input", + "bits": [ 2008 ] + }, + "PLVCUDECRRESP0": { + "direction": "input", + "bits": [ 2009, 2010 ] + }, + "PLVCUDECRRESP1": { + "direction": "input", + "bits": [ 2011, 2012 ] + }, + "PLVCUDECRVALID0": { + "direction": "input", + "bits": [ 2013 ] + }, + "PLVCUDECRVALID1": { + "direction": "input", + "bits": [ 2014 ] + }, + "PLVCUDECWREADY0": { + "direction": "input", + "bits": [ 2015 ] + }, + "PLVCUDECWREADY1": { + "direction": "input", + "bits": [ 2016 ] + }, + "PLVCUENCALL2CRDATA": { + "direction": "input", + "bits": [ 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336 ] + }, + "PLVCUENCALL2CRREADY": { + "direction": "input", + "bits": [ 2337 ] + }, + "PLVCUENCARREADY0": { + "direction": "input", + "bits": [ 2338 ] + }, + "PLVCUENCARREADY1": { + "direction": "input", + "bits": [ 2339 ] + }, + "PLVCUENCAWREADY0": { + "direction": "input", + "bits": [ 2340 ] + }, + "PLVCUENCAWREADY1": { + "direction": "input", + "bits": [ 2341 ] + }, + "PLVCUENCBID0": { + "direction": "input", + "bits": [ 2342, 2343, 2344, 2345 ] + }, + "PLVCUENCBID1": { + "direction": "input", + "bits": [ 2346, 2347, 2348, 2349 ] + }, + "PLVCUENCBRESP0": { + "direction": "input", + "bits": [ 2350, 2351 ] + }, + "PLVCUENCBRESP1": { + "direction": "input", + "bits": [ 2352, 2353 ] + }, + "PLVCUENCBVALID0": { + "direction": "input", + "bits": [ 2354 ] + }, + "PLVCUENCBVALID1": { + "direction": "input", + "bits": [ 2355 ] + }, + "PLVCUENCL2CCLK": { + "direction": "input", + "bits": [ 2356 ] + }, + "PLVCUENCRDATA0": { + "direction": "input", + "bits": [ 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484 ] + }, + "PLVCUENCRDATA1": { + "direction": "input", + "bits": [ 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612 ] + }, + "PLVCUENCRID0": { + "direction": "input", + "bits": [ 2613, 2614, 2615, 2616 ] + }, + "PLVCUENCRID1": { + "direction": "input", + "bits": [ 2617, 2618, 2619, 2620 ] + }, + "PLVCUENCRLAST0": { + "direction": "input", + "bits": [ 2621 ] + }, + "PLVCUENCRLAST1": { + "direction": "input", + "bits": [ 2622 ] + }, + "PLVCUENCRRESP0": { + "direction": "input", + "bits": [ 2623, 2624 ] + }, + "PLVCUENCRRESP1": { + "direction": "input", + "bits": [ 2625, 2626 ] + }, + "PLVCUENCRVALID0": { + "direction": "input", + "bits": [ 2627 ] + }, + "PLVCUENCRVALID1": { + "direction": "input", + "bits": [ 2628 ] + }, + "PLVCUENCWREADY0": { + "direction": "input", + "bits": [ 2629 ] + }, + "PLVCUENCWREADY1": { + "direction": "input", + "bits": [ 2630 ] + }, + "PLVCUMCUCLK": { + "direction": "input", + "bits": [ 2631 ] + }, + "PLVCUMCUMAXIICDCARREADY": { + "direction": "input", + "bits": [ 2632 ] + }, + "PLVCUMCUMAXIICDCAWREADY": { + "direction": "input", + "bits": [ 2633 ] + }, + "PLVCUMCUMAXIICDCBID": { + "direction": "input", + "bits": [ 2634, 2635, 2636 ] + }, + "PLVCUMCUMAXIICDCBRESP": { + "direction": "input", + "bits": [ 2637, 2638 ] + }, + "PLVCUMCUMAXIICDCBVALID": { + "direction": "input", + "bits": [ 2639 ] + }, + "PLVCUMCUMAXIICDCRDATA": { + "direction": "input", + "bits": [ 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671 ] + }, + "PLVCUMCUMAXIICDCRID": { + "direction": "input", + "bits": [ 2672, 2673, 2674 ] + }, + "PLVCUMCUMAXIICDCRLAST": { + "direction": "input", + "bits": [ 2675 ] + }, + "PLVCUMCUMAXIICDCRRESP": { + "direction": "input", + "bits": [ 2676, 2677 ] + }, + "PLVCUMCUMAXIICDCRVALID": { + "direction": "input", + "bits": [ 2678 ] + }, + "PLVCUMCUMAXIICDCWREADY": { + "direction": "input", + "bits": [ 2679 ] + }, + "PLVCUPLLREFCLKPL": { + "direction": "input", + "bits": [ 2680 ] + }, + "PLVCURAWRSTN": { + "direction": "input", + "bits": [ 2681 ] + }, + "PLVCURREADYAXILITEAPB": { + "direction": "input", + "bits": [ 2682 ] + }, + "PLVCUWDATAAXILITEAPB": { + "direction": "input", + "bits": [ 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714 ] + }, + "PLVCUWSTRBAXILITEAPB": { + "direction": "input", + "bits": [ 2715, 2716, 2717, 2718 ] + }, + "PLVCUWVALIDAXILITEAPB": { + "direction": "input", + "bits": [ 2719 ] + } + }, + "cells": { + }, + "netnames": { + "INITPLVCUGASKETCLAMPCONTROLLVLSHVCCINTD": { + "hide_name": 0, + "bits": [ 1670 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33975.11-33975.50" + } + }, + "PLVCUARADDRAXILITEAPB": { + "hide_name": 0, + "bits": [ 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33976.18-33976.39" + } + }, + "PLVCUARPROTAXILITEAPB": { + "hide_name": 0, + "bits": [ 1691, 1692, 1693 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33977.17-33977.38" + } + }, + "PLVCUARVALIDAXILITEAPB": { + "hide_name": 0, + "bits": [ 1694 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33978.11-33978.33" + } + }, + "PLVCUAWADDRAXILITEAPB": { + "hide_name": 0, + "bits": [ 1695, 1696, 1697, 1698, 1699, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1707, 1708, 1709, 1710, 1711, 1712, 1713, 1714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33979.18-33979.39" + } + }, + "PLVCUAWPROTAXILITEAPB": { + "hide_name": 0, + "bits": [ 1715, 1716, 1717 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33980.17-33980.38" + } + }, + "PLVCUAWVALIDAXILITEAPB": { + "hide_name": 0, + "bits": [ 1718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33981.11-33981.33" + } + }, + "PLVCUAXIDECCLK": { + "hide_name": 0, + "bits": [ 1719 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33982.11-33982.25" + } + }, + "PLVCUAXIENCCLK": { + "hide_name": 0, + "bits": [ 1720 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33983.11-33983.25" + } + }, + "PLVCUAXILITECLK": { + "hide_name": 0, + "bits": [ 1721 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33984.11-33984.26" + } + }, + "PLVCUAXIMCUCLK": { + "hide_name": 0, + "bits": [ 1722 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33985.11-33985.25" + } + }, + "PLVCUBREADYAXILITEAPB": { + "hide_name": 0, + "bits": [ 1723 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33986.11-33986.32" + } + }, + "PLVCUCORECLK": { + "hide_name": 0, + "bits": [ 1724 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33987.11-33987.23" + } + }, + "PLVCUDECARREADY0": { + "hide_name": 0, + "bits": [ 1725 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33988.11-33988.27" + } + }, + "PLVCUDECARREADY1": { + "hide_name": 0, + "bits": [ 1726 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33989.11-33989.27" + } + }, + "PLVCUDECAWREADY0": { + "hide_name": 0, + "bits": [ 1727 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33990.11-33990.27" + } + }, + "PLVCUDECAWREADY1": { + "hide_name": 0, + "bits": [ 1728 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33991.11-33991.27" + } + }, + "PLVCUDECBID0": { + "hide_name": 0, + "bits": [ 1729, 1730, 1731, 1732 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33992.17-33992.29" + } + }, + "PLVCUDECBID1": { + "hide_name": 0, + "bits": [ 1733, 1734, 1735, 1736 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33993.17-33993.29" + } + }, + "PLVCUDECBRESP0": { + "hide_name": 0, + "bits": [ 1737, 1738 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33994.17-33994.31" + } + }, + "PLVCUDECBRESP1": { + "hide_name": 0, + "bits": [ 1739, 1740 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33995.17-33995.31" + } + }, + "PLVCUDECBVALID0": { + "hide_name": 0, + "bits": [ 1741 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33996.11-33996.26" + } + }, + "PLVCUDECBVALID1": { + "hide_name": 0, + "bits": [ 1742 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33997.11-33997.26" + } + }, + "PLVCUDECRDATA0": { + "hide_name": 0, + "bits": [ 1743, 1744, 1745, 1746, 1747, 1748, 1749, 1750, 1751, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1763, 1764, 1765, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777, 1778, 1779, 1780, 1781, 1782, 1783, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1791, 1792, 1793, 1794, 1795, 1796, 1797, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1805, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 1821, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837, 1838, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854, 1855, 1856, 1857, 1858, 1859, 1860, 1861, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33998.19-33998.33" + } + }, + "PLVCUDECRDATA1": { + "hide_name": 0, + "bits": [ 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888, 1889, 1890, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917, 1918, 1919, 1920, 1921, 1922, 1923, 1924, 1925, 1926, 1927, 1928, 1929, 1930, 1931, 1932, 1933, 1934, 1935, 1936, 1937, 1938, 1939, 1940, 1941, 1942, 1943, 1944, 1945, 1946, 1947, 1948, 1949, 1950, 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33999.19-33999.33" + } + }, + "PLVCUDECRID0": { + "hide_name": 0, + "bits": [ 1999, 2000, 2001, 2002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34000.17-34000.29" + } + }, + "PLVCUDECRID1": { + "hide_name": 0, + "bits": [ 2003, 2004, 2005, 2006 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34001.17-34001.29" + } + }, + "PLVCUDECRLAST0": { + "hide_name": 0, + "bits": [ 2007 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34002.11-34002.25" + } + }, + "PLVCUDECRLAST1": { + "hide_name": 0, + "bits": [ 2008 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34003.11-34003.25" + } + }, + "PLVCUDECRRESP0": { + "hide_name": 0, + "bits": [ 2009, 2010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34004.17-34004.31" + } + }, + "PLVCUDECRRESP1": { + "hide_name": 0, + "bits": [ 2011, 2012 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34005.17-34005.31" + } + }, + "PLVCUDECRVALID0": { + "hide_name": 0, + "bits": [ 2013 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34006.11-34006.26" + } + }, + "PLVCUDECRVALID1": { + "hide_name": 0, + "bits": [ 2014 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34007.11-34007.26" + } + }, + "PLVCUDECWREADY0": { + "hide_name": 0, + "bits": [ 2015 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34008.11-34008.26" + } + }, + "PLVCUDECWREADY1": { + "hide_name": 0, + "bits": [ 2016 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34009.11-34009.26" + } + }, + "PLVCUENCALL2CRDATA": { + "hide_name": 0, + "bits": [ 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221, 2222, 2223, 2224, 2225, 2226, 2227, 2228, 2229, 2230, 2231, 2232, 2233, 2234, 2235, 2236, 2237, 2238, 2239, 2240, 2241, 2242, 2243, 2244, 2245, 2246, 2247, 2248, 2249, 2250, 2251, 2252, 2253, 2254, 2255, 2256, 2257, 2258, 2259, 2260, 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2272, 2273, 2274, 2275, 2276, 2277, 2278, 2279, 2280, 2281, 2282, 2283, 2284, 2285, 2286, 2287, 2288, 2289, 2290, 2291, 2292, 2293, 2294, 2295, 2296, 2297, 2298, 2299, 2300, 2301, 2302, 2303, 2304, 2305, 2306, 2307, 2308, 2309, 2310, 2311, 2312, 2313, 2314, 2315, 2316, 2317, 2318, 2319, 2320, 2321, 2322, 2323, 2324, 2325, 2326, 2327, 2328, 2329, 2330, 2331, 2332, 2333, 2334, 2335, 2336 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34010.19-34010.37" + } + }, + "PLVCUENCALL2CRREADY": { + "hide_name": 0, + "bits": [ 2337 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34011.11-34011.30" + } + }, + "PLVCUENCARREADY0": { + "hide_name": 0, + "bits": [ 2338 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34012.11-34012.27" + } + }, + "PLVCUENCARREADY1": { + "hide_name": 0, + "bits": [ 2339 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34013.11-34013.27" + } + }, + "PLVCUENCAWREADY0": { + "hide_name": 0, + "bits": [ 2340 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34014.11-34014.27" + } + }, + "PLVCUENCAWREADY1": { + "hide_name": 0, + "bits": [ 2341 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34015.11-34015.27" + } + }, + "PLVCUENCBID0": { + "hide_name": 0, + "bits": [ 2342, 2343, 2344, 2345 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34016.17-34016.29" + } + }, + "PLVCUENCBID1": { + "hide_name": 0, + "bits": [ 2346, 2347, 2348, 2349 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34017.17-34017.29" + } + }, + "PLVCUENCBRESP0": { + "hide_name": 0, + "bits": [ 2350, 2351 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34018.17-34018.31" + } + }, + "PLVCUENCBRESP1": { + "hide_name": 0, + "bits": [ 2352, 2353 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34019.17-34019.31" + } + }, + "PLVCUENCBVALID0": { + "hide_name": 0, + "bits": [ 2354 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34020.11-34020.26" + } + }, + "PLVCUENCBVALID1": { + "hide_name": 0, + "bits": [ 2355 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34021.11-34021.26" + } + }, + "PLVCUENCL2CCLK": { + "hide_name": 0, + "bits": [ 2356 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34022.11-34022.25" + } + }, + "PLVCUENCRDATA0": { + "hide_name": 0, + "bits": [ 2357, 2358, 2359, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367, 2368, 2369, 2370, 2371, 2372, 2373, 2374, 2375, 2376, 2377, 2378, 2379, 2380, 2381, 2382, 2383, 2384, 2385, 2386, 2387, 2388, 2389, 2390, 2391, 2392, 2393, 2394, 2395, 2396, 2397, 2398, 2399, 2400, 2401, 2402, 2403, 2404, 2405, 2406, 2407, 2408, 2409, 2410, 2411, 2412, 2413, 2414, 2415, 2416, 2417, 2418, 2419, 2420, 2421, 2422, 2423, 2424, 2425, 2426, 2427, 2428, 2429, 2430, 2431, 2432, 2433, 2434, 2435, 2436, 2437, 2438, 2439, 2440, 2441, 2442, 2443, 2444, 2445, 2446, 2447, 2448, 2449, 2450, 2451, 2452, 2453, 2454, 2455, 2456, 2457, 2458, 2459, 2460, 2461, 2462, 2463, 2464, 2465, 2466, 2467, 2468, 2469, 2470, 2471, 2472, 2473, 2474, 2475, 2476, 2477, 2478, 2479, 2480, 2481, 2482, 2483, 2484 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34023.19-34023.33" + } + }, + "PLVCUENCRDATA1": { + "hide_name": 0, + "bits": [ 2485, 2486, 2487, 2488, 2489, 2490, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, 2511, 2512, 2513, 2514, 2515, 2516, 2517, 2518, 2519, 2520, 2521, 2522, 2523, 2524, 2525, 2526, 2527, 2528, 2529, 2530, 2531, 2532, 2533, 2534, 2535, 2536, 2537, 2538, 2539, 2540, 2541, 2542, 2543, 2544, 2545, 2546, 2547, 2548, 2549, 2550, 2551, 2552, 2553, 2554, 2555, 2556, 2557, 2558, 2559, 2560, 2561, 2562, 2563, 2564, 2565, 2566, 2567, 2568, 2569, 2570, 2571, 2572, 2573, 2574, 2575, 2576, 2577, 2578, 2579, 2580, 2581, 2582, 2583, 2584, 2585, 2586, 2587, 2588, 2589, 2590, 2591, 2592, 2593, 2594, 2595, 2596, 2597, 2598, 2599, 2600, 2601, 2602, 2603, 2604, 2605, 2606, 2607, 2608, 2609, 2610, 2611, 2612 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34024.19-34024.33" + } + }, + "PLVCUENCRID0": { + "hide_name": 0, + "bits": [ 2613, 2614, 2615, 2616 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34025.17-34025.29" + } + }, + "PLVCUENCRID1": { + "hide_name": 0, + "bits": [ 2617, 2618, 2619, 2620 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34026.17-34026.29" + } + }, + "PLVCUENCRLAST0": { + "hide_name": 0, + "bits": [ 2621 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34027.11-34027.25" + } + }, + "PLVCUENCRLAST1": { + "hide_name": 0, + "bits": [ 2622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34028.11-34028.25" + } + }, + "PLVCUENCRRESP0": { + "hide_name": 0, + "bits": [ 2623, 2624 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34029.17-34029.31" + } + }, + "PLVCUENCRRESP1": { + "hide_name": 0, + "bits": [ 2625, 2626 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34030.17-34030.31" + } + }, + "PLVCUENCRVALID0": { + "hide_name": 0, + "bits": [ 2627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34031.11-34031.26" + } + }, + "PLVCUENCRVALID1": { + "hide_name": 0, + "bits": [ 2628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34032.11-34032.26" + } + }, + "PLVCUENCWREADY0": { + "hide_name": 0, + "bits": [ 2629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34033.11-34033.26" + } + }, + "PLVCUENCWREADY1": { + "hide_name": 0, + "bits": [ 2630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34034.11-34034.26" + } + }, + "PLVCUMCUCLK": { + "hide_name": 0, + "bits": [ 2631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34035.11-34035.22" + } + }, + "PLVCUMCUMAXIICDCARREADY": { + "hide_name": 0, + "bits": [ 2632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34036.11-34036.34" + } + }, + "PLVCUMCUMAXIICDCAWREADY": { + "hide_name": 0, + "bits": [ 2633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34037.11-34037.34" + } + }, + "PLVCUMCUMAXIICDCBID": { + "hide_name": 0, + "bits": [ 2634, 2635, 2636 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34038.17-34038.36" + } + }, + "PLVCUMCUMAXIICDCBRESP": { + "hide_name": 0, + "bits": [ 2637, 2638 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34039.17-34039.38" + } + }, + "PLVCUMCUMAXIICDCBVALID": { + "hide_name": 0, + "bits": [ 2639 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34040.11-34040.33" + } + }, + "PLVCUMCUMAXIICDCRDATA": { + "hide_name": 0, + "bits": [ 2640, 2641, 2642, 2643, 2644, 2645, 2646, 2647, 2648, 2649, 2650, 2651, 2652, 2653, 2654, 2655, 2656, 2657, 2658, 2659, 2660, 2661, 2662, 2663, 2664, 2665, 2666, 2667, 2668, 2669, 2670, 2671 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34041.18-34041.39" + } + }, + "PLVCUMCUMAXIICDCRID": { + "hide_name": 0, + "bits": [ 2672, 2673, 2674 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34042.17-34042.36" + } + }, + "PLVCUMCUMAXIICDCRLAST": { + "hide_name": 0, + "bits": [ 2675 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34043.11-34043.32" + } + }, + "PLVCUMCUMAXIICDCRRESP": { + "hide_name": 0, + "bits": [ 2676, 2677 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34044.17-34044.38" + } + }, + "PLVCUMCUMAXIICDCRVALID": { + "hide_name": 0, + "bits": [ 2678 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34045.11-34045.33" + } + }, + "PLVCUMCUMAXIICDCWREADY": { + "hide_name": 0, + "bits": [ 2679 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34046.11-34046.33" + } + }, + "PLVCUPLLREFCLKPL": { + "hide_name": 0, + "bits": [ 2680 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34047.11-34047.27" + } + }, + "PLVCURAWRSTN": { + "hide_name": 0, + "bits": [ 2681 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34048.11-34048.23" + } + }, + "PLVCURREADYAXILITEAPB": { + "hide_name": 0, + "bits": [ 2682 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34049.11-34049.32" + } + }, + "PLVCUWDATAAXILITEAPB": { + "hide_name": 0, + "bits": [ 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2691, 2692, 2693, 2694, 2695, 2696, 2697, 2698, 2699, 2700, 2701, 2702, 2703, 2704, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2713, 2714 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34050.18-34050.38" + } + }, + "PLVCUWSTRBAXILITEAPB": { + "hide_name": 0, + "bits": [ 2715, 2716, 2717, 2718 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34051.17-34051.37" + } + }, + "PLVCUWVALIDAXILITEAPB": { + "hide_name": 0, + "bits": [ 2719 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:34052.11-34052.32" + } + }, + "VCUPLARREADYAXILITEAPB": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33839.12-33839.34" + } + }, + "VCUPLAWREADYAXILITEAPB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33840.12-33840.34" + } + }, + "VCUPLBRESPAXILITEAPB": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33841.18-33841.38" + } + }, + "VCUPLBVALIDAXILITEAPB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33842.12-33842.33" + } + }, + "VCUPLCORESTATUSCLKPLL": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33843.12-33843.33" + } + }, + "VCUPLDECARADDR0": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33844.19-33844.34" + } + }, + "VCUPLDECARADDR1": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33845.19-33845.34" + } + }, + "VCUPLDECARBURST0": { + "hide_name": 0, + "bits": [ 96, 97 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33846.18-33846.34" + } + }, + "VCUPLDECARBURST1": { + "hide_name": 0, + "bits": [ 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33847.18-33847.34" + } + }, + "VCUPLDECARCACHE0": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33848.18-33848.34" + } + }, + "VCUPLDECARCACHE1": { + "hide_name": 0, + "bits": [ 104, 105, 106, 107 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33849.18-33849.34" + } + }, + "VCUPLDECARID0": { + "hide_name": 0, + "bits": [ 108, 109, 110, 111 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33850.18-33850.31" + } + }, + "VCUPLDECARID1": { + "hide_name": 0, + "bits": [ 112, 113, 114, 115 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33851.18-33851.31" + } + }, + "VCUPLDECARLEN0": { + "hide_name": 0, + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33852.18-33852.32" + } + }, + "VCUPLDECARLEN1": { + "hide_name": 0, + "bits": [ 124, 125, 126, 127, 128, 129, 130, 131 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33853.18-33853.32" + } + }, + "VCUPLDECARPROT0": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33854.12-33854.27" + } + }, + "VCUPLDECARPROT1": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33855.12-33855.27" + } + }, + "VCUPLDECARQOS0": { + "hide_name": 0, + "bits": [ 134, 135, 136, 137 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33856.18-33856.32" + } + }, + "VCUPLDECARQOS1": { + "hide_name": 0, + "bits": [ 138, 139, 140, 141 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33857.18-33857.32" + } + }, + "VCUPLDECARSIZE0": { + "hide_name": 0, + "bits": [ 142, 143, 144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33858.18-33858.33" + } + }, + "VCUPLDECARSIZE1": { + "hide_name": 0, + "bits": [ 145, 146, 147 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33859.18-33859.33" + } + }, + "VCUPLDECARVALID0": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33860.12-33860.28" + } + }, + "VCUPLDECARVALID1": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33861.12-33861.28" + } + }, + "VCUPLDECAWADDR0": { + "hide_name": 0, + "bits": [ 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33862.19-33862.34" + } + }, + "VCUPLDECAWADDR1": { + "hide_name": 0, + "bits": [ 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33863.19-33863.34" + } + }, + "VCUPLDECAWBURST0": { + "hide_name": 0, + "bits": [ 238, 239 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33864.18-33864.34" + } + }, + "VCUPLDECAWBURST1": { + "hide_name": 0, + "bits": [ 240, 241 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33865.18-33865.34" + } + }, + "VCUPLDECAWCACHE0": { + "hide_name": 0, + "bits": [ 242, 243, 244, 245 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33866.18-33866.34" + } + }, + "VCUPLDECAWCACHE1": { + "hide_name": 0, + "bits": [ 246, 247, 248, 249 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33867.18-33867.34" + } + }, + "VCUPLDECAWID0": { + "hide_name": 0, + "bits": [ 250, 251, 252, 253 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33868.18-33868.31" + } + }, + "VCUPLDECAWID1": { + "hide_name": 0, + "bits": [ 254, 255, 256, 257 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33869.18-33869.31" + } + }, + "VCUPLDECAWLEN0": { + "hide_name": 0, + "bits": [ 258, 259, 260, 261, 262, 263, 264, 265 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33870.18-33870.32" + } + }, + "VCUPLDECAWLEN1": { + "hide_name": 0, + "bits": [ 266, 267, 268, 269, 270, 271, 272, 273 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33871.18-33871.32" + } + }, + "VCUPLDECAWPROT0": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33872.12-33872.27" + } + }, + "VCUPLDECAWPROT1": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33873.12-33873.27" + } + }, + "VCUPLDECAWQOS0": { + "hide_name": 0, + "bits": [ 276, 277, 278, 279 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33874.18-33874.32" + } + }, + "VCUPLDECAWQOS1": { + "hide_name": 0, + "bits": [ 280, 281, 282, 283 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33875.18-33875.32" + } + }, + "VCUPLDECAWSIZE0": { + "hide_name": 0, + "bits": [ 284, 285, 286 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33876.18-33876.33" + } + }, + "VCUPLDECAWSIZE1": { + "hide_name": 0, + "bits": [ 287, 288, 289 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33877.18-33877.33" + } + }, + "VCUPLDECAWVALID0": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33878.12-33878.28" + } + }, + "VCUPLDECAWVALID1": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33879.12-33879.28" + } + }, + "VCUPLDECBREADY0": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33880.12-33880.27" + } + }, + "VCUPLDECBREADY1": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33881.12-33881.27" + } + }, + "VCUPLDECRREADY0": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33882.12-33882.27" + } + }, + "VCUPLDECRREADY1": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33883.12-33883.27" + } + }, + "VCUPLDECWDATA0": { + "hide_name": 0, + "bits": [ 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421, 422, 423 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33884.20-33884.34" + } + }, + "VCUPLDECWDATA1": { + "hide_name": 0, + "bits": [ 424, 425, 426, 427, 428, 429, 430, 431, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483, 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33885.20-33885.34" + } + }, + "VCUPLDECWLAST0": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33886.12-33886.26" + } + }, + "VCUPLDECWLAST1": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33887.12-33887.26" + } + }, + "VCUPLDECWVALID0": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33888.12-33888.27" + } + }, + "VCUPLDECWVALID1": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33889.12-33889.27" + } + }, + "VCUPLENCALL2CADDR": { + "hide_name": 0, + "bits": [ 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33890.19-33890.36" + } + }, + "VCUPLENCALL2CRVALID": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33891.12-33891.31" + } + }, + "VCUPLENCALL2CWDATA": { + "hide_name": 0, + "bits": [ 574, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600, 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704, 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717, 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782, 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795, 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808, 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821, 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834, 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847, 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860, 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873, 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 892, 893 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33892.20-33892.38" + } + }, + "VCUPLENCALL2CWVALID": { + "hide_name": 0, + "bits": [ 894 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33893.12-33893.31" + } + }, + "VCUPLENCARADDR0": { + "hide_name": 0, + "bits": [ 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912, 913, 914, 915, 916, 917, 918, 919, 920, 921, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, 933, 934, 935, 936, 937, 938 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33894.19-33894.34" + } + }, + "VCUPLENCARADDR1": { + "hide_name": 0, + "bits": [ 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 954, 955, 956, 957, 958, 959, 960, 961, 962, 963, 964, 965, 966, 967, 968, 969, 970, 971, 972, 973, 974, 975, 976, 977, 978, 979, 980, 981, 982 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33895.19-33895.34" + } + }, + "VCUPLENCARBURST0": { + "hide_name": 0, + "bits": [ 983, 984 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33896.18-33896.34" + } + }, + "VCUPLENCARBURST1": { + "hide_name": 0, + "bits": [ 985, 986 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33897.18-33897.34" + } + }, + "VCUPLENCARCACHE0": { + "hide_name": 0, + "bits": [ 987, 988, 989, 990 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33898.18-33898.34" + } + }, + "VCUPLENCARCACHE1": { + "hide_name": 0, + "bits": [ 991, 992, 993, 994 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33899.18-33899.34" + } + }, + "VCUPLENCARID0": { + "hide_name": 0, + "bits": [ 995, 996, 997, 998 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33900.18-33900.31" + } + }, + "VCUPLENCARID1": { + "hide_name": 0, + "bits": [ 999, 1000, 1001, 1002 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33901.18-33901.31" + } + }, + "VCUPLENCARLEN0": { + "hide_name": 0, + "bits": [ 1003, 1004, 1005, 1006, 1007, 1008, 1009, 1010 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33902.18-33902.32" + } + }, + "VCUPLENCARLEN1": { + "hide_name": 0, + "bits": [ 1011, 1012, 1013, 1014, 1015, 1016, 1017, 1018 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33903.18-33903.32" + } + }, + "VCUPLENCARPROT0": { + "hide_name": 0, + "bits": [ 1019 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33904.12-33904.27" + } + }, + "VCUPLENCARPROT1": { + "hide_name": 0, + "bits": [ 1020 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33905.12-33905.27" + } + }, + "VCUPLENCARQOS0": { + "hide_name": 0, + "bits": [ 1021, 1022, 1023, 1024 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33906.18-33906.32" + } + }, + "VCUPLENCARQOS1": { + "hide_name": 0, + "bits": [ 1025, 1026, 1027, 1028 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33907.18-33907.32" + } + }, + "VCUPLENCARSIZE0": { + "hide_name": 0, + "bits": [ 1029, 1030, 1031 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33908.18-33908.33" + } + }, + "VCUPLENCARSIZE1": { + "hide_name": 0, + "bits": [ 1032, 1033, 1034 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33909.18-33909.33" + } + }, + "VCUPLENCARVALID0": { + "hide_name": 0, + "bits": [ 1035 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33910.12-33910.28" + } + }, + "VCUPLENCARVALID1": { + "hide_name": 0, + "bits": [ 1036 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33911.12-33911.28" + } + }, + "VCUPLENCAWADDR0": { + "hide_name": 0, + "bits": [ 1037, 1038, 1039, 1040, 1041, 1042, 1043, 1044, 1045, 1046, 1047, 1048, 1049, 1050, 1051, 1052, 1053, 1054, 1055, 1056, 1057, 1058, 1059, 1060, 1061, 1062, 1063, 1064, 1065, 1066, 1067, 1068, 1069, 1070, 1071, 1072, 1073, 1074, 1075, 1076, 1077, 1078, 1079, 1080 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33912.19-33912.34" + } + }, + "VCUPLENCAWADDR1": { + "hide_name": 0, + "bits": [ 1081, 1082, 1083, 1084, 1085, 1086, 1087, 1088, 1089, 1090, 1091, 1092, 1093, 1094, 1095, 1096, 1097, 1098, 1099, 1100, 1101, 1102, 1103, 1104, 1105, 1106, 1107, 1108, 1109, 1110, 1111, 1112, 1113, 1114, 1115, 1116, 1117, 1118, 1119, 1120, 1121, 1122, 1123, 1124 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33913.19-33913.34" + } + }, + "VCUPLENCAWBURST0": { + "hide_name": 0, + "bits": [ 1125, 1126 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33914.18-33914.34" + } + }, + "VCUPLENCAWBURST1": { + "hide_name": 0, + "bits": [ 1127, 1128 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33915.18-33915.34" + } + }, + "VCUPLENCAWCACHE0": { + "hide_name": 0, + "bits": [ 1129, 1130, 1131, 1132 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33916.18-33916.34" + } + }, + "VCUPLENCAWCACHE1": { + "hide_name": 0, + "bits": [ 1133, 1134, 1135, 1136 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33917.18-33917.34" + } + }, + "VCUPLENCAWID0": { + "hide_name": 0, + "bits": [ 1137, 1138, 1139, 1140 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33918.18-33918.31" + } + }, + "VCUPLENCAWID1": { + "hide_name": 0, + "bits": [ 1141, 1142, 1143, 1144 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33919.18-33919.31" + } + }, + "VCUPLENCAWLEN0": { + "hide_name": 0, + "bits": [ 1145, 1146, 1147, 1148, 1149, 1150, 1151, 1152 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33920.18-33920.32" + } + }, + "VCUPLENCAWLEN1": { + "hide_name": 0, + "bits": [ 1153, 1154, 1155, 1156, 1157, 1158, 1159, 1160 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33921.18-33921.32" + } + }, + "VCUPLENCAWPROT0": { + "hide_name": 0, + "bits": [ 1161 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33922.12-33922.27" + } + }, + "VCUPLENCAWPROT1": { + "hide_name": 0, + "bits": [ 1162 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33923.12-33923.27" + } + }, + "VCUPLENCAWQOS0": { + "hide_name": 0, + "bits": [ 1163, 1164, 1165, 1166 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33924.18-33924.32" + } + }, + "VCUPLENCAWQOS1": { + "hide_name": 0, + "bits": [ 1167, 1168, 1169, 1170 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33925.18-33925.32" + } + }, + "VCUPLENCAWSIZE0": { + "hide_name": 0, + "bits": [ 1171, 1172, 1173 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33926.18-33926.33" + } + }, + "VCUPLENCAWSIZE1": { + "hide_name": 0, + "bits": [ 1174, 1175, 1176 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33927.18-33927.33" + } + }, + "VCUPLENCAWVALID0": { + "hide_name": 0, + "bits": [ 1177 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33928.12-33928.28" + } + }, + "VCUPLENCAWVALID1": { + "hide_name": 0, + "bits": [ 1178 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33929.12-33929.28" + } + }, + "VCUPLENCBREADY0": { + "hide_name": 0, + "bits": [ 1179 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33930.12-33930.27" + } + }, + "VCUPLENCBREADY1": { + "hide_name": 0, + "bits": [ 1180 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33931.12-33931.27" + } + }, + "VCUPLENCRREADY0": { + "hide_name": 0, + "bits": [ 1181 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33932.12-33932.27" + } + }, + "VCUPLENCRREADY1": { + "hide_name": 0, + "bits": [ 1182 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33933.12-33933.27" + } + }, + "VCUPLENCWDATA0": { + "hide_name": 0, + "bits": [ 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197, 1198, 1199, 1200, 1201, 1202, 1203, 1204, 1205, 1206, 1207, 1208, 1209, 1210, 1211, 1212, 1213, 1214, 1215, 1216, 1217, 1218, 1219, 1220, 1221, 1222, 1223, 1224, 1225, 1226, 1227, 1228, 1229, 1230, 1231, 1232, 1233, 1234, 1235, 1236, 1237, 1238, 1239, 1240, 1241, 1242, 1243, 1244, 1245, 1246, 1247, 1248, 1249, 1250, 1251, 1252, 1253, 1254, 1255, 1256, 1257, 1258, 1259, 1260, 1261, 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277, 1278, 1279, 1280, 1281, 1282, 1283, 1284, 1285, 1286, 1287, 1288, 1289, 1290, 1291, 1292, 1293, 1294, 1295, 1296, 1297, 1298, 1299, 1300, 1301, 1302, 1303, 1304, 1305, 1306, 1307, 1308, 1309, 1310 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33934.20-33934.34" + } + }, + "VCUPLENCWDATA1": { + "hide_name": 0, + "bits": [ 1311, 1312, 1313, 1314, 1315, 1316, 1317, 1318, 1319, 1320, 1321, 1322, 1323, 1324, 1325, 1326, 1327, 1328, 1329, 1330, 1331, 1332, 1333, 1334, 1335, 1336, 1337, 1338, 1339, 1340, 1341, 1342, 1343, 1344, 1345, 1346, 1347, 1348, 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362, 1363, 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377, 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 1401, 1402, 1403, 1404, 1405, 1406, 1407, 1408, 1409, 1410, 1411, 1412, 1413, 1414, 1415, 1416, 1417, 1418, 1419, 1420, 1421, 1422, 1423, 1424, 1425, 1426, 1427, 1428, 1429, 1430, 1431, 1432, 1433, 1434, 1435, 1436, 1437, 1438 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33935.20-33935.34" + } + }, + "VCUPLENCWLAST0": { + "hide_name": 0, + "bits": [ 1439 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33936.12-33936.26" + } + }, + "VCUPLENCWLAST1": { + "hide_name": 0, + "bits": [ 1440 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33937.12-33937.26" + } + }, + "VCUPLENCWVALID0": { + "hide_name": 0, + "bits": [ 1441 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33938.12-33938.27" + } + }, + "VCUPLENCWVALID1": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33939.12-33939.27" + } + }, + "VCUPLMCUMAXIICDCARADDR": { + "hide_name": 0, + "bits": [ 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33940.19-33940.41" + } + }, + "VCUPLMCUMAXIICDCARBURST": { + "hide_name": 0, + "bits": [ 1487, 1488 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33941.18-33941.41" + } + }, + "VCUPLMCUMAXIICDCARCACHE": { + "hide_name": 0, + "bits": [ 1489, 1490, 1491, 1492 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33942.18-33942.41" + } + }, + "VCUPLMCUMAXIICDCARID": { + "hide_name": 0, + "bits": [ 1493, 1494, 1495 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33943.18-33943.38" + } + }, + "VCUPLMCUMAXIICDCARLEN": { + "hide_name": 0, + "bits": [ 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33944.18-33944.39" + } + }, + "VCUPLMCUMAXIICDCARLOCK": { + "hide_name": 0, + "bits": [ 1504 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33945.12-33945.34" + } + }, + "VCUPLMCUMAXIICDCARPROT": { + "hide_name": 0, + "bits": [ 1505, 1506, 1507 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33946.18-33946.40" + } + }, + "VCUPLMCUMAXIICDCARQOS": { + "hide_name": 0, + "bits": [ 1508, 1509, 1510, 1511 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33947.18-33947.39" + } + }, + "VCUPLMCUMAXIICDCARSIZE": { + "hide_name": 0, + "bits": [ 1512, 1513, 1514 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33948.18-33948.40" + } + }, + "VCUPLMCUMAXIICDCARVALID": { + "hide_name": 0, + "bits": [ 1515 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33949.12-33949.35" + } + }, + "VCUPLMCUMAXIICDCAWADDR": { + "hide_name": 0, + "bits": [ 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33950.19-33950.41" + } + }, + "VCUPLMCUMAXIICDCAWBURST": { + "hide_name": 0, + "bits": [ 1560, 1561 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33951.18-33951.41" + } + }, + "VCUPLMCUMAXIICDCAWCACHE": { + "hide_name": 0, + "bits": [ 1562, 1563, 1564, 1565 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33952.18-33952.41" + } + }, + "VCUPLMCUMAXIICDCAWID": { + "hide_name": 0, + "bits": [ 1566, 1567, 1568 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33953.18-33953.38" + } + }, + "VCUPLMCUMAXIICDCAWLEN": { + "hide_name": 0, + "bits": [ 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33954.18-33954.39" + } + }, + "VCUPLMCUMAXIICDCAWLOCK": { + "hide_name": 0, + "bits": [ 1577 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33955.12-33955.34" + } + }, + "VCUPLMCUMAXIICDCAWPROT": { + "hide_name": 0, + "bits": [ 1578, 1579, 1580 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33956.18-33956.40" + } + }, + "VCUPLMCUMAXIICDCAWQOS": { + "hide_name": 0, + "bits": [ 1581, 1582, 1583, 1584 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33957.18-33957.39" + } + }, + "VCUPLMCUMAXIICDCAWSIZE": { + "hide_name": 0, + "bits": [ 1585, 1586, 1587 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33958.18-33958.40" + } + }, + "VCUPLMCUMAXIICDCAWVALID": { + "hide_name": 0, + "bits": [ 1588 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33959.12-33959.35" + } + }, + "VCUPLMCUMAXIICDCBREADY": { + "hide_name": 0, + "bits": [ 1589 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33960.12-33960.34" + } + }, + "VCUPLMCUMAXIICDCRREADY": { + "hide_name": 0, + "bits": [ 1590 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33961.12-33961.34" + } + }, + "VCUPLMCUMAXIICDCWDATA": { + "hide_name": 0, + "bits": [ 1591, 1592, 1593, 1594, 1595, 1596, 1597, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1609, 1610, 1611, 1612, 1613, 1614, 1615, 1616, 1617, 1618, 1619, 1620, 1621, 1622 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33962.19-33962.40" + } + }, + "VCUPLMCUMAXIICDCWLAST": { + "hide_name": 0, + "bits": [ 1623 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33963.12-33963.33" + } + }, + "VCUPLMCUMAXIICDCWSTRB": { + "hide_name": 0, + "bits": [ 1624, 1625, 1626, 1627 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33964.18-33964.39" + } + }, + "VCUPLMCUMAXIICDCWVALID": { + "hide_name": 0, + "bits": [ 1628 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33965.12-33965.34" + } + }, + "VCUPLMCUSTATUSCLKPLL": { + "hide_name": 0, + "bits": [ 1629 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33966.12-33966.32" + } + }, + "VCUPLPINTREQ": { + "hide_name": 0, + "bits": [ 1630 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33967.12-33967.24" + } + }, + "VCUPLPLLSTATUSPLLLOCK": { + "hide_name": 0, + "bits": [ 1631 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33968.12-33968.33" + } + }, + "VCUPLPWRSUPPLYSTATUSVCCAUX": { + "hide_name": 0, + "bits": [ 1632 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33969.12-33969.38" + } + }, + "VCUPLPWRSUPPLYSTATUSVCUINT": { + "hide_name": 0, + "bits": [ 1633 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33970.12-33970.38" + } + }, + "VCUPLRDATAAXILITEAPB": { + "hide_name": 0, + "bits": [ 1634, 1635, 1636, 1637, 1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33971.19-33971.39" + } + }, + "VCUPLRRESPAXILITEAPB": { + "hide_name": 0, + "bits": [ 1666, 1667 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33972.18-33972.38" + } + }, + "VCUPLRVALIDAXILITEAPB": { + "hide_name": 0, + "bits": [ 1668 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33973.12-33973.33" + } + }, + "VCUPLWREADYAXILITEAPB": { + "hide_name": 0, + "bits": [ 1669 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:33974.12-33974.33" + } + } + } + }, + "XADC": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10049.1-10112.10" + }, + "parameter_default_values": { + "INIT_40": "0000000000000000", + "INIT_41": "0000000000000000", + "INIT_42": "0000100000000000", + "INIT_43": "0000000000000000", + "INIT_44": "0000000000000000", + "INIT_45": "0000000000000000", + "INIT_46": "0000000000000000", + "INIT_47": "0000000000000000", + "INIT_48": "0000000000000000", + "INIT_49": "0000000000000000", + "INIT_4A": "0000000000000000", + "INIT_4B": "0000000000000000", + "INIT_4C": "0000000000000000", + "INIT_4D": "0000000000000000", + "INIT_4E": "0000000000000000", + "INIT_4F": "0000000000000000", + "INIT_50": "0000000000000000", + "INIT_51": "0000000000000000", + "INIT_52": "0000000000000000", + "INIT_53": "0000000000000000", + "INIT_54": "0000000000000000", + "INIT_55": "0000000000000000", + "INIT_56": "0000000000000000", + "INIT_57": "0000000000000000", + "INIT_58": "0000000000000000", + "INIT_59": "0000000000000000", + "INIT_5A": "0000000000000000", + "INIT_5B": "0000000000000000", + "INIT_5C": "0000000000000000", + "INIT_5D": "0000000000000000", + "INIT_5E": "0000000000000000", + "INIT_5F": "0000000000000000", + "IS_CONVSTCLK_INVERTED": "0", + "IS_DCLK_INVERTED": "0", + "SIM_DEVICE": "7SERIES", + "SIM_MONITOR_FILE": "design.txt" + }, + "ports": { + "BUSY": { + "direction": "output", + "bits": [ 2 ] + }, + "DRDY": { + "direction": "output", + "bits": [ 3 ] + }, + "EOC": { + "direction": "output", + "bits": [ 4 ] + }, + "EOS": { + "direction": "output", + "bits": [ 5 ] + }, + "JTAGBUSY": { + "direction": "output", + "bits": [ 6 ] + }, + "JTAGLOCKED": { + "direction": "output", + "bits": [ 7 ] + }, + "JTAGMODIFIED": { + "direction": "output", + "bits": [ 8 ] + }, + "OT": { + "direction": "output", + "bits": [ 9 ] + }, + "DO": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ALM": { + "direction": "output", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "CHANNEL": { + "direction": "output", + "bits": [ 34, 35, 36, 37, 38 ] + }, + "MUXADDR": { + "direction": "output", + "bits": [ 39, 40, 41, 42, 43 ] + }, + "CONVST": { + "direction": "input", + "bits": [ 44 ] + }, + "CONVSTCLK": { + "direction": "input", + "bits": [ 45 ] + }, + "DCLK": { + "direction": "input", + "bits": [ 46 ] + }, + "DEN": { + "direction": "input", + "bits": [ 47 ] + }, + "DWE": { + "direction": "input", + "bits": [ 48 ] + }, + "RESET": { + "direction": "input", + "bits": [ 49 ] + }, + "VN": { + "direction": "input", + "bits": [ 50 ] + }, + "VP": { + "direction": "input", + "bits": [ 51 ] + }, + "DI": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "VAUXN": { + "direction": "input", + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ] + }, + "VAUXP": { + "direction": "input", + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "DADDR": { + "direction": "input", + "bits": [ 100, 101, 102, 103, 104, 105, 106 ] + } + }, + "cells": { + }, + "netnames": { + "ALM": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10095.18-10095.21" + } + }, + "BUSY": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10086.12-10086.16" + } + }, + "CHANNEL": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10096.18-10096.25" + } + }, + "CONVST": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10098.11-10098.17" + } + }, + "CONVSTCLK": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "invertible_pin": "IS_CONVSTCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10100.11-10100.20" + } + }, + "DADDR": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103, 104, 105, 106 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10111.17-10111.22" + } + }, + "DCLK": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "invertible_pin": "IS_DCLK_INVERTED", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10102.11-10102.15" + } + }, + "DEN": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10103.11-10103.14" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10108.18-10108.20" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10094.19-10094.21" + } + }, + "DRDY": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10087.12-10087.16" + } + }, + "DWE": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10104.11-10104.14" + } + }, + "EOC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10088.12-10088.15" + } + }, + "EOS": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10089.12-10089.15" + } + }, + "JTAGBUSY": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10090.12-10090.20" + } + }, + "JTAGLOCKED": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10091.12-10091.22" + } + }, + "JTAGMODIFIED": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10092.12-10092.24" + } + }, + "MUXADDR": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10097.18-10097.25" + } + }, + "OT": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10093.12-10093.14" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10105.11-10105.16" + } + }, + "VAUXN": { + "hide_name": 0, + "bits": [ 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10109.18-10109.23" + } + }, + "VAUXP": { + "hide_name": 0, + "bits": [ 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10110.18-10110.23" + } + }, + "VN": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10106.11-10106.13" + } + }, + "VP": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_xtra.v:10107.11-10107.13" + } + } + } + }, + "XORCY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:362.1-364.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "CI": { + "direction": "input", + "bits": [ 3 ] + }, + "LI": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:362.30-362.32" + } + }, + "LI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:362.34-362.36" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/cells_sim.v:362.21-362.22" + } + } + } + }, + "top": { + "attributes": { + "top": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:19.1-28.10" + }, + "ports": { + "clk": { + "direction": "input", + "bits": [ 2 ] + }, + "out": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + "$abc$2157$auto$blifparse.cc:515:parse_blif$2158": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 4 ], + "I1": [ 5 ], + "O": [ 6 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2159": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 7 ], + "I1": [ 8 ], + "O": [ 9 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2160": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 4 ], + "I1": [ 5 ], + "O": [ 7 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2161": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 10 ], + "I1": [ 11 ], + "O": [ 12 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2162": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 7 ], + "I1": [ 8 ], + "O": [ 10 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2163": { + "hide_name": 1, + "type": "LUT3", + "parameters": { + "INIT": "01111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:47.26-48.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 10 ], + "I1": [ 11 ], + "I2": [ 13 ], + "O": [ 14 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2164": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:51.26-53.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 11 ], + "I1": [ 13 ], + "I2": [ 10 ], + "I3": [ 15 ], + "O": [ 16 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2165": { + "hide_name": 1, + "type": "LUT6", + "parameters": { + "INIT": "1000000000000000000000000000000000000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:61.26-63.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 4 ], + "I1": [ 5 ], + "I2": [ 8 ], + "I3": [ 11 ], + "I4": [ 13 ], + "I5": [ 15 ], + "O": [ 17 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2166": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 17 ], + "I1": [ 18 ], + "O": [ 19 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2167": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 20 ], + "I1": [ 21 ], + "O": [ 22 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2168": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 17 ], + "I1": [ 18 ], + "O": [ 20 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2169": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 23 ], + "I1": [ 24 ], + "O": [ 25 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2170": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 20 ], + "I1": [ 21 ], + "O": [ 23 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2171": { + "hide_name": 1, + "type": "LUT3", + "parameters": { + "INIT": "01111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:47.26-48.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 23 ], + "I1": [ 24 ], + "I2": [ 26 ], + "O": [ 27 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2172": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:51.26-53.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 24 ], + "I1": [ 26 ], + "I2": [ 23 ], + "I3": [ 28 ], + "O": [ 29 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2173": { + "hide_name": 1, + "type": "LUT6", + "parameters": { + "INIT": "1000000000000000000000000000000000000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:61.26-63.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 17 ], + "I1": [ 18 ], + "I2": [ 21 ], + "I3": [ 24 ], + "I4": [ 26 ], + "I5": [ 28 ], + "O": [ 30 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2174": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 30 ], + "I1": [ 31 ], + "O": [ 32 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2175": { + "hide_name": 1, + "type": "LUT3", + "parameters": { + "INIT": "01111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:47.26-48.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 30 ], + "I1": [ 31 ], + "I2": [ 33 ], + "O": [ 34 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2176": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:51.26-53.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 30 ], + "I1": [ 31 ], + "I2": [ 33 ], + "I3": [ 35 ], + "O": [ 36 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2177": { + "hide_name": 1, + "type": "LUT5", + "parameters": { + "INIT": "01111111111111111000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:56.26-58.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 30 ], + "I1": [ 31 ], + "I2": [ 33 ], + "I3": [ 35 ], + "I4": [ 37 ], + "O": [ 38 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2178": { + "hide_name": 1, + "type": "LUT6", + "parameters": { + "INIT": "0111111111111111111111111111111110000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:61.26-63.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 30 ], + "I1": [ 31 ], + "I2": [ 33 ], + "I3": [ 35 ], + "I4": [ 37 ], + "I5": [ 39 ], + "O": [ 40 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2179": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 41 ], + "I1": [ 42 ], + "O": [ 43 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2180": { + "hide_name": 1, + "type": "LUT6", + "parameters": { + "INIT": "1000000000000000000000000000000000000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:61.26-63.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 30 ], + "I1": [ 31 ], + "I2": [ 33 ], + "I3": [ 35 ], + "I4": [ 37 ], + "I5": [ 39 ], + "O": [ 41 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2181": { + "hide_name": 1, + "type": "LUT3", + "parameters": { + "INIT": "01111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:47.26-48.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 41 ], + "I1": [ 42 ], + "I2": [ 44 ], + "O": [ 45 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2182": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:51.26-53.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 41 ], + "I1": [ 42 ], + "I2": [ 44 ], + "I3": [ 46 ], + "O": [ 47 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2183": { + "hide_name": 1, + "type": "LUT5", + "parameters": { + "INIT": "01111111111111111000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:56.26-58.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "O": "output" + }, + "connections": { + "I0": [ 41 ], + "I1": [ 42 ], + "I2": [ 44 ], + "I3": [ 46 ], + "I4": [ 48 ], + "O": [ 49 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2184": { + "hide_name": 1, + "type": "LUT6", + "parameters": { + "INIT": "0111111111111111111111111111111110000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:61.26-63.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 41 ], + "I1": [ 42 ], + "I2": [ 44 ], + "I3": [ 46 ], + "I4": [ 48 ], + "I5": [ 50 ], + "O": [ 51 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2185": { + "hide_name": 1, + "type": "LUT2", + "parameters": { + "INIT": "0110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:43.26-44.30" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output" + }, + "connections": { + "I0": [ 52 ], + "I1": [ 53 ], + "O": [ 54 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2186": { + "hide_name": 1, + "type": "LUT6", + "parameters": { + "INIT": "1000000000000000000000000000000000000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:61.26-63.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "I4": "input", + "I5": "input", + "O": "output" + }, + "connections": { + "I0": [ 41 ], + "I1": [ 42 ], + "I2": [ 44 ], + "I3": [ 46 ], + "I4": [ 48 ], + "I5": [ 50 ], + "O": [ 52 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2187": { + "hide_name": 1, + "type": "LUT3", + "parameters": { + "INIT": "01111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:47.26-48.41" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "O": "output" + }, + "connections": { + "I0": [ 52 ], + "I1": [ 53 ], + "I2": [ 55 ], + "O": [ 56 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2188": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:51.26-53.19" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input", + "O": "output" + }, + "connections": { + "I0": [ 52 ], + "I1": [ 53 ], + "I2": [ 55 ], + "I3": [ 57 ], + "O": [ 58 ] + } + }, + "$abc$2157$auto$blifparse.cc:515:parse_blif$2189": { + "hide_name": 1, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:36.13-36.48|/home/mkurc/Repos/fpga-interchange-tests/tests/common/libs/remap_xcup.v:11.22-11.55" + }, + "port_directions": { + "I0": "input", + "O": "output" + }, + "connections": { + "I0": [ 4 ], + "O": [ 59 ] + } + }, + "$auto$ff.cc:262:slice$1702": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 59 ], + "Q": [ 4 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1703": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 6 ], + "Q": [ 5 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1704": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 9 ], + "Q": [ 8 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1705": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 12 ], + "Q": [ 11 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1706": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 14 ], + "Q": [ 13 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1707": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 16 ], + "Q": [ 15 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1708": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 19 ], + "Q": [ 18 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1709": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 22 ], + "Q": [ 21 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1710": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 25 ], + "Q": [ 24 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1711": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 27 ], + "Q": [ 26 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1712": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 29 ], + "Q": [ 28 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1713": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 32 ], + "Q": [ 31 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1714": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 34 ], + "Q": [ 33 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1715": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 36 ], + "Q": [ 35 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1716": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 38 ], + "Q": [ 37 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1717": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 40 ], + "Q": [ 39 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1718": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 43 ], + "Q": [ 42 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1719": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 45 ], + "Q": [ 44 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1720": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 47 ], + "Q": [ 46 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1721": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 49 ], + "Q": [ 48 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1722": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 51 ], + "Q": [ 50 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1723": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 54 ], + "Q": [ 53 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1724": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 56 ], + "Q": [ 55 ], + "R": [ "0" ] + } + }, + "$auto$ff.cc:262:slice$1725": { + "hide_name": 1, + "type": "FDRE", + "parameters": { + "INIT": "0" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:25.5-27.8|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/ff_map.v:68.41-68.95" + }, + "port_directions": { + "C": "input", + "CE": "input", + "D": "input", + "Q": "output", + "R": "input" + }, + "connections": { + "C": [ 60 ], + "CE": [ "1" ], + "D": [ 58 ], + "Q": [ 57 ], + "R": [ "0" ] + } + }, + "$iopadmap$top.clk": { + "hide_name": 1, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2 ], + "O": [ 60 ] + } + }, + "$iopadmap$top.out": { + "hide_name": 1, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 57 ], + "O": [ 3 ] + } + } + }, + "netnames": { + "$auto$alumacc.cc:485:replace_alu$1613.X": { + "hide_name": 1, + "bits": [ 59, 5, 8, 11, 13, 15, 18, 21, 24, 26, 28, 31, 33, 35, 37, 39, 42, 44, 46, 48, 50, 53, 55, 57 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:26.20-26.31|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/techmap.v:270.23-270.24" + } + }, + "$auto$alumacc.cc:485:replace_alu$1613.Y": { + "hide_name": 1, + "bits": [ 59, 6, 9, 12, 14, 16, 19, 22, 25, 27, 29, 32, 34, 36, 38, 40, 43, 45, 47, 49, 51, 54, 56, 58 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:26.20-26.31|/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/techmap.v:270.26-270.27" + } + }, + "$iopadmap$clk": { + "hide_name": 1, + "bits": [ 60 ], + "attributes": { + } + }, + "$techmap2219$abc$2157$auto$blifparse.cc:515:parse_blif$2168.A": { + "hide_name": 1, + "bits": [ 17, 18 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:30.21-30.22" + } + }, + "$techmap2220$abc$2157$auto$blifparse.cc:515:parse_blif$2167.A": { + "hide_name": 1, + "bits": [ 20, 21 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:30.21-30.22" + } + }, + "$techmap2222$abc$2157$auto$blifparse.cc:515:parse_blif$2180.A": { + "hide_name": 1, + "bits": [ 30, 31, 33, 35, 37, 39 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:30.21-30.22" + } + }, + "$techmap2223$abc$2157$auto$blifparse.cc:515:parse_blif$2184.A": { + "hide_name": 1, + "bits": [ 41, 42, 44, 46, 48, 50 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:30.21-30.22" + } + }, + "$techmap2225$abc$2157$auto$blifparse.cc:515:parse_blif$2187.A": { + "hide_name": 1, + "bits": [ 52, 53, 55 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:30.21-30.22" + } + }, + "$techmap2227$abc$2157$auto$blifparse.cc:515:parse_blif$2171.A": { + "hide_name": 1, + "bits": [ 23, 24, 26 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:30.21-30.22" + } + }, + "$techmap2235$abc$2157$auto$blifparse.cc:515:parse_blif$2162.A": { + "hide_name": 1, + "bits": [ 7, 8 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:30.21-30.22" + } + }, + "$techmap2236$abc$2157$auto$blifparse.cc:515:parse_blif$2164.A": { + "hide_name": 1, + "bits": [ 11, 13, 10, 15 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/home/mkurc/Repos/fpga-interchange-tests/env/conda/envs/fpga-interchange/bin/../share/yosys/xilinx/lut_map.v:30.21-30.22" + } + }, + "clk": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:20.20-20.23" + } + }, + "counter": { + "hide_name": 0, + "bits": [ 4, 5, 8, 11, 13, 15, 18, 21, 24, 26, 28, 31, 33, 35, 37, 39, 42, 44, 46, 48, 50, 53, 55, 57 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:22.16-22.23" + } + }, + "out": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/mkurc/Repos/fpga-interchange-tests/tests/designs/oneblink/oneblink.v:21.21-21.24" + } + } + } + } + } +} diff --git a/toolchains/nextpnr.py b/toolchains/nextpnr.py index 9ce6ae26..7b83331e 100644 --- a/toolchains/nextpnr.py +++ b/toolchains/nextpnr.py @@ -768,6 +768,72 @@ def run(self): NextpnrGeneric.generic_run(self, self.prepare_edam) +class NextpnrXilinxNoSynth(NextpnrXilinx): + '''nextpnr Xilinx variant using Yosys for synthesis''' + def __init__(self, rootdir): + super().__init__(rootdir) + self.toolchain = 'nextpnr-xilinx-already-synth' + self.nextpnr_log = 'next.log' + + def add_common_files(self): + for f in self.srcs: + if f.endswith(".json"): + self.files.append(get_file_dict(f, 'jsonNetlist')) + + if self.xdc: + self.files.append(get_file_dict(self.xdc, 'XDC')) + + def prepare_edam(self): + assert "fasm2bels" not in self.toolchain, "fasm2bels unsupported for fpga_interchange variant" + + edam = super().prepare_edam() + edam['tool_options']['nextpnr'] = edam['tool_options']['symbiflow'] + del edam['tool_options']['symbiflow'] + + tool_options = edam['tool_options']['nextpnr'] + tool_options["nextpnr_options"] = ["--chipdb {}".format(self.chipdb)] + del tool_options["package"] + + import pprint + pprint.pprint(edam) + + return edam + + def run(self): + with Timed(self, 'total'): + with Timed(self, 'prepare'): + self.edam = self.prepare_edam() + os.environ["EDALIZE_LAUNCHER" + ] = f"source {self.env_script} nextpnr &&" + self.backend = edalize.get_edatool('nextpnr')( + edam=self.edam, work_root=self.out_dir + ) + self.backend.flow_config = {'arch': self.arch} + self.backend.configure("") + + self.backend.build_main(self.project_name + '.fasm') + + del os.environ["EDALIZE_LAUNCHER"] + + self.add_runtimes() + self.add_wirelength() + + def add_runtimes(self): + """Returns the runtimes of the various steps""" + + nextpnr_log = os.path.join(self.out_dir, self.nextpnr_log) + impl_times = self.get_nextpnr_runtimes(nextpnr_log) + + for t in impl_times: + self.add_runtime(t, impl_times[t]) + + def resources(self): + impl_resources = self.get_resources() + impl_resources = self.get_resources_count(impl_resources) + + return {"synth": impl_resources, "impl": impl_resources} + + class NextpnrOxide(NextpnrGeneric): '''Nextpnr PnR + Yosys synthesis''' def __init__(self, rootdir): diff --git a/toolchains/toolchain.py b/toolchains/toolchain.py index d67825b2..a99f7229 100644 --- a/toolchains/toolchain.py +++ b/toolchains/toolchain.py @@ -103,6 +103,8 @@ def add_common_files(self): file_type = vhdl_type elif is_verilog: file_type = verilog_type + else: + continue self.files.append(get_file_dict(f, file_type)) @@ -363,6 +365,7 @@ def write_metadata(self, output_error): 'nextpnr-fpga-interchange-experimental-already-synth': ('N/A', 'nextpnr'), 'nextpnr-xilinx-fasm2bels': ('yosys', 'nextpnr'), + 'nextpnr-xilinx-already-synth': ('N/A', 'nextpnr'), 'quicklogic': ('yosys', 'vpr'), 'lse-radiant': ('lse', 'radiant'), 'synpro-radiant': ('synplify', 'radiant')